WorldWideScience

Sample records for high-temperature atomic layer

  1. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  2. Electron microscopy observation of TiO2 nanocrystal evolution in high-temperature atomic layer deposition.

    Science.gov (United States)

    Shi, Jian; Li, Zhaodong; Kvit, Alexander; Krylyuk, Sergiy; Davydov, Albert V; Wang, Xudong

    2013-01-01

    Understanding the evolution of amorphous and crystalline phases during atomic layer deposition (ALD) is essential for creating high quality dielectrics, multifunctional films/coatings, and predictable surface functionalization. Through comprehensive atomistic electron microscopy study of ALD TiO2 nanostructures at designed growth cycles, we revealed the transformation process and sequence of atom arrangement during TiO2 ALD growth. Evolution of TiO2 nanostructures in ALD was found following a path from amorphous layers to amorphous particles to metastable crystallites and ultimately to stable crystalline forms. Such a phase evolution is a manifestation of the Ostwald-Lussac Law, which governs the advent sequence and amount ratio of different phases in high-temperature TiO2 ALD nanostructures. The amorphous-crystalline mixture also enables a unique anisotropic crystal growth behavior at high temperature forming TiO2 nanorods via the principle of vapor-phase oriented attachment.

  3. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  4. Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells

    NARCIS (Netherlands)

    Macco, B.; Vos, M.; Thissen, N.F.W.; Bol, A.A.; Kessels, W.M.M.

    2015-01-01

    The preparation of high-quality molybdenum oxide (MoOx) is demonstrated by plasma-enhanced atomic layer deposition (ALD) at substrate temperatures down to 50 °C. The films are amorphous, slightly substoichiometric with respect to MoO3, and free of other elements apart from hydrogen (&11 at%). The

  5. Surface modification of highly oriented pyrolytic graphite by reaction with atomic nitrogen at high temperatures

    International Nuclear Information System (INIS)

    Zhang Luning; Pejakovic, Dusan A.; Geng Baisong; Marschall, Jochen

    2011-01-01

    Dry etching of {0 0 0 1} basal planes of highly oriented pyrolytic graphite (HOPG) using active nitridation by nitrogen atoms was investigated at low pressures and high temperatures. The etching process produces channels at grain boundaries and pits whose shapes depend on the reaction temperature. For temperatures below 600 deg. C, the majority of pits are nearly circular, with a small fraction of hexagonal pits with rounded edges. For temperatures above 600 deg. C, the pits are almost exclusively hexagonal with straight edges. The Raman spectra of samples etched at 1000 deg. C show the D mode near 1360 cm -1 , which is absent in pristine HOPG. For deep hexagonal pits that penetrate many graphene layers, neither the surface number density of pits nor the width of pit size distribution changes substantially with the nitridation time, suggesting that these pits are initiated at a fixed number of extended defects intersecting {0 0 0 1} planes. Shallow pits that penetrate 1-2 graphene layers have a wide size distribution, which suggests that these pits are initiated on pristine graphene surfaces from lattice vacancies continually formed by N atoms. A similar wide size distribution of shallow hexagonal pits is observed in an n-layer graphene sample after N-atom etching.

  6. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  7. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  8. Low-temperature atomic layer deposition of MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Jurca, Titel; Wang, Binghao; Tan, Jeffrey M.; Lohr, Tracy L.; Marks, Tobin J. [Department of Chemistry and the Materials Research Center, Northwestern University, Evanston, IL (United States); Moody, Michael J.; Henning, Alex; Emery, Jonathan D.; Lauhon, Lincoln J. [Department of Materials Science and Engineering, and the Materials Research Center, Northwestern University, Evanston, IL (United States)

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe{sub 2}){sub 4} with H{sub 2}S for the low-temperature synthesis of MoS{sub 2}. This observation motivated an investigation of Mo(NMe{sub 2}){sub 4} as a volatile precursor for the atomic layer deposition (ALD) of MoS{sub 2} thin films. Herein we report that Mo(NMe{sub 2}){sub 4} enables MoS{sub 2} film growth at record low temperatures - as low as 60 C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  10. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  11. The fabrication of a double-layer atom chip with through silicon vias for an ultra-high-vacuum cell

    International Nuclear Information System (INIS)

    Chuang, Ho-Chiao; Lin, Yun-Siang; Lin, Yu-Hsin; Huang, Chi-Sheng

    2014-01-01

    This study presents a double-layer atom chip that provides users with increased diversity in the design of the wire patterns and flexibility in the design of the magnetic field. It is more convenient for use in atomic physics experiments. A negative photoresist, SU-8, was used as the insulating layer between the upper and bottom copper wires. The electrical measurement results show that the upper and bottom wires with a width of 100 µm can sustain a 6 A current without burnout. Another focus of this study is the double-layer atom chips integrated with the through silicon via (TSV) technique, and anodically bonded to a Pyrex glass cell, which makes it a desired vacuum chamber for atomic physics experiments. Thus, the bonded glass cell not only significantly reduces the overall size of the ultra-high-vacuum (UHV) chamber but also conducts the high current from the backside to the front side of the atom chip via the TSV under UHV (9.5 × 10 −10  Torr). The TSVs with a diameter of 70 µm were etched through by the inductively coupled plasma ion etching and filled by the bottom-up copper electroplating method. During the anodic bonding process, the electroplated copper wires and TSVs on atom chips also need to pass the examination of the required bonding temperature of 250 °C, under an applied voltage of 1000 V. Finally, the UHV test of the double-layer atom chips with TSVs at room temperature can be reached at 9.5 × 10 −10  Torr, thus satisfying the requirements of atomic physics experiments under an UHV environment. (paper)

  12. Low temperature (100 °C) atomic layer deposited-ZrO2 for recessed gate GaN HEMTs on Si

    Science.gov (United States)

    Byun, Young-Chul; Lee, Jae-Gil; Meng, Xin; Lee, Joy S.; Lucero, Antonio T.; Kim, Si Joon; Young, Chadwin D.; Kim, Moon J.; Kim, Jiyoung

    2017-08-01

    In this paper, the effect of atomic layer deposited ZrO2 gate dielectrics, deposited at low temperature (100 °C), on the characteristics of recessed-gate High Electron Mobility Transistors (HEMTs) on Al0.25Ga0.75N/GaN/Si is investigated and compared with the characteristics of those with ZrO2 films deposited at typical atomic layer deposited (ALD) process temperatures (250 °C). Negligible hysteresis (ΔVth 4 V), and low interfacial state density (Dit = 3.69 × 1011 eV-1 cm-2) were observed on recessed gate HEMTs with ˜5 nm ALD-ZrO2 films grown at 100 °C. The excellent properties of recessed gate HEMTs are due to the absence of an interfacial layer and an amorphous phase of the film. An interfacial layer between 250 °C-ZrO2 and GaN is observed via high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy. However, 100 °C-ZrO2 and GaN shows no significant interfacial layer formation. Moreover, while 100 °C-ZrO2 films maintain an amorphous phase on either substrate (GaN and Si), 250 °C-ZrO2 films exhibit a polycrystalline-phase when deposited on GaN and an amorphous phase when deposited on Si. Contrary to popular belief, the low-temperature ALD process for ZrO2 results in excellent HEMT performance.

  13. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lindahl, Johan, E-mail: johan.lindahl@angstrom.uu.se; Hägglund, Carl, E-mail: carl.hagglund@angstrom.uu.se; Wätjen, J. Timo, E-mail: timo.watjen@angstrom.uu.se; Edoff, Marika, E-mail: marika.edoff@angstrom.uu.se; Törndahl, Tobias, E-mail: tobias.torndahl@angstrom.uu.se

    2015-07-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO{sub x} ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm{sup 3} in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap.

  14. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    International Nuclear Information System (INIS)

    Lindahl, Johan; Hägglund, Carl; Wätjen, J. Timo; Edoff, Marika; Törndahl, Tobias

    2015-01-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO x ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm 3 in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap

  15. Isotope analysis of diamond-surface passivation effect of high-temperature H2O-grown atomic layer deposition-Al2O3 films

    International Nuclear Information System (INIS)

    Hiraiwa, Atsushi; Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi

    2015-01-01

    The Al 2 O 3 film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H 2 O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D 2 O instead of H 2 O in the ALD and found that the Al 2 O 3 film formed at a conventional temperature (100 °C) incorporates 50 times more CH 3 groups than the high-temperature film. This CH 3 is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H 2 O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H 2 O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D 2 O-oxidant ALD but found that the mass density and dielectric constant of D 2 O-grown Al 2 O 3 films are smaller than those of H 2 O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al 2 O 3 films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of the aforementioned new isotope effect will be a basis for further enhancing ALD

  16. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  17. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  18. Low-temperature atomic layer deposition of MoO{sub x} for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Macco, B.; Vos, M.F.J.; Thissen, N.F.W.; Bol, A.A. [Department of Applied Physics, Eindhoven University of Technology, Eindhoven (Netherlands); Kessels, W.M.M. [Department of Applied Physics, Eindhoven University of Technology, Eindhoven (Netherlands); Solliance Solar Research, Eindhoven (Netherlands)

    2015-07-15

    The preparation of high-quality molybdenum oxide (MoO{sub x}) is demonstrated by plasma-enhanced atomic layer deposition (ALD) at substrate temperatures down to 50 C. The films are amorphous, slightly substoichiometric with respect to MoO{sub 3}, and free of other elements apart from hydrogen (<11 at%). The films have a high transparency in the visible region and their compatibility with a-Si:H passivation schemes is demonstrated. It is discussed that these aspects, in conjunction with the low processing temperature and the ability to deposit very thin conformal films, make this ALD process promising for the future application of MoO{sub x} in hole-selective contacts for silicon heterojunction solar cells. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. The irradiation behavior of atomized U-Mo alloy fuels at high temperature

    Science.gov (United States)

    Park, Jong-Man; Kim, Ki-Hwan; Kim, Chang-Kyu; Meyer, M. K.; Hofman, G. L.; Strain, R. V.

    2001-04-01

    Post-irradiation examinations of atomized U-10Mo, U-6Mo, and U-6Mo-1.7Os dispersion fuels from the RERTR-3 experiment irradiated in the Advanced Test Reactor (ATR) were carried out in order to investigate the fuel behavior of high uranium loading (8 gU/cc) at a high temperature (higher than 200°C). It was observed after about 40 at% BU that the U-Mo alloy fuels at a high temperature showed similar irradiation bubble morphologies compared to those at a lower temperature found in the RERTR-1 irradiation result, but there was a thick reaction layer with the aluminum matrix which was found to be greatly affected by the irradiation temperature and to a lesser degree by the fuel composition. In addition, the chemical analysis for the irradiated U-Mo fuels using the Electron Probe Micro Analysis (EPMA) method were conducted to investigate the compositional changes during the formation of the reaction product.

  20. Low-temperature atomic layer deposition of TiO2 thin layers for the processing of memristive devices

    International Nuclear Information System (INIS)

    Porro, Samuele; Conti, Daniele; Guastella, Salvatore; Ricciardi, Carlo; Jasmin, Alladin; Pirri, Candido F.; Bejtka, Katarzyna; Perrone, Denis; Chiolerio, Alessandro

    2016-01-01

    Atomic layer deposition (ALD) represents one of the most fundamental techniques capable of satisfying the strict technological requirements imposed by the rapidly evolving electronic components industry. The actual scaling trend is rapidly leading to the fabrication of nanoscaled devices able to overcome limits of the present microelectronic technology, of which the memristor is one of the principal candidates. Since their development in 2008, TiO 2 thin film memristors have been identified as the future technology for resistive random access memories because of their numerous advantages in producing dense, low power-consuming, three-dimensional memory stacks. The typical features of ALD, such as self-limiting and conformal deposition without line-of-sight requirements, are strong assets for fabricating these nanosized devices. This work focuses on the realization of memristors based on low-temperature ALD TiO 2 thin films. In this process, the oxide layer was directly grown on a polymeric photoresist, thus simplifying the fabrication procedure with a direct liftoff patterning instead of a complex dry etching process. The TiO 2 thin films deposited in a temperature range of 120–230 °C were characterized via Raman spectroscopy and x-ray photoelectron spectroscopy, and electrical current–voltage measurements taken in voltage sweep mode were employed to confirm the existence of resistive switching behaviors typical of memristors. These measurements showed that these low-temperature devices exhibit an ON/OFF ratio comparable to that of a high-temperature memristor, thus exhibiting similar performances with respect to memory applications

  1. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  2. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  3. Atomic layer deposition for high-efficiency crystalline silicon solar cells

    NARCIS (Netherlands)

    Macco, B.; van de Loo, B.W.H.; Kessels, W.M.M.; Bachmann, J.

    2017-01-01

    This chapter illustrates that Atomic Layer Deposition (ALD) is in fact an enabler of novel high-efficiency Si solar cells, owing to its merits such as a high material quality, precise thickness control, and the ability to prepare film stacks in a well-controlled way. It gives an overview of the

  4. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  5. Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy

    International Nuclear Information System (INIS)

    Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.

    2014-01-01

    Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices

  6. A porous layer: an evidence for the deterioration of MOVPE InN grown at high temperature (∝650 C)

    International Nuclear Information System (INIS)

    Sugita, K.; Hashimoto, A.; Yamamoto, A.

    2009-01-01

    This paper indicates an evidence for the deterioration of the MOVPE InN during the growth at high temperature (∝650 C). It is noted that the deterioration occurs near the interface and InN film becomes porous layer during the further growth. The porous layer has high electron density. The rate-limiting process of N-face InN decomposition depends on atomic hydrogen. The atomic hydrogen produced by the decomposition of NH 3 is responsible for the deterioration of InN film. The crystal quality of InN improves with decreasing the porous layer which is important for MOVPE InN. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    Energy Technology Data Exchange (ETDEWEB)

    Erofeev, E. V., E-mail: erofeev@micran.ru [Tomsk State University of Control Systems and Radioelectronics, Research Institute of Electrical-Communication Systems (Russian Federation); Fedin, I. V.; Kutkov, I. V. [Research and Production Company “Micran” (Russian Federation); Yuryev, Yu. N. [National Research Tomsk Polytechnic University, Institute of Physics and Technology (Russian Federation)

    2017-02-15

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping level makes it possible to attain a threshold voltage of GaN transistors close to V{sub th} = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V{sub th} = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.

  8. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    International Nuclear Information System (INIS)

    Erofeev, E. V.; Fedin, I. V.; Kutkov, I. V.; Yuryev, Yu. N.

    2017-01-01

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping level makes it possible to attain a threshold voltage of GaN transistors close to V_t_h = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V_t_h = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.

  9. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  10. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  11. Atomic hydrogen effects on high-Tc superconductors

    International Nuclear Information System (INIS)

    Frantskevich, N.V.; Ulyashin, A.G.; Alifanov, A.V.; Stepanenko, A.V.; Fedotova, V.V.

    1999-01-01

    The atomic hydrogen effects on the properties of bulk high-temperature superconductors were investigated. It is shown that the insertion of the atomic hydrogen into the bulk of these materials from a DC plasma leads to the increase of the critical current density J c for YBaCuO(123) as well as for BiSrCaCuO(2223) high-temperature superconductors. It is found that the hydrogenation of the He implanted samples with following annealing leads to the optically detected blistering on the surface. It means that the textured thin subsurface layers of high-temperature superconductors can be formed by this method. The improvement of superconductivity by atomic hydrogen can be explained by the passivation of dangling bonds and defects on grain boundaries of these materials

  12. Low-Temperature Crystalline Titanium Dioxide by Atomic Layer Deposition for Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar

    2013-04-24

    Low-temperature processing of dye-sensitized solar cells (DSCs) is crucial to enable commercialization with low-cost, plastic substrates. Prior studies have focused on mechanical compression of premade particles on plastic or glass substrates; however, this did not yield sufficient interconnections for good carrier transport. Furthermore, such compression can lead to more heterogeneous porosity. To circumvent these problems, we have developed a low-temperature processing route for photoanodes where crystalline TiO2 is deposited onto well-defined, mesoporous templates. The TiO2 is grown by atomic layer deposition (ALD), and the crystalline films are achieved at a growth temperature of 200 C. The ALD TiO2 thickness was systematically studied in terms of charge transport and performance to lead to optimized photovoltaic performance. We found that a 15 nm TiO2 overlayer on an 8 μm thick SiO2 film leads to a high power conversion efficiency of 7.1% with the state-of-the-art zinc porphyrin sensitizer and cobalt bipyridine redox mediator. © 2013 American Chemical Society.

  13. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  14. Containerless high temperature property measurements by atomic fluorescence

    Science.gov (United States)

    Schiffman, R. A.; Walker, C. A.

    1984-01-01

    Laser induced fluorescence (LIF) techniques for containerless study of high temperature processes and material properties was studied. Gas jet and electromagnetic levitation and electromagnetic and laser heating techniques are used with LIF in earth-based containerless high temperature experiments. Included are the development of an apparatus and its use in the studies of (1) chemical reactions on Al2O3, molybdenum, tungsten and LaB6 specimens, (2) methods for noncontact specimen temperature measurement, (3) levitation jet properties and (4) radiative lifetime and collisional energy transfer rates for electronically excited atoms.

  15. Atomic layer deposition of high-mobility hydrogen-doped zinc oxide

    NARCIS (Netherlands)

    Macco, B.; Knoops, H.C.M.; Verheijen, M.A.; Beyer, W.; Creatore, M.; Kessels, W.M.M.

    2017-01-01

    In this work, atomic layer deposition (ALD) has been employed to prepare high-mobility H-doped zinc oxide (ZnO:H) films. Hydrogen doping was achieved by interleaving the ZnO ALD cycles with H2 plasma treatments. It has been shown that doping with H2 plasma offers key advantages over traditional

  16. High mobility In2O3:H transparent conductive oxides prepared by atomic layer deposition and solid phase crystallization

    NARCIS (Netherlands)

    Macco, B.; Wu, Y.; Vanhemel, D.; Kessels, W.M.M.

    2014-01-01

    The preparation of high-quality In2O3:H, as transparent conductive oxide (TCO), is demonstrated at low temperatures. Amorphous In2O3:H films were deposited by atomic layer deposition at 100 °C, after which they underwent solid phase crystallization by a short anneal at 200 °C. TEM analysis has shown

  17. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp [Institute for Nanoscience and Nanotechnology, Waseda University, 513 Waseda-tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp [Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups than the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of

  18. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  19. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  20. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  1. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  2. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  3. High performance ultraviolet photodetectors with atomic-layer-deposited ZnO films via low-temperature post-annealing in air

    Directory of Open Access Journals (Sweden)

    Jian Gao

    2018-01-01

    Full Text Available In this work, we have investigated the effect of low temperature post-annealing in air on atomic-layer-deposited ZnO metal-semiconductor-metal (MSM ultraviolet photodetectors (UV PDs. The results indicate that the post-annealing could reduce the dark-current of the MSM device by ten orders of magnitude; however, it also decreased the photo-current of the UV PD by one order of magnitude. The former could be related to the reduction of oxygen vacancies and the crystallization enhancement of the ZnO film; the latter should be attributed to the reduction of defects in the ZnO film, thus resulting in a smaller decrease in thermionic-field emission tunneling barrier because of reduced holes trapped near the interface. For the post-annealing at 250 oC for 30 min, the dark-current was equal to 5.16×10-11 A, and the ultraviolet-visible rejection ratio approached 1.4×106, and the responsivity was as high as 1.78×103 A/W at 5V. Further, prolonging annealing time at a lower temperature (200 oC also could greatly improve the performance of the UV PD, i.e., 90 min annealing produced a quite large responsivity of 1.30×104 A/W at 5 V while maintaining a very low dark-current (1.42×10-10 A and a large ultraviolet-visible rejection ratio (4.06×105.

  4. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    Science.gov (United States)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  5. High frequency ground temperature fluctuation in a Convective Boundary Layer

    NARCIS (Netherlands)

    Garai, A.; Kleissl, J.; Lothon, M.; Lohou, F.; Pardyjak, E.; Saïd, F.; Cuxart, J.; Steeneveld, G.J.; Yaguë, C.; Derrien, S.; Alexander, D.; Villagrasa, D.M.

    2012-01-01

    To study influence of the turbulent structures in the convective boundary layer (CBL) on the ground temperature, during the Boundary Layer Late Afternoon and Sunset Turbulence (BLLAST) observational campaign, high frequency ground temperature was recorded through infra-red imagery from 13 June - 8

  6. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  7. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  8. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  9. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  10. Effect of laser power and specimen temperature on atom probe analyses of magnesium alloys

    International Nuclear Information System (INIS)

    Oh-ishi, K.; Mendis, C.L.; Ohkubo, T.; Hono, K.

    2011-01-01

    The influence of laser power, wave length, and specimen temperature on laser assisted atom probe analyses for Mg alloys was investigated. Higher laser power and lower specimen temperature led to improved mass and spatial resolutions. Background noise and mass resolutions were degraded with lower laser power and higher specimen temperature. By adjusting the conditions for laser assisted atom probe analyses, atom probe results with atomic layer resolutions were obtained from all the Mg alloys so far investigated. Laser assisted atom probe investigations revealed detailed chemical information on Guinier-Preston zones in Mg alloys. -- Research highlights: → We study performance of UV laser assisted atom probe analysis for Mg alloys. → There is an optimized range of laser power and specimen temperature. → Optimized UV laser enables atom probe data of Mg alloys with high special resolution.

  11. Effects of AlN nucleation layers on the growth of AlN films using high temperature hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Balaji, M.; Claudel, A.; Fellmann, V.; Gélard, I.; Blanquet, E.; Boichot, R.; Pierret, A.

    2012-01-01

    Highlights: ► Growth of AlN Nucleation layers and its effect on high temperature AlN films quality were investigated. ► AlN nucleation layers stabilizes the epitaxial growth of AlN and improves the surface morphology of AlN films. ► Increasing growth temperature of AlN NLs as well as AlN films improves the structural quality and limits the formation of cracks. - Abstract: AlN layers were grown on c-plane sapphire substrates with AlN nucleation layers (NLs) using high temperature hydride vapor phase epitaxy (HT-HVPE). Insertion of low temperature NLs, as those typically used in MOVPE process, prior to the high temperature AlN (HT-AlN) layers has been investigated. The NLs surface morphology was studied by atomic force microscopy (AFM) and NLs thickness was measured by X-ray reflectivity. Increasing nucleation layer deposition temperature from 650 to 850 °C has been found to promote the growth of c-oriented epitaxial HT-AlN layers instead of polycrystalline layers. The growth of polycrystalline layers has been related to the formation of dis-oriented crystallites. The density of such disoriented crystallites has been found to decrease while increasing NLs deposition temperature. The HT-AlN layers have been characterized by X-ray diffraction θ − 2θ scan and (0 0 0 2) rocking curve measurement, Raman and photoluminescence spectroscopies, AFM and field emission scanning electron microscopy. Increasing the growth temperature of HT-AlN layers from 1200 to 1400 °C using a NL grown at 850 °C improves the structural quality as well as the surface morphology. As a matter of fact, full-width at half-maximum (FWHM) of 0 0 0 2 reflections was improved from 1900 to 864 arcsec for 1200 °C and 1400 °C, respectively. Related RMS roughness also found to decrease from 10 to 5.6 nm.

  12. Investigation of the atomic interface structure of mesotaxial Si/CoSi2(100) layers formed by high-dose implantation

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Jong, A.F. de; Vandenhoudt, D.E.W.

    1991-01-01

    Aligned mesotaxial films of CoSi 2 in monocrystalline (100) oriented Si substrates have been formed by high-dose ion implantation of Co, followed by a high temperature treatment. The atomic structures of both the lower and upper Si/CoSi 2 (100) interfaces of the buried CoSi 2 layer have been investigated by high-resolution electron microscopy (HREM) combined with image simulations. A domain-like structure is observed consisting of areas with different interfaces. In order to derive the atomic configuration, image simulations of different proposed models are presented. By comparing simulated images and HREM images, two different atomic structure models for the Si/CoSi 2 (100) interface have been found. In the first model the interfacial Co atoms are six-fold coordinated and the tetrahedral coordination and bond lengths of silicon atoms are everywhere maintained. In the second model we found evidence for a 2 x 1 interface reconstruction, involving a difference in composition. The interfacial Co atoms are seven-fold coordinated. It is shown that the boundaries between the domains are associated with interfacial dislocations of edge-type with Burgers vectors b a/4 inclined and b = a/2 parallel to the interfacial plane. (author)

  13. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    Science.gov (United States)

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  14. Temperature-Dependent Physical and Memory Characteristics of Atomic-Layer-Deposited RuOx Metal Nanocrystal Capacitors

    Directory of Open Access Journals (Sweden)

    S. Maikap

    2011-01-01

    Full Text Available Physical and memory characteristics of the atomic-layer-deposited RuOx metal nanocrystal capacitors in an n-Si/SiO2/HfO2/RuOx/Al2O3/Pt structure with different postdeposition annealing temperatures from 850–1000°C have been investigated. The RuOx metal nanocrystals with an average diameter of 7 nm and a highdensity of 0.7 × 1012/cm2 are observed by high-resolution transmission electron microscopy after a postdeposition annealing temperature at 1000°C. The density of RuOx nanocrystal is decreased (slightly by increasing the annealing temperatures, due to agglomeration of multiple nanocrystals. The RuO3 nanocrystals and Hf-silicate layer at the SiO2/HfO2 interface are confirmed by X-ray photoelectron spectroscopy. For post-deposition annealing temperature of 1000°C, the memory capacitors with a small equivalent oxide thickness of ~9 nm possess a large hysteresis memory window of >5 V at a small sweeping gate voltage of ±5 V. A promising memory window under a small sweeping gate voltage of ~3 V is also observed due to charge trapping in the RuOx metal nanocrystals. The program/erase mechanism is modified Fowler-Nordheim (F-N tunneling of the electrons and holes from Si substrate. The electrons and holes are trapped in the RuOx nanocrystals. Excellent program/erase endurance of 106 cycles and a large memory window of 4.3 V with a small charge loss of ~23% at 85°C are observed after 10 years of data retention time, due to the deep-level traps in the RuOx nanocrystals. The memory structure is very promising for future nanoscale nonvolatile memory applications.

  15. Role of atom--atom inelastic collisions in two-temperature nonequilibrium plasmas

    International Nuclear Information System (INIS)

    Kunc, J.A.

    1987-01-01

    The contribution of inelastic atom--atom collisions to the production of electrons and excited atoms in two-temperature (with electron temperature T/sub e/, atomic temperature T/sub a/, and atomic density N/sub a/), steady-state, nonequilibrium atomic hydrogen plasma is investigated. The results are valid for plasmas having large amounts of atomic hydrogen as one of the plasma components, so that e--H and H--H inelastic collisions and interaction of these atoms with radiation dominate the production of electrons and excited hydrogen atoms. Densities of electrons and excited atoms are calculated in low-temperature plasma, with T/sub e/ and T/sub a/≤8000 K and 10 16 cm -3 ≤N/sub a/≤10 18 cm -3 , and with different degrees of the reabsorption of radiation. The results indicate that inelastic atom--atom collisions are important for production of electrons and excited atoms in partially ionized plasmas with medium and high atomic density and temperatures below 8000 K

  16. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  17. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  18. Bremsstrahlung spectra for Al, Cs, and Au atoms in high-temperature, high-density plasmas

    International Nuclear Information System (INIS)

    Kim, L.; Pratt, R.H.; Tseng, H.K.

    1985-01-01

    Results are presented from a numerical calculation for the bremsstrahlung spectrum and Gaunt factors of Al, Cs, and Au atoms in high-temperature (-T), high-density (-rho) plasmas. Plasma temperatures kT = 0.1 and 1.0 keV and plasma densities rho = rho 0 (the normal solid density) and rho = 100rho 0 are considered. This allows us to determine the generality and identify the origins of features which we had previously identified in calculations for Cs. We also now present results for the total energy loss of an electron in such a plasma. We use a relativistic multipole code which treats the bremsstrahlung process as a single-electron transition in a static screened central potential. We take for the static potential corresponding to an atom in a hot dense plasma the finite-temperature, finite-density Thomas-Fermi model. This approach corresponds to an average atom in local thermodynamic equilibrium. In comparison to isolated-neutral-atom results we observe general suppression of cross sections and a particular suppression in the tip region of the spectrum. Within this model, both superscreening and shape resonances are found in the circumstances of extreme density. At more normal densities and except for the soft-photon end, the spectrum at these energies for an atom in a hot plasma (characterized by an average degree of ionization) can be well represented by the spectrum of the corresponding isolated ion, which has a similar potential shape at the distances which characterize the process

  19. XANES Studies of Mn K and L3,2 Edges in the (Ga,Mn)As Layers Modified by High Temperature Annealing

    International Nuclear Information System (INIS)

    Wolska, A.; Lawniczak-Jablonska, K.; Klepka, M.T.; Jakiela, R.; Demchenko, I.N.; Sadowski, J.; Holub-Krappe, E.; Persson, A.; Arvanitis, D.

    2008-01-01

    Ga 1-x Mn x As is commonly considered as a promising material for microelectronic applications utilizing the electron spin. One of the ways that allow increasing the Curie temperature above room temperature is to produce second phase inclusions. In this paper Ga 1-x Mn x As samples containing precipitations of ferromagnetic MnAs are under consideration. We focus on the atomic and electronic structure around the Mn atoms relating to the cluster formation. The changes in the electronic structure of the Mn, Ga and As atoms in the (Ga,Mn)As layers after high temperature annealing were determined by X-ray absorption near edge spectroscopy. The experimental spectra were compared with the predictions of ab initio full multiple scattering theory using the FEFF 8.4 code. The nominal concentration of the Mn atoms in the investigated samples was 6% and 8%. We do not ob- serve changes in the electronic structure of Ga and As introduced by the presence of the Mn atoms. We find, in contrast, considerable changes in the electronic structure around the Mn atoms. Moreover, for the first time it was possible to indicate the preferred interstitial positions of the Mn atoms. (authors)

  20. Effect of atomic layer deposition temperature on the performance of top-down ZnO nanowire transistors

    Science.gov (United States)

    2014-01-01

    This paper studies the effect of atomic layer deposition (ALD) temperature on the performance of top-down ZnO nanowire transistors. Electrical characteristics are presented for 10-μm ZnO nanowire field-effect transistors (FETs) and for deposition temperatures in the range 120°C to 210°C. Well-behaved transistor output characteristics are obtained for all deposition temperatures. It is shown that the maximum field-effect mobility occurs for an ALD temperature of 190°C. This maximum field-effect mobility corresponds with a maximum Hall effect bulk mobility and with a ZnO film that is stoichiometric. The optimized transistors have a field-effect mobility of 10 cm2/V.s, which is approximately ten times higher than can typically be achieved in thin-film amorphous silicon transistors. Furthermore, simulations indicate that the drain current and field-effect mobility extraction are limited by the contact resistance. When the effects of contact resistance are de-embedded, a field-effect mobility of 129 cm2/V.s is obtained. This excellent result demonstrates the promise of top-down ZnO nanowire technology for a wide variety of applications such as high-performance thin-film electronics, flexible electronics, and biosensing. PMID:25276107

  1. Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2 : Large area, thickness control and tuneable morphology

    NARCIS (Netherlands)

    Sharma, A.; Verheijen, M.A.; Wu, L.; Karwal, S.; Vandalon, V.; Knoops, H.C.M.; Sundaram, R.S.; Hofmann, J.P.; Kessels, W.M.M.; Bol, A.A.

    2018-01-01

    Low-temperature controllable synthesis of monolayer-to-multilayer thick MoS2 with tuneable morphology is demonstrated by using plasma enhanced atomic layer deposition (PEALD). The characteristic self-limiting ALD growth with a growth-per-cycle of 0.1 nm per cycle and digital thickness control down

  2. The ReactorSTM: Atomically resolved scanning tunneling microscopy under high-pressure, high-temperature catalytic reaction conditions

    Energy Technology Data Exchange (ETDEWEB)

    Herbschleb, C. T.; Tuijn, P. C. van der; Roobol, S. B.; Navarro, V.; Bakker, J. W.; Liu, Q.; Stoltz, D.; Cañas-Ventura, M. E.; Verdoes, G.; Spronsen, M. A. van; Bergman, M.; Crama, L.; Taminiau, I.; Frenken, J. W. M., E-mail: frenken@physics.leidenuniv.nl [Huygens-Kamerlingh Onnes Laboratory, Leiden University, P.O. box 9504, 2300 RA Leiden (Netherlands); Ofitserov, A.; Baarle, G. J. C. van [Leiden Probe Microscopy B.V., J.H. Oortweg 21, 2333 CH Leiden (Netherlands)

    2014-08-15

    To enable atomic-scale observations of model catalysts under conditions approaching those used by the chemical industry, we have developed a second generation, high-pressure, high-temperature scanning tunneling microscope (STM): the ReactorSTM. It consists of a compact STM scanner, of which the tip extends into a 0.5 ml reactor flow-cell, that is housed in a ultra-high vacuum (UHV) system. The STM can be operated from UHV to 6 bars and from room temperature up to 600 K. A gas mixing and analysis system optimized for fast response times allows us to directly correlate the surface structure observed by STM with reactivity measurements from a mass spectrometer. The in situ STM experiments can be combined with ex situ UHV sample preparation and analysis techniques, including ion bombardment, thin film deposition, low-energy electron diffraction and x-ray photoelectron spectroscopy. The performance of the instrument is demonstrated by atomically resolved images of Au(111) and atom-row resolution on Pt(110), both under high-pressure and high-temperature conditions.

  3. Thickness dependent growth of low temperature atomic layer deposited zinc oxide films

    International Nuclear Information System (INIS)

    Montiel-González, Z.; Castelo-González, O.A.; Aguilar-Gama, M.T.; Ramírez-Morales, E.; Hu, H.

    2017-01-01

    Highlights: • Polycrystalline columnar ZnO thin films deposited by ALD at low temperatures. • Higher deposition temperature leads to a greater surface roughness in the ALD ZnO films. • Higher temperature originates larger refractive index values of the ALD ZnO films. • ZnO thin films were denser as the numbers of ALD deposition cycles were larger. • XPS analysis revels mayor extent of the DEZ reaction during the ALD process. - Abstract: Zinc oxide films are promising to improve the performance of electronic devices, including those based on organic materials. However, the dependence of the ZnO properties on the preparation conditions represents a challenge to obtain homogeneous thin films that satisfy specific applications. Here, we prepared ZnO films of a wide range of thicknesses by atomic layer deposition (ALD) at relatively low temperatures, 150 and 175 °C. From the results of X-ray photoelectron spectroscopy, X-ray diffraction and Spectroscopic Ellipsometry it is concluded that the polycrystalline structure of the wurtzite is the main phase of the ALD samples, with OH groups on their surface. Ellipsometry revealed that the temperature and the deposition cycles have a strong effect on the films roughness. Scanning electron micrographs evidenced such effect, through the large pyramids developed at the surface of the films. It is concluded that crystalline ZnO thin films within a broad range of thickness and roughness can be obtained for optic or optoelectronic applications.

  4. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  5. Atomic origin of high-temperature electron trapping in metal-oxide-semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Xiao, E-mail: xiao.shen@vanderbilt.edu [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States); Pantelides, Sokrates T. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States); Department of Electrical Engineering and Computer Science, Vanderbilt University, Nashville, Tennessee 37235 (United States); Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States)

    2015-04-06

    MOSFETs based on wide-band-gap semiconductors are suitable for operation at high temperature, at which additional atomic-scale processes that are benign at lower temperatures can get activated, resulting in device degradation. Recently, significant enhancement of electron trapping was observed under positive bias in SiC MOSFETs at temperatures higher than 150 °C. Here, we report first-principles calculations showing that the enhanced electron trapping is associated with thermally activated capturing of a second electron by an oxygen vacancy in SiO{sub 2} by which the vacancy transforms into a structure that comprises one Si dangling bond and a bond between a five-fold and a four-fold Si atoms. The results suggest a key role of oxygen vacancies and their structural reconfigurations in the reliability of high-temperature MOS devices.

  6. Resistivity of atomic layer deposition grown ZnO: The influence of deposition temperature and post-annealing

    Energy Technology Data Exchange (ETDEWEB)

    Laube, J., E-mail: laube@imtek.de; Nübling, D.; Beh, H.; Gutsch, S.; Hiller, D.; Zacharias, M.

    2016-03-31

    Conductive zinc oxide (ZnO) films deposited by atomic layer deposition were studied as function of post-annealing treatments. Effusion experiments were conducted on ZnO films deposited at different temperatures. The influence of different annealing atmospheres on the resistivity of the films was investigated and compared to reference samples. It was found that the influence of the deposition temperature on the resistivity is much higher than that of subsequent annealings. This leads to the conclusion that reduction of the resistivity by diffusion of different gases, such as oxygen and hydrogen, into annealed ZnO films is unlikely. - Highlights: • Conformal growth of ZnO-ALD over a temperature range of 25 °C up to 300 °C. • Post-annealing in different atmospheres (H{sub 2}, O{sub 2}, vacuum) and temperatures. • Analysis of film-conductivity and effusion characteristic.

  7. Method for Aluminum Oxide Thin Films Prepared through Low Temperature Atomic Layer Deposition for Encapsulating Organic Electroluminescent Devices

    Directory of Open Access Journals (Sweden)

    Hui-Ying Li

    2015-02-01

    Full Text Available Preparation of dense alumina (Al2O3 thin film through atomic layer deposition (ALD provides a pathway to achieve the encapsulation of organic light emitting devices (OLED. Unlike traditional ALD which is usually executed at higher reaction n temperatures that may affect the performance of OLED, this application discusses the development on preparation of ALD thin film at a low temperature. One concern of ALD is the suppressing effect of ambient temperature on uniformity of thin film. To mitigate this issue, the pumping time in each reaction cycle was increased during the preparation process, which removed reaction byproducts and inhibited the formation of vacancies. As a result, the obtained thin film had both high uniformity and density properties, which provided an excellent encapsulation performance. The results from microstructure morphology analysis, water vapor transmission rate, and lifetime test showed that the difference in uniformity between thin films prepared at low temperatures, with increased pumping time, and high temperatures was small and there was no obvious influence of increased pumping time on light emitting performance. Meanwhile, the permeability for water vapor of the thin film prepared at a low temperature was found to reach as low as 1.5 × 10−4 g/(m2·day under ambient conditions of 25 °C and 60% relative humidity, indicating a potential extension in the lifetime for the OLED.

  8. Atomic layer deposition of two dimensional MoS{sub 2} on 150 mm substrates

    Energy Technology Data Exchange (ETDEWEB)

    Valdivia, Arturo; Conley, John F., E-mail: jconley@eecs.oregonstate.edu [School of EECS, Oregon State University, Corvallis, Oregon 97331 (United States); Tweet, Douglas J. [Sharp Labs of America, Camas, Washington 98607 (United States)

    2016-03-15

    Low temperature atomic layer deposition (ALD) of monolayer to few layer MoS{sub 2} uniformly across 150 mm diameter SiO{sub 2}/Si and quartz substrates is demonstrated. Purge separated cycles of MoCl{sub 5} and H{sub 2}S precursors are used at reactor temperatures of up to 475 °C. Raman scattering studies show clearly the in-plane (E{sup 1}{sub 2g}) and out-of-plane (A{sub 1g}) modes of MoS{sub 2}. The separation of the E{sup 1}{sub 2g} and A{sub 1g} peaks is a function of the number of ALD cycles, shifting closer together with fewer layers. X-ray photoelectron spectroscopy indicates that stoichiometry is improved by postdeposition annealing in a sulfur ambient. High resolution transmission electron microscopy confirms the atomic spacing of monolayer MoS{sub 2} thin films.

  9. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  10. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  11. Highly photocatalytic TiO_2 interconnected porous powder fabricated by sponge-templated atomic layer deposition

    International Nuclear Information System (INIS)

    Pan, Shengqiang; Zhao, Yuting; Huang, Gaoshan; Li, Menglin; Mei, Yongfeng; Wang, Jiao; Zheng, Lirong; Baunack, Stefan; Schmidt, Oliver G; Gemming, Thomas

    2015-01-01

    A titanium dioxide (TiO_2) interconnected porous structure has been fabricated by means of atomic layer deposition of TiO_2 onto a reticular sponge template. The obtained freestanding TiO_2 with large surface area can be easily taken out of the water to solve a complex separation procedure. A compact and conformal nanocoating was evidenced by morphologic characterization. A phase transition, as well as production of oxygen vacancies with increasing annealing temperature, was detected by x-ray diffraction and x-ray photoelectron spectroscopy, respectively. The photocatalytic experimental results demonstrated that the powder with appropriate annealing treatment possessed excellent photocatalytic ability due to the co-action of high surface area, oxygen vacancies and the optimal crystal structure. (paper)

  12. Atomic-Level Co3O4 Layer Stabilized by Metallic Cobalt Nanoparticles: A Highly Active and Stable Electrocatalyst for Oxygen Reduction.

    Science.gov (United States)

    Liu, Min; Liu, Jingjun; Li, Zhilin; Wang, Feng

    2018-02-28

    Developing atomic-level transition oxides may be one of the most promising ways for providing ultrahigh electrocatalytic performance for oxygen reduction reaction (ORR), compared with their bulk counterparts. In this article, we developed a set of atomically thick Co 3 O 4 layers covered on Co nanoparticles through partial reduction of Co 3 O 4 nanoparticles using melamine as a reductive additive at an elevated temperature. Compared with the original Co 3 O 4 nanoparticles, the synthesized Co 3 O 4 with a thickness of 1.1 nm exhibits remarkably enhanced ORR activity and durability, which are even higher than those obtained by a commercial Pt/C in an alkaline environment. The superior activity can be attributed to the unique physical and chemical structures of the atomic-level oxide featuring the narrowed band gap and decreased work function, caused by the escaped lattice oxygen and the enriched coordination-unsaturated Co 2+ in this atomic layer. Besides, the outstanding durability of the catalyst can result from the chemically epitaxial deposition of the Co 3 O 4 on the cobalt surface. Therefore, the proposed synthetic strategy may offer a smart way to develop other atomic-level transition metals with high electrocatalytic activity and stability for energy conversion and storage devices.

  13. Atomic layer deposition of zirconium dioxide from zirconium tetrachloride and ozone

    Energy Technology Data Exchange (ETDEWEB)

    Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Kemell, Marianna; Köykkä, Joel [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [Accelerator Laboratory, Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 Helsinki (Finland); Vehkamäki, Marko; Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2015-08-31

    ZrO{sub 2} films were grown by atomic layer deposition using ZrCl{sub 4} and O{sub 3} as precursors. The films were grown on silicon substrates in the temperature range of 220–500 °C. The ALD rate was monotonously decreasing from 0.085 to 0.060 nm/cycle in this temperature range towards the highest temperatures studied. The content of chlorine in the films did not exceed 0.2 at.% as measured by elastic recoil detection analysis. The content of hydrogen was 0.30 and 0.14 at.% in the films grown at 300 and 400 °C, respectively. Structural studies revealed the films consisting of mixtures of stable monoclinic and metastable tetragonal/cubic polymorphs of ZrO{sub 2}, and dominantly metastable phases of ZrO{sub 2} below and above 300 °C, respectively. Permittivity of dielectric layers in Al/Ti/ZrO{sub 2}/(TiN/)Si capacitors with 15–40 nm thick ZrO{sub 2} ranged between 12 and 25 at 100 kHz and the dielectric breakdown fields were in the range of 1.5–3.0 MV/cm. - Highlights: • ZrO{sub 2} thin films were grown by atomic layer deposition from ZrCl{sub 4} and O{sub 3}. • Relatively high substrate temperatures promoted growth of metastable ZrO{sub 2} phases. • ZrO{sub 2} films exhibited electric properties characteristic of dielectric metal oxides. • ZrO{sub 2} grown in hydrogen- and carbon free process contained low amounts of impurities.

  14. Surface Passivation of MoO3 Nanorods by Atomic Layer Deposition Towards High Rate Durable Li Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal; Shahid, Muhammad; Nagaraju, Doddahalli H.; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    We demonstrate an effective strategy to overcome the degradation of MoO3 nanorod anodes in Lithium (Li) ion batteries at high rate cycling. This is achieved by conformal nanoscale surface passivation of the MoO3 nanorods by HfO2 using atomic layer deposition (ALD). At high current density such as 1500 mA/g, the specific capacity of HfO2 coated MoO3 electrodes is 68% higher than bare MoO3 electrodes after 50 charge/discharge cycles. After 50 charge/discharge cycles, HfO2 coated MoO3 electrodes exhibited specific capacity of 657 mAh/g, on the other hand, bare MoO3 showed only 460 mAh/g. Furthermore, we observed that HfO2 coated MoO3 electrodes tend to stabilize faster than bare MoO3 electrodes because nanoscale HfO2 layer prevents structural degradation of MoO3 nanorods. Additionally, the growth temperature of MoO3 nanorods and the effect of HfO2 layer thickness was studied and found to be important parameters for optimum battery performance. The growth temperature defines the microstructural features and HfO2 layer thickness defines the diffusion coefficient of Li–ions through the passivation layer to the active material. Furthermore, ex–situ HRTEM, X–ray photoelectron spectroscopy (XPS), Raman spectroscopy and X–ray diffraction was carried out to explain the capacity retention mechanism after HfO2 coating.

  15. Surface Passivation of MoO3 Nanorods by Atomic Layer Deposition Towards High Rate Durable Li Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2015-06-03

    We demonstrate an effective strategy to overcome the degradation of MoO3 nanorod anodes in Lithium (Li) ion batteries at high rate cycling. This is achieved by conformal nanoscale surface passivation of the MoO3 nanorods by HfO2 using atomic layer deposition (ALD). At high current density such as 1500 mA/g, the specific capacity of HfO2 coated MoO3 electrodes is 68% higher than bare MoO3 electrodes after 50 charge/discharge cycles. After 50 charge/discharge cycles, HfO2 coated MoO3 electrodes exhibited specific capacity of 657 mAh/g, on the other hand, bare MoO3 showed only 460 mAh/g. Furthermore, we observed that HfO2 coated MoO3 electrodes tend to stabilize faster than bare MoO3 electrodes because nanoscale HfO2 layer prevents structural degradation of MoO3 nanorods. Additionally, the growth temperature of MoO3 nanorods and the effect of HfO2 layer thickness was studied and found to be important parameters for optimum battery performance. The growth temperature defines the microstructural features and HfO2 layer thickness defines the diffusion coefficient of Li–ions through the passivation layer to the active material. Furthermore, ex–situ HRTEM, X–ray photoelectron spectroscopy (XPS), Raman spectroscopy and X–ray diffraction was carried out to explain the capacity retention mechanism after HfO2 coating.

  16. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rui [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Han, Lihao [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Huang, Zhuangqun; Ferrer, Ivonne M. [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Smets, Arno H.M.; Zeman, Miro [Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Brunschwig, Bruce S., E-mail: bsb@caltech.edu [Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Lewis, Nathan S., E-mail: nslewis@caltech.edu [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA 91125 (United States)

    2015-07-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe{sub 3} and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films.

  17. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    International Nuclear Information System (INIS)

    Liu, Rui; Han, Lihao; Huang, Zhuangqun; Ferrer, Ivonne M.; Smets, Arno H.M.; Zeman, Miro; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe 3 and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films

  18. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  19. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  20. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  1. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao

    2013-09-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing process of the films. The refractive index and bandgap of TiO2 films changed with the growth and annealing temperatures. The optimization of the annealing conditions for TiO2 films was also done by morphology and density studies. © 2013 Elsevier B.V. All rights reserved.

  2. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  3. High-temperature adsorption layers based on fluoridated polyimide and diatomite carrier

    Science.gov (United States)

    Yakovleva, E. Yu.; Shundrina, I. K.; Gerasimov, E. Yu.

    2017-09-01

    A way of preparing separation layers by the pyrolysis of fluorinated polyimide obtained from 2,4,6-trimethyl- m-phenylenediamine (2,4,6-TM mPDA) and 2,2-bis(3',4'-dicarboxyphenyl)hexafluoropropane (6FDA) applied onto a diatomite carrier is described. Thermogravimetry, elemental analysis, low-temperature nitrogen adsorption, high-resolution electron microscopy, and gas chromatography are used to study changes in the texture and chromatographic characteristics of these layers. It is found that changes in the structure and the effectivity of separation characteristic of the layers depend on the temperature of pyrolysis, which ranges from 250 to 1100°C. It is established that a layer of separation is formed at 250-350°C, and the order of elution of hydrocarbons is similar to their chromatographic behavior on such stationary phases as OV-101. Layers of amorphous carbon formed on the surfaces of individual particles on a diatomite surface at 500-700°C. These layers ensure highly stable and selective separation of permanent gases and hydrocarbons when they are present together.

  4. High mobility In{sub 2}O{sub 3}:H transparent conductive oxides prepared by atomic layer deposition and solid phase crystallization

    Energy Technology Data Exchange (ETDEWEB)

    Macco, B.; Wu, Y.; Vanhemel, D. [Department of Applied Physics, Eindhoven University of Technology (Netherlands); Kessels, W.M.M. [Department of Applied Physics, Eindhoven University of Technology (Netherlands); Solliance Solar Research, Eindhoven (Netherlands)

    2014-12-01

    The preparation of high-quality In{sub 2}O{sub 3}:H, as transparent conductive oxide (TCO), is demonstrated at low temperatures. Amorphous In{sub 2}O{sub 3}:H films were deposited by atomic layer deposition at 100 C, after which they underwent solid phase crystallization by a short anneal at 200 C. TEM analysis has shown that this approach can yield films with a lateral grain size of a few hundred nm, resulting in electron mobility values as high as 138 cm{sup 2}/V s at a device-relevant carrier density of 1.8 x 10{sup 20} cm{sup -3}. Due to the extremely high electron mobility, the crystallized films simultaneously exhibit a very low resistivity (0.27 mΩ cm) and a negligible free carrier absorption. In conjunction with the low temperature processing, this renders these films ideal candidates for front TCO layers in for example silicon heterojunction solar cells and other sensitive optoelectronic applications. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Role of high growth temperature

    Science.gov (United States)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Hwang, Eun-Sook; Imai, Daichi; Itoi, Takaomi

    2016-12-01

    The growth kinetics and properties of nominally 1-ML (monolayer)-thick InN wells on/in +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the effects of growth temperature. Attention was also given to how and where the ˜1-ML-thick InN layers were frozen or embedded on/in the +c-GaN matrix. The D-ALEp of InN on GaN was a two-stage process; in the 1st stage, an "In+N" bilayer/monolayer was formed on the GaN surface, while in the 2nd, this was capped by a GaN barrier layer. Each process was monitored in-situ using spectroscopic ellipsometry. The target growth temperature was above 620 °C and much higher than the upper critical epitaxy temperature of InN (˜500 °C). The "In+N" bilayer/monolayer tended to be an incommensurate phase, and the growth of InN layers was possible only when they were capped with a GaN layer. The InN layers could be coherently inserted into the GaN matrix under self-organizing and self-limiting epitaxy modes. The growth temperature was the most dominant growth parameter on both the growth process and the structure of the InN layers. Reflecting the inherent growth behavior of D-ALEp grown InN on/in +c-GaN at high growth temperature, the embedded InN layers in the GaN matrix were basically not full-ML in coverage, and the thickness of sheet-island-like InN layers was essentially either 1-ML or 2-ML. It was found that these InN layers tended to be frozen at the step edges on the GaN and around screw-type threading dislocations. The InN wells formed type-I band line-up heterostructures with GaN barriers, with exciton localization energies of about 300 and 500 meV at 15 K for the 1-ML and 2-ML InN wells, respectively.

  6. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  7. Reversibility of temperature driven discrete layer-by-layer formation of dioctyl-benzothieno-benzothiophene films.

    Science.gov (United States)

    Dohr, M; Ehmann, H M A; Jones, A O F; Salzmann, I; Shen, Q; Teichert, C; Ruzié, C; Schweicher, G; Geerts, Y H; Resel, R; Sferrazza, M; Werzer, O

    2017-03-22

    Film forming properties of semiconducting organic molecules comprising alkyl-chains combined with an aromatic unit have a decisive impact on possible applications in organic electronics. In particular, knowledge on the film formation process in terms of wetting or dewetting, and the precise control of these processes, is of high importance. In the present work, the subtle effect of temperature on the morphology and structure of dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) films deposited on silica surfaces by spin coating is investigated in situ via X-ray diffraction techniques and atomic force microscopy. Depending on temperature, bulk C8-BTBT exhibits a crystalline, a smectic A and an isotropic phase. Heating of thin C8-BTBT layers at temperatures below the smectic phase transition temperature leads to a strong dewetting of the films. Upon approaching the smectic phase transition, the molecules start to rewet the surface in the form of discrete monolayers with a defined number of monolayers being present at a given temperature. The wetting process and layer formation is well defined and thermally stable at a given temperature. On cooling the reverse effect is observed and dewetting occurs. This demonstrates the full reversibility of the film formation behavior and reveals that the layering process is defined by an equilibrium thermodynamic state, rather than by kinetic effects.

  8. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    Science.gov (United States)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-12-01

    Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 104 Langmuir (L), where 1 L corresponds to 1.33 × 10-4 Pa s (or 1.0 × 10-6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH3.

  9. AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition.

    Science.gov (United States)

    Tzou, An-Jye; Chu, Kuo-Hsiung; Lin, I-Feng; Østreng, Erik; Fang, Yung-Sheng; Wu, Xiao-Peng; Wu, Bo-Wei; Shen, Chang-Hong; Shieh, Jia-Ming; Yeh, Wen-Kuan; Chang, Chun-Yen; Kuo, Hao-Chung

    2017-12-01

    We report a low current collapse GaN-based high electron mobility transistor (HEMT) with an excellent thermal stability at 150 °C. The AlN was grown by N 2 -based plasma enhanced atomic layer deposition (PEALD) and shown a refractive index of 1.94 at 633 nm of wavelength. Prior to deposit AlN on III-nitrides, the H 2 /NH 3 plasma pre-treatment led to remove the native gallium oxide. The X-ray photoelectron spectroscopy (XPS) spectroscopy confirmed that the native oxide can be effectively decomposed by hydrogen plasma. Following the in situ ALD-AlN passivation, the surface traps can be eliminated and corresponding to a 22.1% of current collapse with quiescent drain bias (V DSQ ) at 40 V. Furthermore, the high temperature measurement exhibited a shift-free threshold voltage (V th ), corresponding to a 40.2% of current collapse at 150 °C. The thermal stable HEMT enabled a breakdown voltage (BV) to 687 V at high temperature, promising a good thermal reliability under high power operation.

  10. AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Tzou, An-Jye; Chu, Kuo-Hsiung; Lin, I.-Feng; Østreng, Erik; Fang, Yung-Sheng; Wu, Xiao-Peng; Wu, Bo-Wei; Shen, Chang-Hong; Shieh, Jia-Ming; Yeh, Wen-Kuan; Chang, Chun-Yen; Kuo, Hao-Chung

    2017-04-01

    We report a low current collapse GaN-based high electron mobility transistor (HEMT) with an excellent thermal stability at 150 °C. The AlN was grown by N2-based plasma enhanced atomic layer deposition (PEALD) and shown a refractive index of 1.94 at 633 nm of wavelength. Prior to deposit AlN on III-nitrides, the H2/NH3 plasma pre-treatment led to remove the native gallium oxide. The X-ray photoelectron spectroscopy (XPS) spectroscopy confirmed that the native oxide can be effectively decomposed by hydrogen plasma. Following the in situ ALD-AlN passivation, the surface traps can be eliminated and corresponding to a 22.1% of current collapse with quiescent drain bias ( V DSQ) at 40 V. Furthermore, the high temperature measurement exhibited a shift-free threshold voltage ( V th), corresponding to a 40.2% of current collapse at 150 °C. The thermal stable HEMT enabled a breakdown voltage (BV) to 687 V at high temperature, promising a good thermal reliability under high power operation.

  11. Characterization of ZnO film grown on polycarbonate by atomic layer deposition at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Gyeong Beom; Han, Gwon Deok; Shim, Joon Hyung; Choi, Byoung-Ho, E-mail: bhchoi@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-707 (Korea, Republic of)

    2015-01-15

    ZnO is an attractive material for use in various technological products such as phosphors, gas sensors, and transparent conductors. Recently, aluminum-doped zinc oxide has received attention as a potential replacement for indium tin oxide, which is one of the transparent conductive oxides used in flat panel displays, organic light-emitting diodes, and organic solar cells. In this study, the characteristics of ZnO films deposited on polycarbonate (PC) substrates by atomic layer deposition (ALD) are investigated for various process temperatures. The growth mechanism of these films was investigated at low process temperatures using x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS). XRD and XPS were used to determine the preferred orientation and chemical composition of the films, respectively. Furthermore, the difference of the deposition mechanisms on an amorphous organic material, i.e., PC substrate and an inorganic material such as silicon was discussed from the viewpoint of the diffusion and deposition of precursors. The structure of the films was also investigated by chemical analysis in order to determine the effect of growth temperature on the films deposited by ALD.

  12. Quantum chemical study of the elementary reactions in zirconium oxide atomic layer deposition

    International Nuclear Information System (INIS)

    Widjaja, Yuniarto; Musgrave, Charles B.

    2002-01-01

    Elementary reactions in atomic layer deposition of zirconia using zirconium tetrachloride and water are investigated using the density functional theory. The atomistic mechanisms of the two deposition half cycles on the Zr-OH and Zr-Cl surface sites are investigated. Both half reactions proceed through the formation of stable intermediates, resulting in high barriers for HCl formation. We find that the intermediate stability is lowered as the surface temperature is raised. However, increasing temperature also increases the dissociation free-energy barrier, which in turn results in increased desorption of adsorbed precursors

  13. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    International Nuclear Information System (INIS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-01-01

    Highlights: • We discuss the reaction mechanism of the low temperature GaN ALD. • The plasma-excited NH 3 is effective in the nitridation of the TMG saturated GaN surface with surface temperatures in excess of 100 °C. • The temperature controlled ALD of GaN is examined using RT-TMG adsorption and plasma-excited NH 3 treatment with the temperature of 115 °C. - Abstract: Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH 3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 10 4 Langmuir (L), where 1 L corresponds to 1.33 × 10 −4 Pa s (or 1.0 × 10 −6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH 3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH 3 .

  14. Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition

    NARCIS (Netherlands)

    Mackus, A.J.M.; Mulders, J.J.L.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    An approach for direct-write fabrication of high-purity platinum nanostructures has been developed by combining nanoscale lateral patterning by electron beam induced deposition (EBID) with area-selective deposition of high quality material by atomic layer deposition (ALD). Because virtually pure,

  15. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  16. Influence of substrate temperature and Zn-precursors on atomic layer deposition of polycrystalline ZnO films on glass

    International Nuclear Information System (INIS)

    Makino, Hisao; Miyake, Aki; Yamada, Takahiro; Yamamoto, Naoki; Yamamoto, Tetsuya

    2009-01-01

    Influence of substrate temperature and Zn-precursors on growth rate, crystal structure, and electrical property of undoped ZnO thin films grown by atomic layer deposition (ALD) have been studied. Differences between dimethylzinc (DMeZn) and diethylzinc (DEtZn) used as Zn-precursors were examined. The ZnO films grown using DMeZn showed higher electrical resistivity compared to that grown using DEtZn. However, the higher resistivity in the case of DMeZn was owing to much amount of residual impurities incorporated during the ALD growth

  17. Optimizing pentacene thin-film transistor performance: Temperature and surface condition induced layer growth modification.

    Science.gov (United States)

    Lassnig, R; Hollerer, M; Striedinger, B; Fian, A; Stadlober, B; Winkler, A

    2015-11-01

    In this work we present in situ electrical and surface analytical, as well as ex situ atomic force microscopy (AFM) studies on temperature and surface condition induced pentacene layer growth modifications, leading to the selection of optimized deposition conditions and entailing performance improvements. We prepared p ++ -silicon/silicon dioxide bottom-gate, gold bottom-contact transistor samples and evaluated the pentacene layer growth for three different surface conditions (sputtered, sputtered + carbon and unsputtered + carbon) at sample temperatures during deposition of 200 K, 300 K and 350 K. The AFM investigations focused on the gold contacts, the silicon dioxide channel region and the highly critical transition area. Evaluations of coverage dependent saturation mobilities, threshold voltages and corresponding AFM analysis were able to confirm that the first 3-4 full monolayers contribute to the majority of charge transport within the channel region. At high temperatures and on sputtered surfaces uniform layer formation in the contact-channel transition area is limited by dewetting, leading to the formation of trenches and the partial development of double layer islands within the channel region instead of full wetting layers. By combining the advantages of an initial high temperature deposition (well-ordered islands in the channel) and a subsequent low temperature deposition (continuous film formation for low contact resistance) we were able to prepare very thin (8 ML) pentacene transistors of comparably high mobility.

  18. Atomic layer deposition of crystalline SrHfO3 directly on Ge (001) for high-k dielectric applications

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G.; Hu, Chengqing; Jiang, Aiting; Yu, Edward T.; Lu, Sirong; Smith, David J.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO 3 (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10 −5 A/cm 2 at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D it ) is estimated to be as low as ∼2 × 10 12  cm −2  eV −1 under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D it value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications

  19. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  20. Atomic size and local order effects on the high temperature strength of binary Mg alloys

    Energy Technology Data Exchange (ETDEWEB)

    Abaspour, Saeideh, E-mail: s.abaspour78@gmail.com [ARC-Centre of Excellence for Design in Light Metals, Materials Engineering, School of Engineering, The University of Queensland, Brisbane QLD 4072 (Australia); Queensland Centre for Advanced Materials Processing and Manufacturing (AMPAM), The University of Queensland (Australia); Zambelli, Victor [ARC-Centre of Excellence for Design in Light Metals, Materials Engineering, School of Engineering, The University of Queensland, Brisbane QLD 4072 (Australia); Dargusch, Matthew [Queensland Centre for Advanced Materials Processing and Manufacturing (AMPAM), The University of Queensland (Australia); Cáceres, Carlos H. [ARC-Centre of Excellence for Design in Light Metals, Materials Engineering, School of Engineering, The University of Queensland, Brisbane QLD 4072 (Australia)

    2016-09-15

    The solid solution strengthening introduced by Ca (0.6 and 0.9 at%) and Sn 0.5–2.5 at%) was studied through tensile, compression and stress relaxation tests at room temperature, 373 K (100 °C) and 453 K (180 °C) on solution heat-treated and quenched specimens and compared with existing data for binary alloys containing Ca, Sn, Y, Gd, Nd, Zn and Al as well as for AZ91 alloy. At room temperature the solution-hardening rate introduced by Ca and Sn was much higher than that of Al, matching those of Y, Gd and Zn. Calcium also reduced the tension/compression asymmetry. At high temperature Ca effectively prevented stress relaxation, nearly matching Y, Gd and Nd. Tin was less effective, but still outperformed Al and AZ91 at low stresses. The effects at room and high temperature introduced by Ca and Sn appeared consistent with the presence of short-range order, in line with those introduced by Y, Nd, Gd and Zn. The larger than Mg atom size of Ca, Nd, Gd and Y can be expected to intensify the local order by strengthening the atomic bonds through its effects on the local electron density, accounting for their greater strengthening at high temperature. For given difference in atomic size, the effects on the local order are expected to be lesser for smaller sized atoms like Sn and Zn, hence their more subdued effects.

  1. Nano-soldering to single atomic layer

    Science.gov (United States)

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  2. Building a Better Capacitor with Thin-Film Atomic Layer Deposition Processing

    Energy Technology Data Exchange (ETDEWEB)

    Pike, Christopher [North Seattle College, WA (United States)

    2015-08-28

    The goal of this research is to determine procedures for creating ultra-high capacity supercapacitors by using nanofabrication techniques and high k-value dielectrics. One way to potentially solve the problem of climate change is to switch the source of energy to a source that doesn’t release many tons of greenhouse gases, gases which cause global warming, into the Earth’s atmosphere. These trap in more heat from the Sun’s solar energy and cause global temperatures to rise. Atomic layer deposition will be used to create a uniform thin-film of dielectric to greatly enhance the abilities of our capacitors and will build them on the nanoscale.

  3. Dispersion engineering of thick high-Q silicon nitride ring-resonators via atomic layer deposition.

    Science.gov (United States)

    Riemensberger, Johann; Hartinger, Klaus; Herr, Tobias; Brasch, Victor; Holzwarth, Ronald; Kippenberg, Tobias J

    2012-12-03

    We demonstrate dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition. Both, magnitude and bandwidth of anomalous dispersion can be significantly increased. The results are confirmed by high resolution frequency-comb-assisted-diode-laser spectroscopy and are in very good agreement with the simulated modification of the mode spectrum.

  4. Is the boundary layer of an ionic liquid equally lubricating at higher temperature?

    Science.gov (United States)

    Hjalmarsson, Nicklas; Atkin, Rob; Rutland, Mark W

    2016-04-07

    Atomic force microscopy has been used to study the effect of temperature on normal forces and friction for the room temperature ionic liquid (IL) ethylammonium nitrate (EAN), confined between mica and a silica colloid probe at 25 °C, 50 °C, and 80 °C. Force curves revealed a strong fluid dynamic influence at room temperature, which was greatly reduced at elevated temperatures due to the reduced liquid viscosity. A fluid dynamic analysis reveals that bulk viscosity is manifested at large separation but that EAN displays a nonzero slip, indicating a region of different viscosity near the surface. At high temperatures, the reduction in fluid dynamic force reveals step-like force curves, similar to those found at room temperature using much lower scan rates. The ionic liquid boundary layer remains adsorbed to the solid surface even at high temperature, which provides a mechanism for lubrication when fluid dynamic lubrication is strongly reduced. The friction data reveals a decrease in absolute friction force with increasing temperature, which is associated with increased thermal motion and reduced viscosity of the near surface layers but, consistent with the normal force data, boundary layer lubrication was unaffected. The implications for ILs as lubricants are discussed in terms of the behaviour of this well characterised system.

  5. High temperature interface superconductivity

    International Nuclear Information System (INIS)

    Gozar, A.; Bozovic, I.

    2016-01-01

    Highlight: • This review article covers the topic of high temperature interface superconductivity. • New materials and techniques used for achieving interface superconductivity are discussed. • We emphasize the role played by the differences in structure and electronic properties at the interface with respect to the bulk of the constituents. - Abstract: High-T_c superconductivity at interfaces has a history of more than a couple of decades. In this review we focus our attention on copper-oxide based heterostructures and multi-layers. We first discuss the technique, atomic layer-by-layer molecular beam epitaxy (ALL-MBE) engineering, that enabled High-T_c Interface Superconductivity (HT-IS), and the challenges associated with the realization of high quality interfaces. Then we turn our attention to the experiments which shed light on the structure and properties of interfacial layers, allowing comparison to those of single-phase films and bulk crystals. Both ‘passive’ hetero-structures as well as surface-induced effects by external gating are discussed. We conclude by comparing HT-IS in cuprates and in other classes of materials, especially Fe-based superconductors, and by examining the grand challenges currently laying ahead for the field.

  6. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  7. Alkali-resistant low-temperature atomic-layer-deposited oxides for optical fiber sensor overlays

    Science.gov (United States)

    Kosiel, K.; Dominik, M.; Ściślewska, I.; Kalisz, M.; Guziewicz, M.; Gołaszewska, K.; Niedziółka-Jonsson, J.; Bock, W. J.; Śmietana, M.

    2018-04-01

    This paper presents an investigation of properties of selected metallic oxides deposited at a low temperature (100 °C) by atomic layer deposition (ALD) technique, relating to their applicability as thin overlays for optical fiber sensors resistant in alkaline environments. Hafnium oxide (Hf x O y with y/x approx. 2.70), tantalum oxide (Ta x O y with y/x approx. 2.75) and zirconium oxide (Zr x O y with y/x approx. 2.07), which deposition was based, respectively, on tetrakis(ethylmethyl)hafnium, tantalum pentachloride and tetrakis(ethylmethyl)zirconium with deionized water, were tested as thin layers on planar Si (100) and glass substrates. Growth per cycle (GPC) in the ALD processes was 0.133-0.150 nm/cycle. Run-to-run GPC reproducibility of the ALD processes was best for Hf x O y (0.145 ± 0.001 nm/cycle) and the poorest for Ta x O y (0.133 ± 0.003 nm/cycle). Refractive indices n of the layers were 2.00-2.10 (at the wavelength λ = 632 nm), with negligible k value (at λ for 240-930 nm). The oxides examined by x-ray diffractometry proved to be amorphous, with only small addition of crystalline phases for the Zr x O y . The surfaces of the oxides had grainy but smooth topographies with root-mean square roughness ˜0.5 nm (at 10 × 10 μm2 area) according to atomic force microscopy. Ellipsometric measurements, by contrast, suggest rougher surfaces for the Zr x O y layers. The surfaces were also slightly rougher on the glass-based samples than on the Si-based ones. Nanohardness and Young modules were 4.90-8.64 GPa and 83.7-104.4 GPa, respectively. The tests of scratch resistance revealed better tribological properties for the Hf x O y and the Ta x O y than for the Zr x O y . The surfaces were hydrophilic, with wetting angles of 52.5°-62.9°. The planar oxides on Si, being resistive even to concentrated alkali (pH 14), proved to be significantly more alkali-resistive than Al2O3. The Ta x O y overlay was deposited on long-period grating sensor induced in optical

  8. Surface Morphology Transformation Under High-Temperature Annealing of Ge Layers Deposited on Si(100).

    Science.gov (United States)

    Shklyaev, A A; Latyshev, A V

    2016-12-01

    We study the surface morphology and chemical composition of SiGe layers after their formation under high-temperature annealing at 800-1100 °C of 30-150 nm Ge layers deposited on Si(100) at 400-500 °C. It is found that the annealing leads to the appearance of the SiGe layers of two types, i.e., porous and continuous. The continuous layers have a smoothened surface morphology and a high concentration of threading dislocations. The porous and continuous layers can coexist. Their formation conditions and the ratio between their areas on the surface depend on the thickness of deposited Ge layers, as well as on the temperature and the annealing time. The data obtained suggest that the porous SiGe layers are formed due to melting of the strained Ge layers and their solidification in the conditions of SiGe dewetting on Si. The porous and dislocation-rich SiGe layers may have properties interesting for applications.

  9. Low temperature bonding of heterogeneous materials using Al2O3 as an intermediate layer

    DEFF Research Database (Denmark)

    Sahoo, Hitesh Kumar; Ottaviano, Luisa; Zheng, Yi

    2018-01-01

    Integration of heterogeneous materials is crucial for many nanophotonic devices. The integration is often achieved by bonding using polymer adhesives or metals. A much better and cleaner option is direct wafer bonding, but the high annealing temperatures required make it a much less attractive...... atomic layer deposited Al2O3 an excellent choice for the intermediate layer. The authors have optimized the bonding process to achieve a high interface energy of 1.7 J/m2 for a low temperature annealing of 300 °C. The authors also demonstrate wafer bonding of InP to SiO2 on Si and GaAs to sapphire using...

  10. Rational design of atomic-layer-deposited LiFePO4 as a high-performance cathode for lithium-ion batteries.

    Science.gov (United States)

    Liu, Jian; Banis, Mohammad N; Sun, Qian; Lushington, Andrew; Li, Ruying; Sham, Tsun-Kong; Sun, Xueliang

    2014-10-08

    Atomic layer deposition is successfully applied to synthesize lithium iron phosphate in a layer-by-layer manner by using self-limiting surface reactions. The lithium iron phosphate exhibits high power density, excellent rate capability, and ultra-long lifetime, showing great potential for vehicular lithium batteries and 3D all-solid-state microbatteries. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    International Nuclear Information System (INIS)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H.; Kinge, Sachin

    2015-01-01

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO 2 layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10 −2  A W −1 and a shot-derived specific detectivity of 3 × 10 9  Jones at 1530 nm wavelength

  12. Semi-analytical wave functions in relativistic average atom model for high-temperature plasmas

    International Nuclear Information System (INIS)

    Guo Yonghui; Duan Yaoyong; Kuai Bin

    2007-01-01

    The semi-analytical method is utilized for solving a relativistic average atom model for high-temperature plasmas. Semi-analytical wave function and the corresponding energy eigenvalue, containing only a numerical factor, are obtained by fitting the potential function in the average atom into hydrogen-like one. The full equations for the model are enumerated, and more attentions are paid upon the detailed procedures including the numerical techniques and computer code design. When the temperature of plasmas is comparatively high, the semi-analytical results agree quite well with those obtained by using a full numerical method for the same model and with those calculated by just a little different physical models, and the result's accuracy and computation efficiency are worthy of note. The drawbacks for this model are also analyzed. (authors)

  13. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  14. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  15. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  16. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  17. Magnetic dichroism in photoemission: a new element-specific magnetometer with atomic-layer resolution

    International Nuclear Information System (INIS)

    Starke, K.; Arenholz, E.; Kaindl, G.

    1998-01-01

    Full text: Magnetic coupling in layered metallic structures has become a key issue in thin-film magnetism since the observation of oscillatory exchange coupling across non-ferromagnetic spacer layers. Although this phenomenon was discovered in rare earths (RE) superlattices, mostly transition-metal systems have been studied and are now applied in data-storage industry. An understanding of the coupling mechanisms has been reached after a fabrication of high-quality interfaces became possible. It allowed, in particular, the experimental finding of induced ferromagnetic order in 'nonmagnetic' atomic layers near an interface, using element-specific probes such as magnetic circular dichroism in x-ray absorption. - In layered RE systems, by contrast, the well known intermiscibility has prevented a preparation of atomically sharp interfaces, and all RE superlattices studied so far showed interdiffusion zones of several atomic layers. In the present overview, we report the first fabrication of atomically flat heteromagnetic RE interfaces, their structural characterization and their magnetic analysis using magnetic dichroism in photoemission (MDPE). This new tool gives access to the magnetization of individual atomic layers near interfaces in favourite cases. Merits of MDPE as a magnetometer are demonstrated at the example of Eu/Gd(0001), where chemical shifts of core-level photoemission lines allow to spectroscopically separate up to four different atomic layers. The high surface sensitivity of MDPE, together with the well known dependence of the core-level binding energies on the coordination number of the photo emitting atom, opens the door to future site-specific studies of magnetism in sub-monolayer systems such as 'nanowires'

  18. Coking- and sintering-resistant palladium catalysts achieved through atomic layer deposition.

    Science.gov (United States)

    Lu, Junling; Fu, Baosong; Kung, Mayfair C; Xiao, Guomin; Elam, Jeffrey W; Kung, Harold H; Stair, Peter C

    2012-03-09

    We showed that alumina (Al(2)O(3)) overcoating of supported metal nanoparticles (NPs) effectively reduced deactivation by coking and sintering in high-temperature applications of heterogeneous catalysts. We overcoated palladium NPs with 45 layers of alumina through an atomic layer deposition (ALD) process that alternated exposures of the catalysts to trimethylaluminum and water at 200°C. When these catalysts were used for 1 hour in oxidative dehydrogenation of ethane to ethylene at 650°C, they were found by thermogravimetric analysis to contain less than 6% of the coke formed on the uncoated catalysts. Scanning transmission electron microscopy showed no visible morphology changes after reaction at 675°C for 28 hours. The yield of ethylene was improved on all ALD Al(2)O(3) overcoated Pd catalysts.

  19. Atomic layer deposition of crystalline SrHfO{sub 3} directly on Ge (001) for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Jiang, Aiting; Yu, Edward T. [Microelectronics Research Center, The University of Texas at Austin, Austin, Texas 78758 (United States); Lu, Sirong; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-02-07

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO{sub 3} (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10{sup −5} A/cm{sup 2} at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D{sub it}) is estimated to be as low as ∼2 × 10{sup 12 }cm{sup −2 }eV{sup −1} under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D{sub it} value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications.

  20. Thermally activated flux creep in strongly layered high-temperature superconductors

    International Nuclear Information System (INIS)

    Chakravarty, S.; Ivlev, B.I.; Ovchinnikov, Y.N.

    1990-01-01

    Thermal activation energies for single vortices and vortex bundles in the presence of a magnetic field parallel to the layers are calculated. The pinning considered is intrinsic and is due to the strongly layered structure of high-temperature superconductors. The magnetic field and the current dependence of the activation energy are studied in detail. The calculation of the activation energy is used to determine the current-voltage characteristic. It may be possible to observe the effects discussed in this paper in a pure enough sample

  1. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  2. Effects of AlN Coating Layer on High Temperature Characteristics of Langasite SAW Sensors

    Directory of Open Access Journals (Sweden)

    Lin Shu

    2016-09-01

    Full Text Available High temperature characteristics of langasite surface acoustic wave (SAW devices coated with an AlN thin film have been investigated in this work. The AlN films were deposited on the prepared SAW devices by mid-frequency magnetron sputtering. The SAW devices coated with AlN films were measured from room temperature to 600 °C. The results show that the SAW devices can work up to 600 °C. The AlN coating layer can protect and improve the performance of the SAW devices at high temperature. The SAW velocity increases with increasing AlN coating layer thickness. The temperature coefficients of frequency (TCF of the prepared SAW devices decrease with increasing thickness of AlN coating layers, while the electromechanical coupling coefficient (K2 of the SAW devices increases with increasing AlN film thickness. The K2 of the SAW devices increases by about 20% from room temperature to 600 °C. The results suggest that AlN coating layer can not only protect the SAW devices from environmental contamination, but also improve the K2 of the SAW devices.

  3. Electron-stimulated desorption of cesium atoms from cesium layers adsorbed on gold-covered tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Ageev, V N; Kuznetsov, Yu A; Potekhina, N D, E-mail: kuznets@ms.ioffe.r [A F Ioffe Physico-Technical Institute, Russian Academy of Sciences, 194021, St Petersburg (Russian Federation)

    2010-03-03

    The electron-stimulated desorption (ESD) yields and energy distributions (ED) for neutral cesium atoms have been measured from cesium layers adsorbed on a gold-covered tungsten surface as a function of electron energy, gold film thickness, cesium coverage and substrate temperature. The measurements have been carried out using a time-of-flight method and surface ionization detector in the temperature range 160-300 K. A measurable ESD yield for Cs atoms is observed only after deposition of more than one monolayer of gold and cesium on a tungsten surface at a temperature T = 300 K, which is accompanied by the formation of a CsAu semiconductor film covered with a cesium atom monolayer. The Cs atom ESD yield as a function of incident electron energy has a resonant character and consists of two peaks, the appearance of which depends on both electron energy and substrate temperature. The first peak has an appearance threshold at an electron energy of 57 eV and a substrate temperature of 300 K that is due to Au 5p{sub 3/2} core level excitation in the substrate. The second peak appears at an electron energy of 24 eV and a substrate temperature of 160 K. It is associated with a Cs 5s core level excitation in the Cs adsorbed layer. The Au 5p{sub 3/2} level excitation corresponds to a single broad peak in the ED with a maximum at a kinetic energy of 0.45 eV at a substrate temperature T = 300 K, which is split into two peaks with maxima at kinetic energies of 0.36 and 0.45 eV at a substrate temperature of 160 K, associated with different Cs atom ESD channels. The Cs 5s level excitation leads to an ED for Cs atoms with a maximum at a kinetic energy of approx 0.57 eV which exists only at T < 240 K and low Cs concentrations. The mechanisms for all the Cs atom ESD channels are proposed and compared with the Na atom ESD channels in the Na-Au-W system.

  4. Ti–Al–O nanocrystal charge trapping memory cells fabricated by atomic layer deposition

    International Nuclear Information System (INIS)

    Cao, Zheng-Yi; Li, Ai-Dong; Li, Xin; Cao, Yan-Qiang; Wu, Di

    2014-01-01

    Charge trapping memory cells using Ti–Al–O (TAO) film as charge trapping layer and amorphous Al 2 O 3 as the tunneling and blocking layers were fabricated on Si substrates by atomic layer deposition method. As-deposited TAO films were annealed at 700 °C, 800 °C and 900 °C for 3 min in N 2 with a rapid thermal annealing process to form nanocrystals. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructure and band diagram of the heterostructures. The electrical characteristics and charge storage properties of the Al 2 O 3 /TAO/Al 2 O 3 /Si stack structures were also evaluated. Compared to 700 °C and 900 °C samples, the memory cells annealed at 800 °C exhibit better memory performance with larger memory window of 4.8 V at ± 6 V sweeping, higher program/erase speed and excellent endurance. - Highlights: • The charge trapping memory cells were fabricated by atomic layer deposition method. • The anneal temperature plays a key role in forming nanocrystals. • The memory cells annealed at 800 °C exhibit better memory performance. • The band alignment is beneficial to enhance the retention characteristics

  5. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H., E-mail: ted.sargent@utoronto.ca [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada); Kinge, Sachin [Advanced Technology, Materials and Research, Research and Development, Hoge Wei 33- Toyota Technical Centre, B-1930 Zaventem (Belgium)

    2015-10-12

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO{sub 2} layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10{sup −2} A W{sup −1} and a shot-derived specific detectivity of 3 × 10{sup 9} Jones at 1530 nm wavelength.

  6. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    Auger Electron Spectroscopy (AES) was used to study the nucleation and growth of tungsten on aluminum oxide surfaces. Tungsten metal was deposited using Atomic Layer Deposition (ALD) techniques. ALD uses sequential surface reactions to deposit material with atomic layer control. W ALD is performed using sequential exposures of WF6 and Si2H6. The step-wise nature of W ALD allows nucleation studies to be performed by analyzing the W surface concentration after each ALD reaction. Nucleation and growth regions can be identified by quantifying the AES signal intensities from both the W surface and the Al2O3 substrate. W nucleation occurred in 3 ALD reaction cycles. The AES results yielded a nucleation rate of 1.0 A/ALD cycle and a growth rate of ≈3 A/ALD cycle. AES studies also explored the nucleation and growth of Al2O3 on W. Al2O3 nucleated in 1 ALD cycle giving a nucleation rate of 3.5 A/ALD cycle and a subsequent growth rate of 1.0 A/ALD cycle. Mass spectrometry was then used to study the ALD reaction chemistry of tungsten deposition. Because of the step-wise nature of the W ALD chemistry, each W ALD reaction could be studied independently. The gaseous mass products were identified from both the WF6 and Si2H6 reactions. H2, HF and SiF4 mass products were observed for the WF6 reaction. The Si2H6 reaction displayed a room temperature reaction and a 200°C reaction. Products from the room temperature Si2H6 reaction were H2 and SiF3H. The reaction at 200°C yielded only H2 as a reaction product. H2 desorption from the surface contributes to the 200°C Si2H6 reaction. AES was used to confirm that the gas phase reaction products are correlated with a change in the surface species. Atomic hydrogen reduction of metal halides and oganometallic compounds provides another method for depositing metals with atomic layer control. The quantity of atomic hydrogen necessary to perform this chemistry is critical to the metal ALD process. A thermocouple probe was constructed to

  7. Stabilizing nanostructured solid oxide fuel cell cathode with atomic layer deposition.

    Science.gov (United States)

    Gong, Yunhui; Palacio, Diego; Song, Xueyan; Patel, Rajankumar L; Liang, Xinhua; Zhao, Xuan; Goodenough, John B; Huang, Kevin

    2013-09-11

    We demonstrate that the highly active but unstable nanostructured intermediate-temperature solid oxide fuel cell cathode, La0.6Sr0.4CoO3-δ (LSCo), can retain its high oxygen reduction reaction (ORR) activity with exceptional stability for 4000 h at 700 °C by overcoating its surfaces with a conformal layer of nanoscale ZrO2 films through atomic layer deposition (ALD). The benefits from the presence of the nanoscale ALD-ZrO2 overcoats are remarkable: a factor of 19 and 18 reduction in polarization area-specific resistance and degradation rate over the pristine sample, respectively. The unique multifunctionality of the ALD-derived nanoscaled ZrO2 overcoats, that is, possessing porosity for O2 access to LSCo, conducting both electrons and oxide-ions, confining thermal growth of LSCo nanoparticles, and suppressing surface Sr-segregation is deemed the key enabler for the observed stable and active nanostructured cathode.

  8. Verification of High Temperature Free Atom Thermal Scattering in MERCURY Compared to TART

    International Nuclear Information System (INIS)

    Cullen, D E; McKinley, S; Hagmann, C

    2006-01-01

    This is part of a series of reports verifying the accuracy of the relatively new MERCURY [1] Monte Carlo particle transport code by comparing its results to those of the older TART [2] Monte Carlo particle transport code. In the future we hope to extend these comparisons to include deterministic (Sn) codes [3]. Here we verify the accuracy of the free atom thermal scattering model [4] by using it over a very large temperature range. We would like to be able to use these Monte Carlo codes for astrophysical applications, where the temperature of the medium can be extremely high compared to the temperatures we normally encounter in our terrestrial applications [5]. The temperature is so high that is it often defined in eV rather than Kelvin. For a correspondence between the two scale 293.6 Kelvin (room temperature) corresponds to 0.0253 eV ∼ 1/40 eV. So that 1 eV temperature is about 12,000 Kelvin, and 1 keV temperature is about 12 million Kelvin. Here we use a relatively small system measured in cm, but by using ρR scaling [6] our results are equally applicable to systems measured in Km or thousands of Km or any size that we need for astrophysical applications. The emphasis here is not on modeling any given real system, but rather in verifying the accuracy of the free atom model to represent theoretical results over a large temperature range. There are two primary objectives of this report: (1) Verify agreement between MERCURY and TART results, both using continuous energy cross sections. In particular we want to verify the free atom scattering treatment in MERCURY as used over an extended temperature range; by comparison to many other codes for TART this has already been verified over many years [4, 7]. (2) Demonstrate that this agreement depends on using continuous energy cross sections. To demonstrate this we also present TART using the Multi-Band method [8, 9], which accounts for resonance self-shielding, and Multi-Group method, without self-shielding [9

  9. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  10. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  11. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  12. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  13. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  14. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Pansila, P. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Kanomata, K. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Japan Society for the Promotion of Science, 5-3-1 Kojimachi, Chiyoda-ku, Tokyo 102-0083 (Japan); Miura, M. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Ahmmad, B.; Kubota, S. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); CREST, Japan Science and Technology Agency, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Hirose, F., E-mail: fhirose@yz.yamagata-u.ac.jp [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); CREST, Japan Science and Technology Agency, 4-3-16 Jonan, Yonezawa 992-8510 (Japan)

    2015-12-01

    Highlights: • We discuss the reaction mechanism of the low temperature GaN ALD. • The plasma-excited NH{sub 3} is effective in the nitridation of the TMG saturated GaN surface with surface temperatures in excess of 100 °C. • The temperature controlled ALD of GaN is examined using RT-TMG adsorption and plasma-excited NH{sub 3} treatment with the temperature of 115 °C. - Abstract: Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH{sub 3} are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 10{sup 4} Langmuir (L), where 1 L corresponds to 1.33 × 10{sup −4} Pa s (or 1.0 × 10{sup −6} Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH{sub 3} on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH{sub 3}.

  15. Highly effective synthesis of NiO/CNT nanohybrids by atomic layer deposition for high-rate and long-life supercapacitors.

    Science.gov (United States)

    Yu, Lei; Wang, Guilong; Wan, Gengping; Wang, Guizhen; Lin, Shiwei; Li, Xinyue; Wang, Kan; Bai, Zhiming; Xiang, Yang

    2016-09-21

    In this work, we report an atomic layer deposition (ALD) method for the fabrication of NiO/CNT hybrid structures in order to improve electronic conductivity, enhance cycling stability and increase rate capability of NiO used as supercapacitor electrodes. A uniform NiO coating can be well deposited on carbon nanotubes (CNTs) through simultaneously employing O3 and H2O as oxidizing agents in a single ALD cycle of NiO for the first time, with a high growth rate of nearly 0.3 Å per cycle. The electrochemical properties of the as-prepared NiO/CNT were then investigated. The results show that the electrochemical capacitive properties are strongly associated with the thickness of the NiO coating. The NiO/CNT composite materials with 200 cycles of NiO deposition exhibit the best electrochemical properties, involving high specific capacitance (622 F g(-1) at 2 A g(-1), 2013 F g(-1) for NiO), excellent rate capability (74% retained at 50 A g(-1)) and outstanding cycling stability. The impressive results presented here suggest a great potential for the fabrication of composite electrode materials by atomic layer deposition applied in high energy density storage systems.

  16. Maglev performance of a double-layer bulk high temperature superconductor above a permanent magnet guideway

    International Nuclear Information System (INIS)

    Deng, Z; Wang, J; Zheng, J; Lin, Q; Zhang, Y; Wang, S

    2009-01-01

    In order to improve the performance of the present high temperature superconducting (HTS) maglev vehicle system, the maglev performance of single- and double-layer bulk high temperature superconductors (HTSC) was investigated above a permanent magnet guideway (PMG). It is found that the maglev performance of a double-layer bulk HTSC is not a simple addition of each layer's levitation and guidance force. Moreover, the applied magnetic field at the position of the upper layer bulk HTSC is not completely shielded by the lower layer bulk HTSC either. 53.5% of the levitation force and 27.5% of the guidance force of the upper layer bulk HTSC are excited in the double-layer bulk HTSC arrangement in the applied field-cooling condition and working gap, bringing a corresponding improvement of 16.9% and 8.8% to the conventional single-layer bulk HTSC. The present research implies that the cost performance of upper layer bulk HTSC is a little low for the whole HTS maglev system.

  17. Maglev performance of a double-layer bulk high temperature superconductor above a permanent magnet guideway

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Z; Wang, J; Zheng, J; Lin, Q; Zhang, Y; Wang, S [Applied Superconductivity Laboratory, Southwest Jiaotong University, Chengdu, 610031 (China)], E-mail: asclab@asclab.cn

    2009-05-15

    In order to improve the performance of the present high temperature superconducting (HTS) maglev vehicle system, the maglev performance of single- and double-layer bulk high temperature superconductors (HTSC) was investigated above a permanent magnet guideway (PMG). It is found that the maglev performance of a double-layer bulk HTSC is not a simple addition of each layer's levitation and guidance force. Moreover, the applied magnetic field at the position of the upper layer bulk HTSC is not completely shielded by the lower layer bulk HTSC either. 53.5% of the levitation force and 27.5% of the guidance force of the upper layer bulk HTSC are excited in the double-layer bulk HTSC arrangement in the applied field-cooling condition and working gap, bringing a corresponding improvement of 16.9% and 8.8% to the conventional single-layer bulk HTSC. The present research implies that the cost performance of upper layer bulk HTSC is a little low for the whole HTS maglev system.

  18. CVD synthesis of large-area, highly crystalline MoSe2 atomic layers on diverse substrates and application to photodetectors.

    Science.gov (United States)

    Xia, Jing; Huang, Xing; Liu, Ling-Zhi; Wang, Meng; Wang, Lei; Huang, Ben; Zhu, Dan-Dan; Li, Jun-Jie; Gu, Chang-Zhi; Meng, Xiang-Min

    2014-08-07

    Synthesis of large-area, atomically thin transition metal dichalcogenides (TMDs) on diverse substrates is of central importance for the large-scale fabrication of flexible devices and heterojunction-based devices. In this work, we successfully synthesized a large area of highly-crystalline MoSe2 atomic layers on SiO2/Si, mica and Si substrates using a simple chemical vapour deposition (CVD) method at atmospheric pressure. Atomic force microscopy (AFM) and Raman spectroscopy reveal that the as-grown ultrathin MoSe2 layers change from a single layer to a few layers. Photoluminescence (PL) spectroscopy demonstrates that while the multi-layer MoSe2 shows weak emission peaks, the monolayer has a much stronger emission peak at ∼ 1.56 eV, indicating the transition from an indirect to a direct bandgap. Transmission electron microscopy (TEM) analysis confirms the single-crystallinity of MoSe2 layers with a hexagonal structure. In addition, the photoresponse performance of photodetectors based on MoSe2 monolayer was studied for the first time. The devices exhibit a rapid response of ∼ 60 ms and a good photoresponsivity of ∼ 13 mA/W (using a 532 nm laser at an intensity of 1 mW mm(-2) and a bias of 10 V), suggesting that MoSe2 monolayer is a promising material for photodetection applications.

  19. Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Roozeboom, F.; Besling, W.F.A.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2009-01-01

    By employing plasma-assisted atomic layer deposition, thin films of Al2O3 and TiN are subsequently deposited in a single reactor at a single substrate temperature with the objective of fabricating high-quality TiN/Al2O3 / p-Si metal-oxide-semiconductor capacitors. Transmission electron microscopy

  20. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  1. Low temperature removal of surface oxides and hydrocarbons from Ge(100) using atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Walker, M., E-mail: m.walker@warwick.ac.uk; Tedder, M.S.; Palmer, J.D.; Mudd, J.J.; McConville, C.F.

    2016-08-30

    Highlights: • Preparation of a clean, well-ordered Ge(100) surface with atomic hydrogen. • Surface oxide layers removed by AHC at room temperature, but not hydrocarbons. • Increasing surface temperature during AHC dramatically improves efficiency. • AHC with the surface heated to 250 °C led to a near complete removal of contaminants. • (2 × 1) LEED pattern from IBA and AHC indicates asymmetric dimer reconstruction. - Abstract: Germanium is a group IV semiconductor with many current and potential applications in the modern semiconductor industry. Key to expanding the use of Ge is a reliable method for the removal of surface contamination, including oxides which are naturally formed during the exposure of Ge thin films to atmospheric conditions. A process for achieving this task at lower temperatures would be highly advantageous, where the underlying device architecture will not diffuse through the Ge film while also avoiding electronic damage induced by ion irradiation. Atomic hydrogen cleaning (AHC) offers a low-temperature, damage-free alternative to the common ion bombardment and annealing (IBA) technique which is widely employed. In this work, we demonstrate with X-ray photoelectron spectroscopy (XPS) that the AHC method is effective in removing surface oxides and hydrocarbons, yielding an almost completely clean surface when the AHC is conducted at a temperature of 250 °C. We compare the post-AHC cleanliness and (2 × 1) low energy electron diffraction (LEED) pattern to that obtained via IBA, where the sample is annealed at 600 °C. We also demonstrate that the combination of a sample temperature of 250 °C and atomic H dosing is required to clean the surface. Lower temperatures prove less effective in removal of the oxide layer and hydrocarbons, whilst annealing in ultra-high vacuum conditions only removes weakly bound hydrocarbons. Finally, we examine the subsequent H-termination of an IBA-cleaned sample using XPS, LEED and ultraviolet

  2. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao

    2013-02-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge/crystal orientations and stacking orders of bi-and tri-layer graphene (BLG and TLG) from Raman spectroscopy and transmission electron microscopy (TEM) experiments performed on the same sample. We introduce a new method of transferring graphene flakes onto a normal TEM grid. Using this novel method, we probed the BLG and TLG flakes that had been previously investigated by Raman scattering with high-resolution (atomic) TEM.

  3. Effect of Atomic Hydrogen on Preparation of Highly Moisture-Resistive SiNx Films at Low Substrate Temperatures

    Science.gov (United States)

    Heya, Akira; Niki, Toshikazu; Takano, Masahiro; Yonezawa, Yasuto; Minamikawa, Toshiharu; Muroi, Susumu; Minami, Shigehira; Izumi, Akira; Masuda, Atsushi; Umemoto, Hironobu; Matsumura, Hideki

    2004-12-01

    Highly moisture-resistive SiNx films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

  4. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  5. Receptivity of a high-speed boundary layer to temperature spottiness

    OpenAIRE

    Fedorov, A. V.; Ryzhov, A. A.; Soudakov, V. G.; Utyuzhnikov, S. V.

    2013-01-01

    Two-dimensional direct numerical simulation (DNS) of the receptivity of a flat-plate boundary layer to temperature spottiness in the Mach 6 free stream is carried out. The influence of spottiness parameters on the receptivity process is studied. It is shown that the temperature spots propagating near the upper boundary-layer edge generate mode F inside the boundary layer. Further downstream mode F is synchronized with unstable mode S (Mack second mode) and excites the latter via the inter-mod...

  6. Effects of finite temperature on two-photon transitions in a Rydberg atom in a high-Q cavity

    International Nuclear Information System (INIS)

    Puri, R.R.; Joshi, A.

    1989-01-01

    The effects of cavity temperature on an effective two-level atom undergoing two-photon transitions in a high-Q cavity are investigated. The quantum statistical properties of the field and the dynamical properties of the atom in this case are studied and compared with those for an atom making one-photon transitions between the two levels. The analysis is based on the solution of the equation for the density matrix in the secular approximation which is known to be a valid approximation in the case of a Rydberg atom in a high-Q cavity. (orig.)

  7. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng

    2018-05-11

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  8. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng; Wang, Zhenwei; Firdaus, Yuliar; Babics, Maxime; Alshareef, Husam N.; Beaujuge, Pierre

    2018-01-01

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  9. Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma.

    Science.gov (United States)

    Knoops, Harm C M; Braeken, Eline M J; de Peuter, Koen; Potts, Stephen E; Haukka, Suvi; Pore, Viljami; Kessels, Wilhelmus M M

    2015-09-09

    Atomic layer deposition (ALD) of silicon nitride (SiNx) is deemed essential for a variety of applications in nanoelectronics, such as gate spacer layers in transistors. In this work an ALD process using bis(tert-butylamino)silane (BTBAS) and N2 plasma was developed and studied. The process exhibited a wide temperature window starting from room temperature up to 500 °C. The material properties and wet-etch rates were investigated as a function of plasma exposure time, plasma pressure, and substrate table temperature. Table temperatures of 300-500 °C yielded a high material quality and a composition close to Si3N4 was obtained at 500 °C (N/Si=1.4±0.1, mass density=2.9±0.1 g/cm3, refractive index=1.96±0.03). Low wet-etch rates of ∼1 nm/min were obtained for films deposited at table temperatures of 400 °C and higher, similar to that achieved in the literature using low-pressure chemical vapor deposition of SiNx at >700 °C. For novel applications requiring significantly lower temperatures, the temperature window from room temperature to 200 °C can be a solution, where relatively high material quality was obtained when operating at low plasma pressures or long plasma exposure times.

  10. Enhancing the platinum atomic layer deposition infiltration depth inside anodic alumina nanoporous membrane

    Energy Technology Data Exchange (ETDEWEB)

    Vaish, Amit, E-mail: anv@udel.edu; Krueger, Susan; Dimitriou, Michael; Majkrzak, Charles [National Institute of Standards and Technology (NIST) Center for Neutron Research, Gaithersburg, MD 20899-8313 (United States); Vanderah, David J. [Institute for Bioscience and Biotechnology Research, NIST, Rockville, Maryland 20850 (United States); Chen, Lei, E-mail: lei.chen@nist.gov [NIST Center for Nanoscale Science and Technology, Gaithersburg, Maryland 20899-8313 (United States); Gawrisch, Klaus [Laboratory of Membrane Biochemistry and Biophysics, National Institute on Alcohol Abuse and Alcoholism, National Institutes of Health, Bethesda, Maryland 20892 (United States)

    2015-01-15

    Nanoporous platinum membranes can be straightforwardly fabricated by forming a Pt coating inside the nanopores of anodic alumina membranes (AAO) using atomic layer deposition (ALD). However, the high-aspect-ratio of AAO makes Pt ALD very challenging. By tuning the process deposition temperature and precursor exposure time, enhanced infiltration depth along with conformal coating was achieved for Pt ALD inside the AAO templates. Cross-sectional scanning electron microscopy/energy dispersive x-ray spectroscopy and small angle neutron scattering were employed to analyze the Pt coverage and thickness inside the AAO nanopores. Additionally, one application of platinum-coated membrane was demonstrated by creating a high-density protein-functionalized interface.

  11. New high temperature plasmas and sample introduction systems for analytical atomic emission and mass spectrometry

    International Nuclear Information System (INIS)

    Montaser, A.

    1993-01-01

    In this research, new high-temperature plasmas and new sample introduction systems are explored for rapid elemental and isotopic analysis of gases, solutions, and solids using mass spectrometry and atomic emission spectrometry. During the period January 1993--December 1993, emphasis was placed on (a) analytical investigations of atmospheric-pressure helium inductively coupled plasma (He ICP) that are suitable for atomization, excitation, and ionization of elements possessing high excitation and ionization energies; (b) simulation and computer modeling of plasma sources to predict their structure and fundamental and analytical properties without incurring the enormous cost of experimental studies; (c) spectrosopic imaging and diagnostic studies of high-temperature plasmas; (d) fundamental studies of He ICP discharges and argon-nitrogen plasma by high-resolution Fourier transform spectrometry; and (e) fundamental and analytical investigation of new, low-cost devices as sample introduction systems for atomic spectrometry and examination of new diagnostic techniques for probing aerosols. Only the most important achievements are included in this report to illustrate progress and obstacles. Detailed descriptions of the authors' investigations are outlined in the reprints and preprints that accompany this report. The technical progress expected next year is briefly described at the end of this report

  12. Can slow-diffusing solute atoms reduce vacancy diffusion in advanced high-temperature alloys?

    International Nuclear Information System (INIS)

    Goswami, Kamal Nayan; Mottura, Alessandro

    2014-01-01

    The high-temperature mechanical properties of precipitate-strengthened advanced alloys can be heavily influenced by adjusting chemical composition. The widely-accepted argument within the community is that, under certain temperature and loading conditions, plasticity occurs only in the matrix, and dislocations have to rely on thermally-activated climb mechanisms to overcome the barriers to glide posed by the hard precipitates. This is the case for γ′-strengthened Ni-based superalloys. The presence of dilute amounts of slow-diffusing solute atoms, such as Re and W, in the softer matrix phase is thought to reduce plasticity by retarding the climb of dislocations at the interface with the hard precipitate phase. One hypothesis is that the presence of these solutes must hinder the flow of vacancies, which are essential to the climb process. In this work, density functional theory calculations are used to inform two analytical models to describe the effect of solute atoms on the diffusion of vacancies. Results suggest that slow-diffusing solute atoms are not effective at reducing the diffusion of vacancies in these systems

  13. Room-Temperature Atomic Layer Deposition of Al2 O3 : Impact on Efficiency, Stability and Surface Properties in Perovskite Solar Cells.

    Science.gov (United States)

    Kot, Malgorzata; Das, Chittaranjan; Wang, Zhiping; Henkel, Karsten; Rouissi, Zied; Wojciechowski, Konrad; Snaith, Henry J; Schmeisser, Dieter

    2016-12-20

    In this work, solar cells with a freshly made CH 3 NH 3 PbI 3 perovskite film showed a power conversion efficiency (PCE) of 15.4 % whereas the one with 50 days aged perovskite film only 6.1 %. However, when the aged perovskite was covered with a layer of Al 2 O 3 deposited by atomic layer deposition (ALD) at room temperature (RT), the PCE value was clearly enhanced. X-ray photoelectron spectroscopy study showed that the ALD precursors are chemically active only at the perovskite surface and passivate it. Moreover, the RT-ALD-Al 2 O 3 -covered perovskite films showed enhanced ambient air stability. © 2016 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. An unusual temperature dependence in the oxidation of oxycarbide layers on uranium

    Science.gov (United States)

    Ellis, Walton P.

    1981-09-01

    An anomalous temperature dependence has been observed for the oxidation kinetics of outermost oxycarbide layers on polycrystalline uranium metal. Normally, oxidation or corrosion reactions are expected to proceed more rapidly as the temperature is elevated. Thus, it came as a surprise when we observed that the removal of the outermost atomic layers of carbon from uranium oxycarbide by O 2 reproducibly proceeds at a much faster rate at 25°C than at 280°C.

  15. Improvement of oxidation resistance of copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Lin, M.C. [Research Center for Biomedical Devices and Prototyping Production, Taipei Medical University, No. 250, Wu-Hsing Street, Taipei 110, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China)

    2012-10-01

    Graphical abstract: Results of glancing incident angle diffraction (GIXD) show the bare-Cu specimen was attacked by oxidation, whereas the coated-Cu specimens prevented from this problem. Highlights: Black-Right-Pointing-Pointer Deposition of Al{sub 2}O{sub 3} films on pure copper by an atomic layer deposition (ALD) technique. Black-Right-Pointing-Pointer Analysis of properties of the films coated at various substrate temperatures using the ALD technique. Black-Right-Pointing-Pointer Identification of the improvement of oxidation resistance of pure copper by the ALD-Al{sub 2}O{sub 3} films. Black-Right-Pointing-Pointer Assessment of the durability of the ALD-Al{sub 2}O{sub 3} films by adhesion strength. - Abstract: Al{sub 2}O{sub 3} films were deposited by the atomic layer deposition (ALD) technique onto pure copper at temperatures in the range 100-200 Degree-Sign C. The chemical composition, microstructure, and mechanic properties of the ALD-deposited Al{sub 2}O{sub 3} films were systematically analyzed. The variations in the film characteristics with substrate temperature were observed. Oxidation trials revealed that 20-nm-thick Al{sub 2}O{sub 3} films deposited at a substrate temperature as low as 100 Degree-Sign C suppress oxidative attack on pure copper. The Al{sub 2}O{sub 3} films also showed excellent durability of adhesion strength, according to predictions using the Coffin-Manson model based on the results of accelerated temperature cycling tests. These features indicate that ALD-deposited Al{sub 2}O{sub 3} film is a very promising candidate to be a protective coating for pure copper.

  16. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov (United States)

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  17. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota

    2012-12-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  18. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota; Al-Kassab, Talaat; Kirchheim, Reiner; Pundt, Astrid A.

    2012-01-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  19. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO2 thin films grown by atomic layer deposition at different temperatures

    Science.gov (United States)

    Saha, D.; Ajimsha, R. S.; Rajiv, K.; Mukherjee, C.; Gupta, M.; Misra, P.; Kukreja, L. M.

    2014-10-01

    TiO2 thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (Ts) in a wide range (50 °C ≤ Ts ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (Ts ≤ 150 °C) to the nanocrystalline films (2500 < Ts ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple-DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  20. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  1. Barrier properties of plastic films coated with an Al{sub 2}O{sub 3} layer by roll-to-toll atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hirvikorpi, Terhi, E-mail: Terhi.Hirvikorpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Laine, Risto, E-mail: Risto.Laine@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vähä-Nissi, Mika, E-mail: Mika.Vaha-Nissi@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kilpi, Väinö, E-mail: Vaino.Kilpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Salo, Erkki, E-mail: Erkki.Salo@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Li, Wei-Min, E-mail: Wei-Min.Li@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Lindfors, Sven, E-mail: Sven.Lindfors@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vartiainen, Jari, E-mail: Jari.Vartiainen@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kenttä, Eija, E-mail: Eija.Kentta@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Nikkola, Juha, E-mail: Juha.Nikkola@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1300, FI-33101 Tampere (Finland); Harlin, Ali, E-mail: Ali.Harlin@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kostamo, Juhana, E-mail: Juhana.Kostamo@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland)

    2014-01-01

    Thin (30–40 nm) and highly uniform Al{sub 2}O{sub 3} coatings have been deposited at relatively low temperature of 100 °C onto various polymeric materials employing the atomic layer deposition (ALD) technique, both batch and roll-to-roll (R2R) mode. The applications for ALD have long been limited those feasible for batch processing. The work demonstrates that R2R ALD can deposit thin films with properties that are comparable to the film properties fabricated by in batch. This accelerates considerably the commercialization of many products, such as flexible, printed electronics, organic light-emitting diode lighting, third generation thin film photovoltaic devices, high energy density thin film batteries, smart textiles, organic sensors, organic/recyclable packaging materials, and flexible displays, to name a few. - Highlights: • Thin and uniform Al{sub 2}O{sub 3} coatings have been deposited onto polymers materials. • Batch and roll-to-roll (R2R) atomic layer deposition (ALD) have been employed. • Deposition with either process improved the barrier properties. • Sensitivity of coated films to defects affects barrier obtained with R2R ALD.

  2. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  3. Bimetallic Nickel/Ruthenium Catalysts Synthesized by Atomic Layer Deposition for Low-Temperature Direct Methanol Solid Oxide Fuel Cells.

    Science.gov (United States)

    Jeong, Heonjae; Kim, Jun Woo; Park, Joonsuk; An, Jihwan; Lee, Tonghun; Prinz, Fritz B; Shim, Joon Hyung

    2016-11-09

    Nickel and ruthenium bimetallic catalysts were heterogeneously synthesized via atomic layer deposition (ALD) for use as the anode of direct methanol solid oxide fuel cells (DMSOFCs) operating in a low-temperature range. The presence of highly dispersed ALD Ru islands over a porous Ni mesh was confirmed, and the Ni/ALD Ru anode microstructure was observed. Fuel cell tests were conducted using Ni-only and Ni/ALD Ru anodes with approximately 350 μm thick gadolinium-doped ceria electrolytes and platinum cathodes. The performance of fuel cells was assessed using pure methanol at operating temperatures of 300-400 °C. Micromorphological changes of the anode after cell operation were investigated, and the content of adsorbed carbon on the anode side of the operated samples was measured. The difference in the maximum power density between samples utilizing Ni/ALD Ru and Pt/ALD Ru, the latter being the best catalyst for direct methanol fuel cells, was observed to be less than 7% at 300 °C and 30% at 350 °C. The improved electrochemical activity of the Ni/ALD Ru anode compared to that of the Ni-only anode, along with the reduction of the number of catalytically active sites due to agglomeration of Ni and carbon formation on the Ni surface as compared to Pt, explains this decent performance.

  4. [Effects of annealing temperature on the structure and optical properties of ZnMgO films prepared by atom layer deposition].

    Science.gov (United States)

    Sun, Dong-Xiao; Li, Jin-Hua; Fang, Xuan; Chen, Xin-Ying; Fang, Fang; Chu, Xue-Ying; Wei, Zhi-Peng; Wang, Xiao-Hua

    2014-07-01

    In the present paper, we report the research on the effects of annealing temperature on the crystal quality and optical properties of ZnMgO films deposited by atom layer deposition(ALD). ZnMgO films were prepared on quartz substrates by ALD and then some of the samples were treated in air ambient at different annealing temperature. The effects of annealing temperature on the crystal quality and optical properties of ZnMgO films were characterized by X-ray diffraction (XRD), photoluminescence (PL) and ultraviolet-visible (UV-Vis) absorption spectra. The XRD results showed that the crystal quality of ZnMgO films was significantly improved when the annealing temperature was 600 degrees C, meanwhile the intensity of(100) diffraction peak was the strongest. Combination of PL and UV-Vis absorption measurements showed that it can strongly promote the Mg content increasing in ZnMgO films and increase the band gap of films. So the results illustrate that suitable annealing temperature can effectively improve the crystal quality and optical properties of ZnMgO films.

  5. Formation of SIMOX–SOI structure by high-temperature oxygen implantation

    International Nuclear Information System (INIS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-01-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 10"1"7–10"1"8 ions/cm"2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO_2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO_2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  6. Temperature manipulation during layer chick embryogenesis

    NARCIS (Netherlands)

    Walstra, I.; Napel, ten J.; Kemp, B.; Brand, van den H.

    2010-01-01

    The current study investigated the effects of temperature manipulation (TM) during late embryogenesis on temperature preference, response to high environmental temperature, behavior, and performance in young layer chicks. Control (CC) embryos (n = 96) were incubated at 37.8°C eggshell temperature

  7. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  8. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  9. Atomic Layer Deposition of Nickel on ZnO Nanowire Arrays for High-Performance Supercapacitors.

    Science.gov (United States)

    Ren, Qing-Hua; Zhang, Yan; Lu, Hong-Liang; Wang, Yong-Ping; Liu, Wen-Jun; Ji, Xin-Ming; Devi, Anjana; Jiang, An-Quan; Zhang, David Wei

    2018-01-10

    A novel hybrid core-shell structure of ZnO nanowires (NWs)/Ni as a pseudocapacitor electrode was successfully fabricated by atomic layer deposition of a nickel shell, and its capacitive performance was systemically investigated. Transmission electron microscopy and X-ray photoelectron spectroscopy results indicated that the NiO was formed at the interface between ZnO and Ni where the Ni was oxidized by ZnO during the ALD of the Ni layer. Electrochemical measurement results revealed that the Ti/ZnO NWs/Ni (1500 cycles) electrode with a 30 nm thick Ni-NiO shell layer had the best supercapacitor properties including ultrahigh specific capacitance (∼2440 F g -1 ), good rate capability (80.5%) under high current charge-discharge conditions, and a relatively better cycling stability (86.7% of the initial value remained after 750 cycles at 10 A g -1 ). These attractive capacitive behaviors are mainly attributed to the unique core-shell structure and the combined effect of ZnO NW arrays as short charge transfer pathways for ion diffusion and electron transfer as well as conductive Ni serving as channel for the fast electron transport to Ti substrate. This high-performance Ti/ZnO NWs/Ni hybrid structure is expected to be one of a promising electrodes for high-performance supercapacitor applications.

  10. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  11. Very high coercivities of top-layer diffusion Au/FePt thin films

    International Nuclear Information System (INIS)

    Yuan, F.T.; Chen, S.K.; Liao, W.M.; Hsu, C.W.; Hsiao, S.N.; Chang, W.C.

    2006-01-01

    The Au/FePt samples were prepared by depositing a gold cap layer at room temperature onto a fully ordered FePt layer, followed by an annealing at 800 deg. C for the purpose of interlayer diffusion. After the deposition of the gold layer and the high-temperature annealing, the gold atoms do not dissolve into the FePt Ll 0 lattice. Compared with the continuous FePt film, the TEM photos of the bilayer Au(60 nm)/FePt(60 nm) show a granular structure with FePt particles embedded in Au matrix. The coercivity of Au(60 nm)/FePt(60 nm) sample is 23.5 kOe, which is 85% larger than that of the FePt film without Au top layer. The enhancement in coercivity can be attributed to the formation of isolated structure of FePt ordered phase

  12. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  13. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  14. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    Science.gov (United States)

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  15. Interfaces in Si/Ge atomic layer superlattices on (001)Si: Effect of growth temperature and wafer misorientation

    Science.gov (United States)

    Baribeau, J.-M.; Lockwood, D. J.; Syme, R. W. G.

    1996-08-01

    We have used x-ray diffraction, specular reflectivity, and diffuse scattering, complemented by Raman spectroscopy, to study the interfaces in a series of (0.5 nm Ge/2 nm Si)50 atomic layer superlattices on (001)Si grown by molecular beam epitaxy in the temperature range 150-650 °C. X-ray specular reflectivity revealed that the structures have a well-defined periodicity with interface widths of about 0.2-0.3 nm in the 300-590 °C temperature range. Offset reflectivity scans showed that the diffuse scattering peaks at values of perpendicular wave vector transfer corresponding to the superlattice satellite peaks, indicating that the interfaces are vertically correlated. Transverse rocking scans of satellite peaks showed a diffuse component corresponding to an interface corrugation of typical length scale of ˜0.5 μm. The wavelength of the undulations is a minimum along the miscut direction and is typically 30-40 times larger than the surface average terrace width assuming monolayer steps, independently of the magnitude of the wafer misorientation. The amplitude of the undulation evolves with growth temperature and is minimum for growth at ˜460 °C and peaks at ˜520 °C. Raman scattering showed the chemical abruptness of the interfaces at low growth temperatures and indicated a change in the growth mode near 450 °C.

  16. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  17. Effect of High Incubation Temperature on the Blood Parameters of Layer Chicks

    Directory of Open Access Journals (Sweden)

    S Sgavioli

    Full Text Available ABSTRACT Adequate environmental temperature control is essential for incubation efficiency. Layer breeder eggs (n=360 were weighed and distributed in a completely randomized experimental design with two treatments, consisting of two incubation temperatures (T1=37.5 °C, control; and T2=39.0 °C, hot, with two incubators per temperature, and 90 eggs per incubator, totaling 360 eggs. Hatchability, embryo mortality, and chick cloacal and body surface temperatures were not affected by incubation temperature. Eggs incubated at the hot temperature presented greater egg mass loss and higher eggshell conductance than those incubated at the control temperature. Layer chicks derived from eggs incubated at control temperature showed greater absolute weight, yolk-free egg mass, and heavier hearts than those from eggs submitted to heat stress during incubation. The control group presented lower base excess and ionized calcium blood levels. Incubating eggs at temperatures higher than those recommended compromises body and heart development of layer chicks and negatively affects blood ionized calcium availability, and therefore, bone mineralization during embryo development. Efficient temperature control during the incubation of fertile eggs is essential to obtain good quality layer chicks.

  18. Oxide layer stability in lead-bismuth at high temperature

    Science.gov (United States)

    Martín, F. J.; Soler, L.; Hernández, F.; Gómez-Briceño, D.

    2004-11-01

    Materials protection by 'in situ' oxidation has been studied in stagnant lead-bismuth, with different oxygen levels (H 2/H 2O ratios of 0.3 and 0.03), at temperatures from 535 °C to 600 °C and times from 100 to 3000 h. The materials tested were the martensitic steels F82Hmod, EM10 and T91 and the austenitic stainless steels, AISI 316L and AISI 304L. The results obtained point to the existence of an apparent threshold temperature above which corrosion occurs and the formation of a protective and stable oxide layer is not possible. This threshold temperature depends on material composition, oxygen concentration in the liquid lead-bismuth and time. The threshold temperature is higher for the austenitic steels, especially for the AISI 304L, and it increases with the oxygen concentration in the lead-bismuth. The oxide layer formed disappear with time and, after 3000 h all the materials, except AISI 304L, suffer corrosion, more severe for the martensitic steels and at the highest temperature tested.

  19. Stop Band Gap in Periodic Layers of Confined Atomic Vapor/Dielectric Medium

    International Nuclear Information System (INIS)

    Li Yuan-Yuan; Li Li; Lu Yi-Xin; Zhang Yan-Peng; Xu Ke-Wei

    2013-01-01

    A stop band gap is predicted in periodic layers of a confined atomic vapor/dielectric medium. Reflection and transmission profile of the layers over the band gap can be dramatically modified by the confined atoms and the number of layer periods. These gap and line features can be ascribed to the enhanced contribution of slow atoms induced by atom-wall collision, transient behavior of atom-light interaction and Fabry—Pérot effects in a thermal confined atomic system

  20. High heat flux testing of TiC coated molybdenum with a tungsten intermediate layer

    International Nuclear Information System (INIS)

    Fujitsuka, Masakazu; Fukutomi, Masao; Okada, Masatoshi

    1988-01-01

    The use of low atomic number (Z) material coatings for fusion reactor first-wall components has proved to be a valuable technique to reduce the plasma radiation losses. Molybdenum coated with titanium carbide is considered very promising since it has a good capability of receiving heat from the plasma. An interfacial reaction between the TiC film and the molybdenum substrate, however, causes a severe deterioration of the film at elevated temperatures. In order to solve this problem a TiC coated molybdenum with an intermediate tungsten layer was developed. High temperature properties of this material was evaluated by a newly devised electron beam heating apparatus. TiC coatings prepared on a vacuum-heat-treated molybdenum with a tungsten intermediate layer showed good high temperature stability and survived 2.0 s pulses of heating at a power density as high as 53 MW/m 2 . The melt area of the TiC coatings in high heat flux testings also markedly decreased when a tungsten intermediate layer was applied. The melting mechanism of the TiC coatings with and without a tungsten intermediate layer was discussed by EPMA measurements. (author)

  1. Thermal stability and high temperature polymorphism of topochemically-prepared Dion–Jacobson triple-layered perovskites

    Energy Technology Data Exchange (ETDEWEB)

    Guertin, Stephen L.; Josepha, Elisha A.; Montasserasadi, Dariush; Wiley, John B., E-mail: jwiley@uno.edu

    2015-10-25

    The thermal stability of six Dion–Jacobson-related triple layered perovskites, ACa{sub 2}Nb{sub 3}O{sub 10} (A = H, NH{sub 4}, Li, Na, K, CuCl), was explored to 1000 °C. Each compound was produced topochemically by low-temperature (<500 °C) ion exchange from RbCa{sub 2}Nb{sub 3}O{sub 10}. The thermal behavior of the series was examined by variable temperature X-ray powder diffraction experiments in tandem with thermogravimetric analysis and differential scanning calorimetry. Five of the species were found to be low temperature/metastable phases, decomposing below 900 °C, where the stability of the series decreased with decreasing interlayer cation size. The compounds, A = Li, Na, K, exhibited high temperature polymorphism, with a completely reversible transition evident for KCa{sub 2}Nb{sub 3}O{sub 10}. - Highlights: • Thermal stability of topochemically prepared triple-layered perovskites studied. • Clear correlation seen between stability and identity of interlayer cation. • Several in ACa{sub 2}Nb{sub 3}O{sub 10} series (A = Li, Na, K) exhibit high temperature polymorphism.

  2. Room-temperature atomic layer deposition of ZrO{sub 2} using tetrakis(ethylmethylamino)zirconium and plasma-excited humidified argon

    Energy Technology Data Exchange (ETDEWEB)

    Kanomata, K. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Japan Society for the Promotion of Science, 5-3-1 Kojimachi, Chiyoda-ku, Tokyo 102-0083 (Japan); Tokoro, K.; Imai, T.; Pansila, P.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirahara, K. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Hirose, F., E-mail: fhirose@yz.yamagata-u.ac.jp [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan)

    2016-11-30

    Highlights: • RT-ALD of ZrO{sub 2} is developed using TEMAZ and plasma-excited humidified argon. • The plasma-excited humidified argon is effective in oxidizing the TEMAZ saturated ZrO{sub 2}. • We discuss the reaction mechanism of the RT-ZrO{sub 2} ALD. - Abstract: Room-temperature atomic layer deposition (ALD) of ZrO{sub 2} is developed with tetrakis(ethylmethylamino)zirconium (TEMAZ) and a plasma-excited humidified argon. A growth per cycle of 0.17 nm/cycle at room temperature is confirmed, and the TEMAZ adsorption and its oxidization on ZrO{sub 2} are characterized by IR absorption spectroscopy with a multiple internal reflection mode. TEMAZ is saturated on a ZrO{sub 2} surface with exposures exceeding ∼2.0 × 10{sup 5} Langmuir (1 Langmuir = 1.0 × 10{sup −6} Torr s) at room temperature, and the plasma-excited humidified argon is effective in oxidizing the TEMAZ-adsorbed ZrO{sub 2} surface. The IR absorption spectroscopy suggests that Zr-OH works as an adsorption site for TEMAZ. The reaction mechanism of room-temperature ZrO{sub 2} ALD is discussed in this paper.

  3. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  4. Improvement of High-Temperature Stability of Al2O3/Pt/ZnO/Al2O3 Film Electrode for SAW Devices by Using Al2O3 Barrier Layer

    Directory of Open Access Journals (Sweden)

    Xingpeng Liu

    2017-12-01

    Full Text Available In order to develop film electrodes for the surface acoustic wave (SAW devices operating in harsh high-temperature environments, novel Al2O3/Pt/ZnO/Al2O3 multilayered film electrodes were prepared by laser molecular beam epitaxy (LMBE at 150 °C. The first Al2O3 layer was used as a barrier layer to prevent the diffusion of Ga, La, and Si atoms from the La3Ga5SiO14 (LGS substrate to the film electrode and thus improved the crystalline quality of ZnO and Pt films. It was found that the resistance of the Al2O3/Pt/ZnO/Al2O3 electrode did not vary up to a temperature of 1150 °C, suggesting a high reliability of electrode under harsh high-temperature environments. The mechanism of the stable resistance of the Al2O3/Pt/ZnO/Al2O3 film electrodes at high temperature was investigated by analyzing its microstructure. The proposed Al2O3/Pt/ZnO/Al2O3 film electrode has great potential for application in high-temperature SAW devices.

  5. Self-limiting growth of ZnO films on (0 0 0 1) sapphire substrates by atomic layer deposition at low temperatures using diethyl-zinc and nitrous oxide

    International Nuclear Information System (INIS)

    Lin, Yen-Ting; Chung, Ping-Han; Lai, Hung-Wei; Su, Hsin-Lun; Lyu, Dong-Yuan; Yen, Kuo-Yi; Lin, Tai-Yuan; Kung, Chung-Yuan; Gong, Jyh-Rong

    2009-01-01

    Atomic layer deposition (ALD) of zinc oxide (ZnO) films on (0 0 0 1) sapphire substrates was conducted at low temperatures by using diethyl-zinc (DEZn) and nitrous oxide (N 2 O) as precursors. It was found that a monolayer-by-monolayer growth regime occurred at 300 deg. C in a range of DEZn flow rates from 5.7 to 8.7 μmol/min. Furthermore, the temperature self-limiting process window for the ALD-grown ZnO films was also observed ranging from 290 to 310 deg. C. A deposition mechanism is proposed to explain how saturated growth of ZnO is achieved by using DEZn and N 2 O. Transmission spectroscopic studies of the ZnO films prepared in the self-limiting regime show that the transmittances of ZnO films are as high as 80% in visible and near infrared spectra. Experimental results indicate that ZnO films with high optical quality can be achieved by ALD at low temperatures using DEZn and N 2 O precursors.

  6. Modification of SnO2 Anodes by Atomic Layer Deposition for High Performance Lithium Ion Batteries

    KAUST Repository

    Yesibolati, Nulati

    2013-05-01

    Tin dioxide (SnO2) is considered one of the most promising anode materials for Lithium ion batteries (LIBs), due to its large theoretical capacity and natural abundance. However, its low electronic/ionic conductivities, large volume change during lithiation/delithiation and agglomeration prevent it from further commercial applications. In this thesis, we investigate modified SnO2 as a high energy density anode material for LIBs. Specifically two approaches are presented to improve battery performances. Firstly, SnO2 electrochemical performances were improved by surface modification using Atomic Layer Deposition (ALD). Ultrathin Al2O3 or HfO2 were coated on SnO2 electrodes. It was found that electrochemical performances had been enhanced after ALD deposition. In a second approach, we implemented a layer-by-layer (LBL) assembled graphene/carbon-coated hollow SnO2 spheres as anode material for LIBs. Our results indicated that the LBL assembled electrodes had high reversible lithium storage capacities even at high current densities. These superior electrochemical performances are attributed to the enhanced electronic conductivity and effective lithium diffusion, because of the interconnected graphene/carbon networks among nanoparticles of the hollow SnO2 spheres.

  7. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  8. Role of Self-Interstitial Atoms on the High Temperature Properties of Metals

    International Nuclear Information System (INIS)

    Nordlund, K.; Averback, R.S.

    1998-01-01

    Equilibrium concentrations of self-interstitial atoms and divacancies have been determined in Cu by molecular dynamics computer simulations using embedded atom potentials. Near the melting temperature these concentrations are both ∼10 -6 . Owing to the higher mobility of the interstitial atoms, however, they contribute more to diffusion. In perfect, or pulse-heated crystals, spontaneous Frenkel pair production results in even higher interstitial concentrations. copyright 1998 The American Physical Society

  9. Atomically layer-by-layer diffusion of oxygen/hydrogen in highly epitaxial PrBaCo{sub 2}O{sub 5.5+δ} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bao, Shanyong; Xu, Xing; Enriquez, Erik; Mace, Brennan E.; Chen, Garry; Kelliher, Sean P.; Chen, Chonglin, E-mail: cl.chen@utsa.edu [Department of Physics and Astronomy, University of Texas, San Antonio, Texas 78249 (United States); Zhang, Yamei [Department of Physics, Jiangsu University of Science and Technology, Zhenjiang, Jiangsu 212003 (China); Whangbo, Myung-Hwan [North Carolina State University, Raleigh, North Carolina 27695-8204 (United States); Dong, Chuang; Zhang, Qinyu [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams, Ministry of Education, Dalian University of Technology, Dalian 116024 (China)

    2015-12-14

    Single-crystalline epitaxial thin films of PrBaCo{sub 2}O{sub 5.5+δ} (PrBCO) were prepared, and their resistance R(t) under a switching flow of oxidizing and reducing gases were measured as a function of the gas flow time t in the temperature range of 200–800 °C. During the oxidation cycle under O{sub 2}, the PrBCO films exhibit fast oscillations in their dR(t)/dt vs. t plots, which reflect the oxidation processes, Co{sup 2+}/Co{sup 3+} → Co{sup 3+} and Co{sup 3+} → Co{sup 3+}/Co{sup 4+}, that the Co atoms of PrBCO undergo. Each oscillation consists of two peaks, with larger and smaller peaks representing the oxygen/hydrogen diffusion through the (BaO)(CoO{sub 2})(PrO)(CoO{sub 2}) layers of PrBCO via the oxygen-vacancy-exchange mechanism. This finding paves a significant avenue for cathode materials operating in low-temperature solid-oxide-fuel-cell devices and for chemical sensors with wide range of operating temperature.

  10. Deposition of yttrium oxysulfide thin films by atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kukli, K.; University of Tartu, Tartu,; Johansson, L-S.; Nykaenen, E.; Peussa, M.; Ninistoe, L.

    1998-01-01

    Full text: Yttrium oxysulfide is a highly interesting material for optoelectronic applications. It is industrially exploited in the form of doped powder in catholuminescent phosphors, e.g. Y 2 O 2 S: Eu 3+ for colour TV. Attempts to grow thin films of Y 2 O 2 S have not been frequent and only partially successful due to the difficulties in obtaining crystalline films at a reasonable temperature. Furthermore, sputtering easily leads to a sulphur deficiency. Evaporation of the elements from a multi-source offers a better control of the stoichiometry resulting in hexagonal (0002) oriented films at 580 deg C. In this paper we present the first successful thin film growth experiments using a chemical process with molecular precursors. Atomic layer epitaxy (ALE) allows the use of a relatively low deposition temperature and thus compatibility with other technologies. Already at 425 deg C the reaction between H 2 S and Y(thd) 3 (thd = 2,2,6,6 - tetramethyl-heptane-3,5- dione) yields a crystalline Y 2 O 2 S thin film which was characterized by XRD, XRF and XPS

  11. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  12. Atomic scale characterization of mismatched graphene layers

    International Nuclear Information System (INIS)

    Luican-Mayer, Adina; Li, Guohong; Andrei, Eva Y.

    2017-01-01

    Highlights: • Review of STM/STS of graphene with various degree of coupling. • Review of vertically twisted graphene with respect with each other. • Review of Landau levels in graphene layers weakly decoupled electronically. • Review of laterally twisted graphene forming grain boundaries. - Abstract: In the bourgeoning field of two dimensional layered materials and their atomically thin counterparts, it has been established that the electronic coupling between the layers of the material plays a key role in determining its properties [1,2]. We are just beginning to understand how each material is unique in that respect while working our way up to building new materials with functionalities enabled by interlayer interactions. In this review, we will focus on a system that despite its apparent simplicity possesses a wealth of intriguing physics: layers of graphene with various degree of coupling. The situations discussed here are graphene layers vertically twisted with respect with each other, weakly decoupled electronically and laterally twisted forming grain boundaries. We emphasize experiments that atomically resolve the electronic properties.

  13. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  14. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko; Haggren, Tuomas; Lipsanen, Harri [Department of Micro- and Nanosciences, Micronova, Aalto University, P.O. Box 13500, FI-00076 (Finland); Naureen, Shagufta; Shahid, Naeem [Research School of Physics & Engineering, Department of Electronic Materials Engineering, Australian National University, Canberra ACT 2601 (Australia); Jiang, Hua; Kauppinen, Esko [Department of Applied Physics and Nanomicroscopy Center, Aalto University, P.O. Box 15100, FI-00076 (Finland); Srinivasan, Anand [School of Information and Communication Technology, KTH Royal Institute of Technology, Electrum 229, S-164 40 Kista (Sweden)

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  15. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Veer Dhaka

    2016-01-01

    Full Text Available Low temperature (∼200 °C grown atomic layer deposition (ALD films of AlN, TiN, Al2O3, GaN, and TiO2 were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP nanowires (NWs, and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL at low temperatures (15K, and the best passivation was achieved with a few monolayer thick (2Å film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL was achieved with a capping of 2nm thick Al2O3. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al2O3 layer increased the carrier decay time from 251 ps (as-etched nanopillars to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al2O3 provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  16. Influence of annealing temperature on passivation performance of thermal atomic layer deposition Al2O3 films

    International Nuclear Information System (INIS)

    Zhang Xiang; Liu Bang-Wu; Li Chao-Bo; Xia Yang; Zhao Yan

    2013-01-01

    Chemical and field-effect passivation of atomic layer deposition (ALD) Al 2 O 3 films are investigated, mainly by corona charging measurement. The interface structure and material properties are characterized by transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS), respectively. Passivation performance is improved remarkably by annealing at temperatures of 450 °C and 500 °C, while the improvement is quite weak at 600 °C, which can be attributed to the poor quality of chemical passivation. An increase of fixed negative charge density in the films during annealing can be explained by the Al 2 O 3 /Si interface structural change. The Al—OH groups play an important role in chemical passivation, and the Al—OH concentration in an as-deposited film subsequently determines the passivation quality of that film when it is annealed, to a certain degree. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  17. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  18. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  19. Effect of temperature on atom-atom collision chain length in metals

    International Nuclear Information System (INIS)

    Makarov, A.A.; Demkin, N.A.; Lyashchenko, B.G.

    1981-01-01

    Focused atom-atom collision chain lengths are calculated for fcc-crystals with account of thermal oscillations. The model of solid spheres with the Born-Merier potential has been used in the calculations. The dependence of chain lengths on the temperature, energy and movement direction of the first chain atom for Cu, Au, Ag, Pb, Ni is considered. The plot presented shows that the chain lengths strongly decrease with temperature growth, for example, for the gold at T=100 K the chain length equals up to 37 interatomic spacings, whereas at T=1000 K their length decreases down to 5 interatomic distances. The dependence of the energy loss by the chain atoms on the atom number in the chain is obtained in a wide range of crystal temperature and the primary chain atom energy [ru

  20. Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M

    2006-01-01

    Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices

  1. Development of VHTR high temperature piping in KHI

    International Nuclear Information System (INIS)

    Suzuki, Nobuhiro; Takano, Shiro

    1981-01-01

    The high temperature pipings used for multi-purpose high temperature gas-cooled reactors are the internally insulated pipings for transporting high temperature, high pressure helium at 1000 deg C and 40 kgf/cm 2 , and the influences exerted by their performance as well as safety to the plants are very large. Kawasaki Heavy Industries, Ltd., has engaged in the development of the high temperature pipings for VHTRs for years. In this report, the progress of the development, the test carried out recently and the problems for future are described. KHI manufactured and is constructing a heater and internally insulated helium pipings for the large, high temperature structure testing loop constructed by Japan Atomic Energy Research Institute. The design concept for the high temperature pipings is to separate the temperature boundary and the pressure boundary, therefore, the double walled construction with internal heat insulation was adopted. The requirements for the high temperature pipings are to prevent natural convection, to prevent bypass flow, to minimize radiation heat transfer and to reduce heat leak through insulator supporters. The heat insulator is composed of two layers, metal laminate insulator and fiber insulator of alumina-silica. The present state of development of the high temperature pipings for VHTRs is reported. (Kako, I.)

  2. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Science.gov (United States)

    Zuzuarregui, Ana; Coto, Borja; Rodríguez, Jorge; Gregorczyk, Keith E.; Ruiz de Gopegui, Unai; Barriga, Javier; Knez, Mato

    2015-08-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  3. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zuzuarregui, Ana, E-mail: a.zuzuarregui@nanogune.eu; Gregorczyk, Keith E. [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier [IK4-Tekniker, Iñaki Goenaga 5, 20600 Eibar (Spain); Rodríguez, Jorge [Torresol Energy (SENER Group), Avda. de Zugazarte 61, 48930 Las Arenas (Spain); Knez, Mato [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); IKERBASQUE Basque Foundation for Science, Maria Diaz de Haro 3, 48013 Bilbao (Spain)

    2015-08-10

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  4. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    International Nuclear Information System (INIS)

    Zuzuarregui, Ana; Gregorczyk, Keith E.; Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier; Rodríguez, Jorge; Knez, Mato

    2015-01-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur

  5. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  6. Pt–Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    International Nuclear Information System (INIS)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; Wijngaart, Wouter van der; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al 2 O 3 ) on Pt in nanopores to form a metal–insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al 2 O 3 layer on such a Pt film forms a metal–insulator–electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al 2 O 3 using ALD. (paper)

  7. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    Science.gov (United States)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  8. High-temperature oxidation of tungsten covered by layer of glass-enamel melt

    International Nuclear Information System (INIS)

    Vasnetsova, V.B.; Shardakov, N.T.; Kudyakov, V.Ya.; Deryabin, V.A.

    1997-01-01

    Corrosion losses of tungsten covered by the layer of glass-enamel melt were determined at 800, 850, 900, 950 deg C. It is shown that the rate of high-temperature oxidation of tungsten decreases after application of glass-enamel melt on its surface. This is probably conditioned by reduction of area of metal interaction with oxidizing atmosphere

  9. Surface segregation of Ge during Si growth on Ge/Si(0 0 1) at low temperature observed by high-resolution RBS

    International Nuclear Information System (INIS)

    Nakajima, K.; Hosaka, N.; Hattori, T.; Kimura, K.

    2002-01-01

    The Si/Ge/Si(0 0 1) multilayer with about 1 ML Ge layer is fabricated by evaporating Si overlayer on a Ge/Si(0 0 1) surface at 20-300 deg. C. The depth profile of the Ge atoms is observed by high-resolution Rutherford backscattering spectroscopy to investigate the possibility of Ge delta doping in Si. The observed profile of the Ge atoms spreads over several atomic layers even at 20 deg. C and a significant amount of Ge is located in the surface layer at higher temperatures. The results at 20-150 deg. C are well explained with two-layer model for surface segregation of the Ge atoms and the segregation rates are estimated. The activation energy for surface segregation of Ge atoms in amorphous Si is evaluated to be 0.035 eV, which is much smaller than the value reported for Si deposition at 500 deg. C. The small activation energy suggests that local heating during the Si deposition is dominant at low temperature

  10. Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wenhui; Luo, Jun; Meng, Lingkuan; Li, Junjie; Xiang, Jinjuan; Li, Junfeng; Wang, Wenwu; Chen, Dapeng; Ye, Tianchun; Zhao, Chao

    2016-08-31

    As an emerging developing technique for next-generation lithography, directed self-assembly (DSA) of block copolymer (BCP) has attracted numerous attention and has been a potential alternative to supplement the intrinsic limitations of conventional photolithography. In this work, the self-assembling properties of a lamellar diblock copolymer poly(styrene-b-methylmethacrylate) (PS-b-PMMA, 22k-b-22k, L{sub 0} = 25 nm) on Si substrate and an atomic layer deposition (ALD)-assisted pattern transfer technology for the application of DSA beyond 16/14 nm complementary metal oxide semiconductor (CMOS) technology nodes, were investigated. Firstly, two key processing parameters of DSA, i.e. annealing temperatures and durations of BCP films, were optimized to achieve low defect density and high productivity. After phase separation of BCP films, self-assembling patterns of low defect density should be transferred to the substrate. However, due to the nano-scale thickness and the weak resistance of BCP films to dry etching, it is nearly impossible to transfer the BCP patterns directly to the substrate. Therefore, an ALD-based technology was explored in this work, in which deposited Al{sub 2}O{sub 3} selectively reacts with PMMA blocks thus hardening the PMMA patterns. After removing PS blocks by plasma etching, hardened PMMA patterns were left and transferred to underneath SiO{sub 2} hard mask layer. Using this patterned hard mask, nanowire array of 25 nm pitch were realized on Si substrate. From this work, a high-throughput DSA baseline flow and related ALD-assisted pattern transfer technique were developed and proved to have good capability with the mainstream CMOS technology. - Highlights: • Optimization on self-assembly process for high productivity and low defectivity • Enhancement of etching ratio and resistance by atomic layer deposition (ALD) • A hard mask was used for pattern quality improvement and contamination control.

  11. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  12. Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition.

    Science.gov (United States)

    Lou, Xiabing; Zhou, Hong; Kim, Sang Bok; Alghamdi, Sami; Gong, Xian; Feng, Jun; Wang, Xinwei; Ye, Peide D; Gordon, Roy G

    2016-12-14

    We demonstrate for the first time that a single-crystalline epitaxial Mg x Ca 1-x O film can be deposited on gallium nitride (GaN) by atomic layer deposition (ALD). By adjusting the ratio between the amounts of Mg and Ca in the film, a lattice matched Mg x Ca 1-x O/GaN(0001) interface can be achieved with low interfacial defect density. High-resolution X-ray diffraction (XRD) shows that the lattice parameter of this ternary oxide nearly obeys Vegard's law. An atomically sharp interface from cross-sectional transmission electron microscopy (TEM) confirmed the high quality of the epitaxy. High-temperature capacitance-voltage characterization showed that the film with composition Mg 0.25 Ca 0.75 O has the lowest interfacial defect density. With this optimal oxide composition, a Mg 0.25 Ca 0.75 O/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility (MOS-HEMT) device was fabricated. An ultrahigh on/off ratio of 10 12 and a near ideal SS of 62 mV/dec were achieved with this device.

  13. Electrical response of electron selective atomic layer deposited TiO2‑x heterocontacts on crystalline silicon substrates

    Science.gov (United States)

    Ahiboz, Doğuşcan; Nasser, Hisham; Aygün, Ezgi; Bek, Alpan; Turan, Raşit

    2018-04-01

    Integration of oxygen deficient sub-stoichiometric titanium dioxide (TiO2‑x) thin films as the electron transporting-hole blocking layer in solar cell designs are expected to reduce fabrication costs by eliminating high temperature processes while maintaining high conversion efficiencies. In this paper, we conducted a study to reveal the electrical properties of TiO2‑x thin films grown on crystalline silicon (c-Si) substrates by atomic layer deposition (ALD) technique. Effect of ALD substrate temperature, post deposition annealing, and doping type of the c-Si substrate on the interface states and TiO2‑x bulk properties were extracted by performing admittance (C-V, G-V) and current-voltage (J-V) measurements. Moreover, the asymmetry in C-V and J-V measurements between the p-n type and n-n TiO2‑x-c-Si heterojunction types were examined and the electron transport selectivity of TiO2‑x was revealed.

  14. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  15. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  16. Atomic-layer-resolved analysis of surface magnetism by diffraction spectroscopy

    International Nuclear Information System (INIS)

    Matsui, Fumihiko; Matsushita, Tomohiro; Daimon, Hiroshi

    2010-01-01

    X-ray absorption near edge structure (XANES) and X-ray magnetic circular dichroism (XMCD) measurements by Auger-electron-yield detection are powerful analysis tools for the electronic and magnetic structures of surfaces, but all the information from atoms within the electron mean-free-path range is summed into the obtained spectrum. In order to investigate the electronic and magnetic structures of each atomic layer at subsurface, we have proposed a new method, diffraction spectroscopy, which is the combination of X-ray absorption spectroscopy and Auger electron diffraction (AED). From a series of measured thickness dependent AED patterns, we deduced a set of atomic-layer-specific AED patterns arithmetically. Based on these AED patterns, we succeeded in disentangling obtained XANES and XMCD spectra into those from different atomic layers.

  17. Low temperature formation of higher-k cubic phase HfO2 by atomic layer deposition on GeOx/Ge structures fabricated by in-situ thermal oxidation

    International Nuclear Information System (INIS)

    Zhang, R.; Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S.

    2016-01-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO 2 using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO x interfacial layer. It is found that the cubic phase is dominant in the HfO 2 film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO 2 film on a 1-nm-thick GeO x form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO 2 can be induced by the formation of six-fold crystalline GeO x structures in the underlying GeO x interfacial layer

  18. Introduction of high oxygen concentrations into silicon wafers by high-temperature diffusion

    International Nuclear Information System (INIS)

    Casse, G.; Glaser, M.; Lemeilleur, F.; Ruzin, A.; Wegrzecki, M.

    1999-01-01

    The tolerance of silicon detectors to hadron irradiation can be improved by the introduction of a high concentration of oxygen into the starting material. High-resistivity Floating-Zone (FZ) silicon is required for detectors used in particle physics applications. A significantly high oxygen concentration (>10 17 atoms cm -3 ) cannot readily be achieved during the FZ silicon refinement. The diffusion of oxygen at elevated temperatures from a SiO 2 layer grown on both sides of a silicon wafer is a simple and effective technique to achieve high and uniform concentrations of oxygen throughout the bulk of a 300 μm thick silicon wafer

  19. Effects of Deposition Temperature on the Device Characteristics of Oxide Thin-Film Transistors Using In-Ga-Zn-O Active Channels Prepared by Atomic-Layer Deposition.

    Science.gov (United States)

    Yoon, Sung-Min; Seong, Nak-Jin; Choi, Kyujeong; Seo, Gi-Ho; Shin, Woong-Chul

    2017-07-12

    We demonstrated the physical and electrical properties of the In-Ga-Zn-O (IGZO) thin films prepared by atomic-layer deposition (ALD) method and investigated the effects of the ALD temperature. The film composition (atomic ratio of In:Ga:Zn) and film density were examined to be 1:1:3 and 5.9 g/cm 3 , respectively, for all the temperature conditions. The optical band gaps decreased from 3.81 to 3.21 eV when the ALD temperature increased from 130 to 170 °C. The amounts of oxygen-related defects such as oxygen vacancies increased with increasing the ALD temperature. It was found from the in situ temperature-dependent electrical conductivity measurements that the electronic natures including the defect structures and conduction mechanism of the IGZO thin films prepared at different temperatures showed marked variations. The carrier mobilities in the saturation regions (μ sat 's) for the fabricated thin film transistors (TFTs) using the IGZO channel layers were estimated to be 6.1 to 14.8 cm 2 V -1 s -1 with increasing the ALD temperature from 130 to 170 °C. Among the devices, when the ALD temperature was controlled to be 150 °C, the IGZO TFTs showed the best performance, which resulted from the fact that the amounts of oxygen vacancies and interstitial defects could be appropriately modulated at this condition. Consequently, the μ sat , subthreshold swing, and on/off ratio for the TFT using the IGZO channel prepared at 150 °C showed 10.4 cm 2 V -1 s -1 , 90 mV/dec, and 2 × 10 9 , respectively. The threshold voltage shifts of this device could also be effectively reduced to be 0.6 and -3.2 V under the positive-bias and negative-bias-illumination stress conditions. These obtained characteristics can be comparable to those for the sputter-deposited IGZO TFTs.

  20. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    Science.gov (United States)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  1. Length-extension resonator as a force sensor for high-resolution frequency-modulation atomic force microscopy in air.

    Science.gov (United States)

    Beyer, Hannes; Wagner, Tino; Stemmer, Andreas

    2016-01-01

    Frequency-modulation atomic force microscopy has turned into a well-established method to obtain atomic resolution on flat surfaces, but is often limited to ultra-high vacuum conditions and cryogenic temperatures. Measurements under ambient conditions are influenced by variations of the dew point and thin water layers present on practically every surface, complicating stable imaging with high resolution. We demonstrate high-resolution imaging in air using a length-extension resonator operating at small amplitudes. An additional slow feedback compensates for changes in the free resonance frequency, allowing stable imaging over a long period of time with changing environmental conditions.

  2. Excellent c-Si surface passivation by thermal atomic layer deposited aluminum oxide after industrial firing activation

    International Nuclear Information System (INIS)

    Liao, B; Stangl, R; Ma, F; Mueller, T; Lin, F; Aberle, A G; Bhatia, C S; Hoex, B

    2013-01-01

    We demonstrate that by using a water (H 2 O)-based thermal atomic layer deposited (ALD) aluminum oxide (Al 2 O 3 ) film, excellent surface passivation can be attained on planar low-resistivity silicon wafers. Effective carrier lifetime values of up to 12 ms and surface recombination velocities as low as 0.33 cm s −1 are achieved on float-zone wafers after a post-deposition thermal activation of the Al 2 O 3 passivation layer. This post-deposition activation is achieved using an industrial high-temperature firing process which is commonly used for contact formation of standard screen-printed silicon solar cells. Neither a low-temperature post-deposition anneal nor a silicon nitride capping layer is required in this case. Deposition temperatures in the 100–400 °C range and peak firing temperatures of about 800 °C (set temperature) are investigated. Photoluminescence imaging shows that the surface passivation is laterally uniform. Corona charging and capacitance–voltage measurements reveal that the negative fixed charge density near the AlO x /c-Si interface increases from 1.4 × 10 12 to 3.3 × 10 12 cm −2 due to firing, while the midgap interface defect density reduces from 3.3 × 10 11 to 0.8 × 10 11 cm −2 eV −1 . This work demonstrates that direct firing activation of thermal ALD Al 2 O 3 is feasible, which could be beneficial for solar cell manufacturing. (paper)

  3. Microwave Synthesized ZnO Nanorod Arrays for UV Sensors: A Seed Layer Annealing Temperature Study.

    Science.gov (United States)

    Pimentel, Ana; Ferreira, Sofia Henriques; Nunes, Daniela; Calmeiro, Tomas; Martins, Rodrigo; Fortunato, Elvira

    2016-04-20

    The present work reports the influence of zinc oxide (ZnO) seed layer annealing temperature on structural, optical and electrical properties of ZnO nanorod arrays, synthesized by hydrothermal method assisted by microwave radiation, to be used as UV sensors. The ZnO seed layer was produced using the spin-coating method and several annealing temperatures, ranging from 100 to 500 °C, have been tested. X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM) and spectrophotometry measurements have been used to investigate the structure, morphology, and optical properties variations of the produced ZnO nanorod arrays regarding the seed layer annealing temperatures employed. After the growth of ZnO nanorod arrays, the whole structure was tested as UV sensors, showing an increase in the sensitivity with the increase of seed layer annealing temperature. The UV sensor response of ZnO nanorod arrays produced with the seed layer annealed temperature of 500 °C was 50 times superior to the ones produced with a seed layer annealed at 100 °C.

  4. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    Science.gov (United States)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  5. Atomic Layer Control of Thin Film Growth Using Binary Reaction Sequence Chemistry

    National Research Council Canada - National Science Library

    George, Steven

    1997-01-01

    Our research is focusing on the atomic layer control of thin film growth. Our goal is to deposit films with precise control of thickness and conformality on both flat and high aspect ratio structures...

  6. Formation of the low-resistivity compound Cu_3Ge by low-temperature treatment in an atomic hydrogen flux

    International Nuclear Information System (INIS)

    Erofeev, E. V.; Kazimirov, A. I.; Fedin, I. V.; Kagadei, V. A.

    2016-01-01

    The systematic features of the formation of the low-resistivity compound Cu_3Ge by low-temperature treatment of a Cu/Ge two-layer system in an atomic hydrogen flux are studied. The Cu/Ge two-layer system is deposited onto an i-GaAs substrate. Treatment of the Cu/Ge/i-GaAs system, in which the layer thicknesses are, correspondingly, 122 and 78 nm, in atomic hydrogen with a flux density of 10"1"5 at cm"2 s"–"1 for 2.5–10 min at room temperature induces the interdiffusion of Cu and Ge, with the formation of a polycrystalline film containing the stoichiometric Cu_3Ge phase. The film consists of vertically oriented grains 100–150 nm in size and exhibits a minimum resistivity of 4.5 µΩ cm. Variations in the time of treatment of the Cu/Ge/i-GaAs samples in atomic hydrogen affect the Cu and Ge depth distribution, the phase composition of the films, and their resistivity. Experimental observation of the synthesis of the Cu_3Ge compound at room temperature suggests that treatment in atomic hydrogen has a stimulating effect on both the diffusion of Cu and Ge and the chemical reaction of Cu_3Ge-compound formation. These processes can be activated by the energy released upon the recombination of hydrogen atoms adsorbed at the surface of the Cu/Ge/i-GaAs sample.

  7. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  8. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    Science.gov (United States)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  9. Grain Oriented Perovskite Layer Structure Ceramics for High-Temperature Piezoelectric Applications

    Science.gov (United States)

    Fuierer, Paul Anton

    The perovskite layer structure (PLS) compounds have the general formula (A^{2+}) _2(B^{5+})_2 O_7, or (A^ {3+})_2(B^{4+ })_2O_7, and crystallize in a very anisotropic layered structure consisting of parallel slabs made up of perovskite units. Several of these compounds possess the highest Curie temperatures (T_{rm c} ) of any known ferroelectrics. Two examples are Sr_2Nb_2O _7 with T_{rm c} of 1342^circC, and La_2Ti_2O _7 with T_{rm c} of 1500^circC. This thesis is an investigation of PLS ceramics and their feasibility as a high temperature transducer material. Piezoelectricity in single crystals has been measured, but the containerless float zone apparatus necessary to grow high quality crystals of these refractory compounds is expensive and limited to a small number of research groups. Previous attempts to pole polycrystalline Sr_2Nb _2O_7 have failed, and to this point piezoelectricity has been absent. The initiative taken in this research was to investigate PLS ceramics by way of composition and processing schemes such that polycrystalline bodies could be electrically poled. The ultimate objective then was to demonstrate piezoelectricity in PLS ceramics, especially at high temperatures. Donor-doping of both La_2Ti _2O_7 and Sr_2Nb_2O _7 was found to increase volume resistivities at elevated temperatures, an important parameter to consider during the poling process. Sr_2Ta _2O_7 (T _{rm c} = -107 ^circC) was used to make solid solution compositions with moderately high Curie temperatures, of about 850^circC, and lower coercive fields. A hot-forging technique was employed to produce ceramics with high density (>99% of theoretical) and high degree of grain orientation (>90%). Texturing was characterized by x-ray diffraction and microscopy. Considerable anisotropy was observed in physical and electrical properties, including thermal expansion, resistivity, dielectric constant, and polarization. The direction perpendicular to the forging axis proved to be the

  10. Density of states of adsorbed sulphur atoms on pristine and defective graphene layers

    International Nuclear Information System (INIS)

    Arellano, J S

    2017-01-01

    The density of states for adsorbed sulphur atom on a graphene layer system is discussed for pristine graphene layer and for mono and divacancies on the graphene layer. To our knowledge this is the first time that an entire adsorption of the sulphur atom is reported at the plane of the carbon atoms, when there is a pair of closer vacancies at the graphene layer. (paper)

  11. Fabrication of high aspect ratio TiO2 and Al2O3 nanogratings by atomic layer deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Takayama, Osamu; Michael-Lindhard, Jonas

    2016-01-01

    The authors report on the fabrication of TiO2 and Al2O3 nanostructured gratings with an aspect ratio of up to 50. The gratings were made by a combination of atomic layer deposition (ALD) and dry etch techniques. The workflow included fabrication of a Si template using deep reactive ion etching...... spectroscopy. The approach presented opens the possibility to fabricate high quality optical metamaterials and functional nanostructures....

  12. Rovibrational coupling in molecular nitrogen at high temperature: An atomic-level study

    Energy Technology Data Exchange (ETDEWEB)

    Valentini, Paolo, E-mail: vale0142@umn.edu; Norman, Paul, E-mail: norma198@umn.edu; Zhang, Chonglin, E-mail: zhang993@umn.edu; Schwartzentruber, Thomas E., E-mail: schwart@aem.umn.edu [Department of Aerospace Engineering and Mechanics, College of Science and Engineering, University of Minnesota, Minneapolis, Minnesota 55455 (United States)

    2014-05-15

    This article contains an atomic-level numerical investigation of rovibrational relaxation in molecular nitrogen at high temperature (>4000 K), neglecting dissociation. We conduct our study with the use of pure Molecular Dynamics (MD) and Classical Trajectory Calculations (CTC) Direct Simulation Monte Carlo (DSMC), verified to produce statistically identical results at the conditions of interest here. MD and CTC DSMC solely rely on the specification of a potential energy surface: in this work, the site-site Ling-Rigby potential. Additionally, dissociation is prevented by modeling the N–N bond either as a harmonic or an anharmonic spring. The selected molecular model was shown to (i) recover the shear viscosity (obtained from equilibrium pure MD Green-Kubo calculations) of molecular nitrogen over a wide range of temperatures, up to dissociation; (ii) predict well the near-equilibrium rotational relaxation behavior of N{sub 2}; (iii) reproduce vibrational relaxation times in excellent accordance with the Millikan-White correlation and previous semi-classical trajectory calculations in the low temperature range, i.e., between 4000 K and 10 000 K. By simulating isothermal relaxations in a periodic box, we found that the traditional two-temperature model assumptions become invalid at high temperatures (>10 000 K), due to a significant coupling between rotational and vibrational modes for bound states. This led us to add a modification to both the Jeans and the Landau-Teller equations to include a coupling term, essentially described by an additional relaxation time for internal energy equilibration. The degree of anharmonicity of the N{sub 2} bond determines the strength of the rovibrational coupling. Although neglecting N{sub 2} dissociation only provides a partial description of a nitrogen system at very high temperatures, high-energy trends for bound-bound transitions are essential to understand nonequilibrium gas flows, with possible implications on rovibration

  13. Rovibrational coupling in molecular nitrogen at high temperature: An atomic-level study

    International Nuclear Information System (INIS)

    Valentini, Paolo; Norman, Paul; Zhang, Chonglin; Schwartzentruber, Thomas E.

    2014-01-01

    This article contains an atomic-level numerical investigation of rovibrational relaxation in molecular nitrogen at high temperature (>4000 K), neglecting dissociation. We conduct our study with the use of pure Molecular Dynamics (MD) and Classical Trajectory Calculations (CTC) Direct Simulation Monte Carlo (DSMC), verified to produce statistically identical results at the conditions of interest here. MD and CTC DSMC solely rely on the specification of a potential energy surface: in this work, the site-site Ling-Rigby potential. Additionally, dissociation is prevented by modeling the N–N bond either as a harmonic or an anharmonic spring. The selected molecular model was shown to (i) recover the shear viscosity (obtained from equilibrium pure MD Green-Kubo calculations) of molecular nitrogen over a wide range of temperatures, up to dissociation; (ii) predict well the near-equilibrium rotational relaxation behavior of N 2 ; (iii) reproduce vibrational relaxation times in excellent accordance with the Millikan-White correlation and previous semi-classical trajectory calculations in the low temperature range, i.e., between 4000 K and 10 000 K. By simulating isothermal relaxations in a periodic box, we found that the traditional two-temperature model assumptions become invalid at high temperatures (>10 000 K), due to a significant coupling between rotational and vibrational modes for bound states. This led us to add a modification to both the Jeans and the Landau-Teller equations to include a coupling term, essentially described by an additional relaxation time for internal energy equilibration. The degree of anharmonicity of the N 2 bond determines the strength of the rovibrational coupling. Although neglecting N 2 dissociation only provides a partial description of a nitrogen system at very high temperatures, high-energy trends for bound-bound transitions are essential to understand nonequilibrium gas flows, with possible implications on rovibration

  14. Thermal expansion, anharmonicity and temperature-dependent Raman spectra of single- and few-layer MoSe₂ and WSe₂.

    Science.gov (United States)

    Late, Dattatray J; Shirodkar, Sharmila N; Waghmare, Umesh V; Dravid, Vinayak P; Rao, C N R

    2014-06-06

    We report the temperature-dependent Raman spectra of single- and few-layer MoSe2 and WSe2 in the range 77-700 K. We observed linear variation in the peak positions and widths of the bands arising from contributions of anharmonicity and thermal expansion. After characterization using atomic force microscopy and high-resolution transmission electron microscopy, the temperature coefficients of the Raman modes were determined. Interestingly, the temperature coefficient of the A(2)(2u) mode is larger than that of the A(1g) mode, the latter being much smaller than the corresponding temperature coefficients of the same mode in single-layer MoS2 and of the G band of graphene. The temperature coefficients of the two modes in single-layer MoSe2 are larger than those of the same modes in single-layer WSe2. We have estimated thermal expansion coefficients and temperature dependence of the vibrational frequencies of MoS2 and MoSe2 within a quasi-harmonic approximation, with inputs from first-principles calculations based on density functional theory. We show that the contrasting temperature dependence of the Raman-active mode A(1g) in MoS2 and MoSe2 arises essentially from the difference in their strain-phonon coupling. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  16. Atomic hydrogen storage method and apparatus

    Science.gov (United States)

    Woollam, J. A. (Inventor)

    1980-01-01

    Atomic hydrogen, for use as a fuel or as an explosive, is stored in the presence of a strong magnetic field in exfoliated layered compounds such as molybdenum disulfide or an elemental layer material such as graphite. The compounds maintained at liquid helium temperatures and the atomic hydrogen is collected on the surfaces of the layered compound which are exposed during delamination (exfoliation). The strong magnetic field and the low temperature combine to prevent the atoms of hydrogen from recombining to form molecules.

  17. High temperature study on the thermal properties of few-layer Mo0.5W0.5S2 and effects of capping layers

    Directory of Open Access Journals (Sweden)

    Hong Gu

    Full Text Available We investigated the thermal properties of few-layer Mo0.5W0.5S2 using a series of samples with different kinds of capping layers. Temperature-dependent Raman measurements were conducted in the range of 300–500 K, with power-dependent measurements also carried out. It indicated, for the few-layer Mo0.5W0.5S2, the temperature coefficients of the WS2-like E12g mode, MoS2-like E12g mode and A1g mode were −0.0155 cm−1/K, −0.0146 cm−1/K, and −0.0130 cm−1/K, respectively. And the thermal conductivity was estimated to be 44.8 W/mK. Moreover, the Mo0.5W0.5S2 samples coated with capping layers (ZrO2, HfO2 both showed a better thermal stability and a larger thermal conductivity than the one without. The results revealed that the capping layer should be an important factor in the thermal property. Keywords: Mo0.5W0.5S2, TMDs, Thermal properties, High temperature, Capping layers, Raman

  18. Deposition temperature dependence of material and Si surface passivation properties of O3-based atomic layer deposited Al2O3-based films and stacks

    International Nuclear Information System (INIS)

    Bordihn, Stefan; Mertens, Verena; Müller, Jörg W.; Kessels, W. M. M.

    2014-01-01

    The material composition and the Si surface passivation of aluminum oxide (Al 2 O 3 ) films prepared by atomic layer deposition using Al(CH 3 ) 3 and O 3 as precursors were investigated for deposition temperatures (T Dep ) between 200 °C and 500 °C. The growth per cycle decreased with increasing deposition temperature due to a lower Al deposition rate. In contrast the material composition was hardly affected except for the hydrogen concentration, which decreased from [H] = 3 at. % at 200 °C to [H]  2 O 3 /SiN x stacks complemented the work and revealed similar levels of surface passivation as single-layer Al 2 O 3 films, both for the chemical and field-effect passivation. The fixed charge density in the Al 2 O 3 /SiN x stacks, reflecting the field-effect passivation, was reduced by one order of magnitude from 3·10 12  cm −2 to 3·10 11  cm −2 when T Dep was increased from 300 °C to 500 °C. The level of the chemical passivation changed as well, but the total level of the surface passivation was hardly affected by the value of T Dep . When firing films prepared at of low T Dep , blistering of the films occurred and this strongly reduced the surface passivation. These results presented in this work demonstrate that a high level of surface passivation can be achieved for Al 2 O 3 -based films and stacks over a wide range of conditions when the combination of deposition temperature and annealing or firing temperature is carefully chosen

  19. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  20. Low temperature fabrication of ZnO compact layer for high performance plastic dye-sensitized ZnO solar cells

    International Nuclear Information System (INIS)

    Hu Fangyi; Xia Yujing; Guan Zisheng; Yin Xiong; He Tao

    2012-01-01

    Highlights: ► ZnO compact layer is prepared via simple electrochemical method at low temperature. ► Compact layer can effectively block electron transfer from TCO to electrolyte. ► DSC PCE is improved by 17% when ZnO compact layer is introduced. ► Plastic DSCs with ZnO compact layer show a PCE of 3.29% under AM1.5 100 mW cm −2 . ► The above efficiency is comparable to that with high temperature sintering step. - Abstract: ZnO compact layer has been fabricated on transparent conducting oxide glass and plastic polymer substrates at low temperature via electrodeposition. The results of dark current and cyclic voltammetric measurements demonstrate that the compact layer can effectively reduce the short circuit from transparent conducting oxide to electrolyte in dye-sensitized ZnO solar cells, leading to an increase of open-circuit photovoltage and fill factor of the devices and, thereby, the power conversion efficiency. The resultant plastic dye-sensitized ZnO solar cell presents an efficiency of 3.29% under illumination of 100 mW cm −2 , AM 1.5G. This indicates that electrodeposition is a viable method to fabricate ZnO compact layer for high performance flexible devices.

  1. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  2. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    Science.gov (United States)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  3. Influence of temperature and molecular structure on ionic liquid solvation layers.

    Science.gov (United States)

    Wakeham, Deborah; Hayes, Robert; Warr, Gregory G; Atkin, Rob

    2009-04-30

    Atomic force microscopy (AFM) force profiling is used to investigate the structure of adsorbed and solvation layers formed on a mica surface by various room temperature ionic liquids (ILs) ethylammonium nitrate (EAN), ethanolammonium nitrate (EtAN), ethylammonium formate (EAF), propylammonium formate (PAF), ethylmethylammonium formate (EMAF), and dimethylethylammonium formate (DMEAF). At least seven layers are observed for EAN at 14 degrees C (melting point 13 degrees C), decreasing as the temperature is increased to 30 degrees C due to thermal energy disrupting solvophobic forces that lead to segregation of cation alkyl tails from the charged ammonium and nitrate moieties. The number and properties of the solvation layers can also be controlled by introducing an alcohol moiety to the cation's alkyl tail (EtAN), or by replacing the nitrate anion with formate (EAF and PAF), even leading to the detection of distinct cation and anion sublayers. Substitution of primary by secondary or tertiary ammonium cations reduces the number of solvation layers formed, and also weakens the cation layer adsorbed onto mica. The observed solvation and adsorbed layer structures are discussed in terms of the intermolecular cohesive forces within the ILs.

  4. Transmission electron microscopy studies of HfO{sub 2} thin films grown by chloride-based atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Aidla, A. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia); Aarik, J. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia)

    2006-11-15

    Detailed transmission electron microscopy characterization of HfO{sub 2} films deposited on Si(1 0 0) using atomic layer deposition has been carried out. The influence of deposition temperature has been investigated. At 226 deg. C, a predominantly quasi-amorphous film containing large grains of cubic HfO{sub 2} (a {sub 0} = 5.08 A) was formed. Grain morphology enabled the nucleation sites to be determined. Hot stage microscopy showed that both the cubic phase and the quasi-amorphous phase were very resistant to thermal modification up to 500 deg. C. These observations suggest that nucleation sites for the growth of the crystalline cubic phase form at the growing surface of the film, rather homogeneously within the film. The films grown at higher temperatures (300-750 deg. C) are crystalline and monoclinic. The principal effects of deposition temperature were on: grain size, which coarsens at the highest temperature; roughness with increases at the higher temperatures due to the prismatic faceting, and texture, with texturing being strongest at intermediate temperatures. Detailed interfacial characterization shows that interfacial layers of SiO{sub 2} form at low and high temperatures. However, at intermediate temperatures, interfaces devoid of SiO{sub 2} were formed.

  5. Continuous growth of low-temperature Si epitaxial layer with heavy phosphorous and boron doping using photoepitaxy

    International Nuclear Information System (INIS)

    Yamazaki, T.; Minakata, H.; Ito, T.

    1990-01-01

    The authors grew p + -n + silicon epitaxial layers, heavily doped with phosphorus and boron, continuously at 650 degrees C using low-temperature photoepitaxy. Then N + photoepitaxial layer with a phosphorus concentration above 10 17 cm -3 grown on p - substrate shows high-density surface pits, and as a result, poor crystal quality. However, when this n + photoepitaxial layer is grown continuously on a heavily boron-doped p + photoepitaxial layer, these surface pits are drastically decreased, disappearing completely above a hole concentration of 10 19 cm -3 in the p + photoepitaxial layer. The phosphorus activation ratio and electron Hall mobility in the heavily phosphorus-doped n + photoexpitaxial layer were also greatly improved. The authors investigated the cause of the surface pitting using a scanning transmission electron microscope, secondary ion mass spectroscopy, and energy-dispersive x-ray spectroscopy. They characterized the precipitation of phosphorus atoms on the crystal surface at the initial stage of the heavily phosphorus-doped n + photoexpitaxial layer growth

  6. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    International Nuclear Information System (INIS)

    Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali

    2014-01-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli

  7. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)

    2014-07-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.

  8. Improvement and protection of niobium surface superconductivity by atomic layer deposition and heat treatment

    Energy Technology Data Exchange (ETDEWEB)

    Proslier, T.; /IIT, Chicago /Argonne; Zasadzinski, J.; /IIT, Chicago; Moore, J.; Pellin, M.; Elam, J.; /Argonne; Cooley, L.; /Fermilab; Antoine, C.; /Saclay

    2008-11-01

    A method to treat the surface of Nb is described, which potentially can improve the performance of superconducting rf cavities. We present tunneling and x-ray photoemission spectroscopy measurements at the surface of cavity-grade niobium samples coated with a 3 nm alumina overlayer deposited by atomic layer deposition. The coated samples baked in ultrahigh vacuum at low temperature degraded superconducting surface. However, at temperatures above 450 C, the tunneling conductance curves show significant improvements in the superconducting density of states compared with untreated surfaces.

  9. TEMPERATURE STRUCTURE OF PROTOPLANETARY DISKS UNDERGOING LAYERED ACCRETION

    International Nuclear Information System (INIS)

    Lesniak, M. V.; Desch, S. J.

    2011-01-01

    We calculate the temperature structures of protoplanetary disks (PPDs) around T Tauri stars heated by both incident starlight and viscous dissipation. We present a new algorithm for calculating the temperatures in disks in hydrostatic and radiative equilibrium, based on Rybicki's method for iteratively calculating the vertical temperature structure within an annulus. At each iteration, the method solves for the temperature at all locations simultaneously, and converges rapidly even at high (>>10 4 ) optical depth. The method retains the full frequency dependence of the radiation field. We use this algorithm to study for the first time disks evolving via the magnetorotational instability. Because PPD midplanes are weakly ionized, this instability operates preferentially in their surface layers, and disks will undergo layered accretion. We find that the midplane temperatures T mid are strongly affected by the column density Σ a of the active layers, even for fixed mass accretion rate M-dot . Models assuming uniform accretion predict midplane temperatures in the terrestrial planet forming region several x 10 2 K higher than our layered accretion models do. For M-dot -7 M sun yr -1 and the column densities Σ a -2 associated with layered accretion, disk temperatures are indistinguishable from those of a passively heated disk. We find emergent spectra are insensitive to Σ a , making it difficult to observationally identify disks undergoing layered versus uniform accretion.

  10. Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Golnaz Karbasian

    2017-03-01

    Full Text Available Single electron transistors are nanoscale electron devices that require thin, high-quality tunnel barriers to operate and have potential applications in sensing, metrology and beyond-CMOS computing schemes. Given that atomic layer deposition is used to form CMOS gate stacks with low trap densities and excellent thickness control, it is well-suited as a technique to form a variety of tunnel barriers. This work is a review of our recent research on atomic layer deposition and post-fabrication treatments to fabricate metallic single electron transistors with a variety of metals and dielectrics.

  11. Ru nanostructure fabrication using an anodic aluminum oxide nanotemplate and highly conformal Ru atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Woo-Hee; Park, Sang-Joon; Son, Jong-Yeog; Kim, Hyungjun [Department of Material Science and Engineering, POSTECH Pohang University of Science and Technology, San 31, Hyoja-Dong, Nam-Gu, Pohang 790-784 (Korea, Republic of)

    2008-01-30

    We fabricated metallic nanostructures directly on Si substrates through a hybrid nanoprocess combining atomic layer deposition (ALD) and a self-assembled anodic aluminum oxide (AAO) nanotemplate. ALD Ru films with Ru(DMPD)(EtCp) as a precursor and O{sub 2} as a reactant exhibited high purity and low resistivity with negligible nucleation delay and low roughness. These good growth characteristics resulted in the excellent conformality for nanometer-scale vias and trenches. Additionally, AAO nanotemplates were fabricated directly on Si and Ti/Si substrates through a multiple anodization process. AAO nanotemplates with various hole sizes (30-100 nm) and aspect ratios (2:1-20:1) were fabricated by controlling the anodizing process parameters. The barrier layers between AAO nanotemplates and Si substrates were completely removed by reactive ion etching (RIE) using BCl{sub 3} plasma. By combining the ALD Ru and the AAO nanotemplate, Ru nanostructures with controllable sizes and shapes were prepared on Si and Ti/Si substrates. The Ru nanowire array devices as a platform for sensor devices exhibited befitting properties of good ohmic contact and high surface/volume ratio.

  12. Development of Nitride Coating Using Atomic Layer Deposition for Low-Enriched Uranium Fuel Powder

    Science.gov (United States)

    Bhattacharya, Sumit

    High-performance research reactors require fuel that operates at high specific power and can withstand high fission density, but at relatively low temperatures. The design of the research reactor fuels is done for efficient heat emission, and consists of assemblies of thin-plates cladding made from aluminum alloy. The low-enriched fuels (LEU) were developed for replacing high-enriched fuels (HEU) for these reactors necessitates a significantly increased uranium density in the fuel to counterbalance the decrease in enrichment. One of the most promising new fuel candidate is U-Mo alloy, in a U-Mo/Al dispersion fuel form, due to its high uranium loading as well as excellent irradiation resistance performance, is being developed extensively to convert from HEU fuel to LEU fuel for high-performance research reactors. However, the formation of an interaction layer (IL) between U-Mo particles and the Al matrix, and the associated pore formation, under high heat flux and high burnup conditions, degrade the irradiation performance of the U-Mo/Al dispersion fuel. From the recent tests results accumulated from the surface engineering of low enriched uranium fuel (SELENIUM) and MIR reactor displayed that a surface barrier coating like physical vapor deposited (PVD) zirconium nitride (ZrN) can significantly reduce the interaction layer. The barrier coating performed well at low burn up but above a fluence rate of 5x 1021 ions/cm2 the swelling reappeared due to formation interaction layer. With this result in mind the objective of this research was to develop an ultrathin ZrN coating over particulate uranium-molybdenum nuclear fuel using a modified savannah 200 atomic layer deposition (ALD) system. This is done in support of the US Department of Energy's (DOE) effort to slow down the interaction at fluence rate and reach higher burn up for high power research reactor. The low-pressure Savannah 200 ALD system is modified to be designed as a batch powder coating system using the

  13. O3 Layers via Spray Pyrolysis at Low Temperatures and Their Application in High Electron Mobility Transistors

    KAUST Repository

    Isakov, Ivan

    2017-04-06

    The growth mechanism of indium oxide (InO) layers processed via spray pyrolysis of an aqueous precursor solution in the temperature range of 100-300 °C and the impact on their electron transporting properties are studied. Analysis of the droplet impingement sites on the substrate\\'s surface as a function of its temperature reveals that Leidenfrost effect dominated boiling plays a crucial role in the growth of smooth, continuous, and highly crystalline InO layers via a vapor phase-like process. By careful optimization of the precursor formulation, deposition conditions, and choice of substrate, this effect is exploited and ultrathin and exceptionally smooth layers of InO are grown over large area substrates at temperatures as low as 252 °C. Thin-film transistors (TFTs) fabricated using these optimized InO layers exhibit superior electron transport characteristics with the electron mobility reaching up to 40 cm V s, a value amongst the highest reported to date for solution-processed InO TFTs. The present work contributes enormously to the basic understanding of spray pyrolysis and highlights its tremendous potential for large-volume manufacturing of high-performance metal oxide thin-film transistor electronics.

  14. O3 Layers via Spray Pyrolysis at Low Temperatures and Their Application in High Electron Mobility Transistors

    KAUST Repository

    Isakov, Ivan; Faber, Hendrik; Grell, Max; Wyatt-Moon, Gwenhivir; Pliatsikas, Nikos; Kehagias, Thomas; Dimitrakopulos, George P.; Patsalas, Panos P.; Li, Ruipeng; Anthopoulos, Thomas D.

    2017-01-01

    The growth mechanism of indium oxide (InO) layers processed via spray pyrolysis of an aqueous precursor solution in the temperature range of 100-300 °C and the impact on their electron transporting properties are studied. Analysis of the droplet impingement sites on the substrate's surface as a function of its temperature reveals that Leidenfrost effect dominated boiling plays a crucial role in the growth of smooth, continuous, and highly crystalline InO layers via a vapor phase-like process. By careful optimization of the precursor formulation, deposition conditions, and choice of substrate, this effect is exploited and ultrathin and exceptionally smooth layers of InO are grown over large area substrates at temperatures as low as 252 °C. Thin-film transistors (TFTs) fabricated using these optimized InO layers exhibit superior electron transport characteristics with the electron mobility reaching up to 40 cm V s, a value amongst the highest reported to date for solution-processed InO TFTs. The present work contributes enormously to the basic understanding of spray pyrolysis and highlights its tremendous potential for large-volume manufacturing of high-performance metal oxide thin-film transistor electronics.

  15. Microwave absorption properties of carbon nanocoils coated with highly controlled magnetic materials by atomic layer deposition.

    Science.gov (United States)

    Wang, Guizhen; Gao, Zhe; Tang, Shiwei; Chen, Chaoqiu; Duan, Feifei; Zhao, Shichao; Lin, Shiwei; Feng, Yuhong; Zhou, Lei; Qin, Yong

    2012-12-21

    In this work, atomic layer deposition is applied to coat carbon nanocoils with magnetic Fe(3)O(4) or Ni. The coatings have a uniform and highly controlled thickness. The coated nanocoils with coaxial multilayer nanostructures exhibit remarkably improved microwave absorption properties compared to the pristine carbon nanocoils. The enhanced absorption ability arises from the efficient complementarity between complex permittivity and permeability, chiral morphology, and multilayer structure of the products. This method can be extended to exploit other composite materials benefiting from its convenient control of the impedance matching and combination of dielectric-magnetic multiple loss mechanisms for microwave absorption applications.

  16. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  17. Observation of anomalous Stokes versus anti-Stokes ratio in MoTe2 atomic layers

    Science.gov (United States)

    Goldstein, Thomas; Chen, Shao-Yu; Xiao, Di; Ramasubramaniam, Ashwin; Yan, Jun

    We grow hexagonal molybdenum ditelluride (MoTe2), a prototypical transition metal dichalcogenide (TMDC) semiconductor, with chemical vapor transport methods and investigate its atomic layers with Stokes and anti-Stokes Raman scattering. We report observation of all six types of zone center optical phonons. Quite remarkably, the anti-Stokes Raman intensity of the low energy layer-breathing mode becomes more intense than the Stokes peak under certain experimental conditions, creating an illusion of 'negative temperature'. This effect is tunable, and can be switched from anti-Stokes enhancement to suppression by varying the excitation wavelength. We interpret this observation to be a result of resonance effects arising from the C excitons in the vicinity of the Brillouin zone center, which are robust even for multiple layers of MoTe2. The intense anti-Stokes Raman scattering provides a cooling channel for the crystal and opens up opportunities for laser cooling of atomically thin TMDC semiconductor devices. Supported by the University of Massachusetts Amherst, the National Science Foundation Center for Hierarchical Manufacturing (CMMI-1025020) and Office of Emerging Frontiers in Research and Innovation (EFRI-1433496).

  18. Atomic gas temperature in a nonequilibrium high-intensity discharge lamp determined from the red wing of the resonance mercury line 254 nm

    International Nuclear Information System (INIS)

    Drakakis, E.; Karabourniotis, D.

    2012-01-01

    For developing low-wattage high intensity discharge (HID) lamps, a better understanding of the relatively unexplored nonequilibrium phenomena is essential. This needs interpretation of diagnostic results by methods free from equilibrium assumptions. In this paper, the atomic temperature is determined from the simulation of a quasistatic broadened resonance line by distinguishing between atomic temperature and excitation temperature in the equation of radiative transfer. The proposed method is applied to the red wing of the resonance mercury line 254 nm emitted from a HID lamp working on ac. The experimental results show severe deviation from local thermodynamic equilibrium. More than one thousand degrees difference was obtained between atomic and electron temperatures at the maximum current phase.

  19. Atomic gas temperature in a nonequilibrium high-intensity discharge lamp determined from the red wing of the resonance mercury line 254 nm

    Energy Technology Data Exchange (ETDEWEB)

    Drakakis, E. [Technological Educational Institute, Department of Electrical Engineering, 71004 Heraklion (Greece); Karabourniotis, D. [Institute of Plasma Physics, Department of Physics, University of Crete, 71003 Heraklion (Greece)

    2012-09-01

    For developing low-wattage high intensity discharge (HID) lamps, a better understanding of the relatively unexplored nonequilibrium phenomena is essential. This needs interpretation of diagnostic results by methods free from equilibrium assumptions. In this paper, the atomic temperature is determined from the simulation of a quasistatic broadened resonance line by distinguishing between atomic temperature and excitation temperature in the equation of radiative transfer. The proposed method is applied to the red wing of the resonance mercury line 254 nm emitted from a HID lamp working on ac. The experimental results show severe deviation from local thermodynamic equilibrium. More than one thousand degrees difference was obtained between atomic and electron temperatures at the maximum current phase.

  20. Efficient dual layer interconnect coating for high temperature electrochemical devices

    DEFF Research Database (Denmark)

    Palcut, Marián; Mikkelsen, Lars; Neufeld, Kai

    2012-01-01

    Effects of novel dual layer coatings Co3O4/La0.85Sr0.15MnO3−δ on high temperature oxidation behaviour of candidate steels for interconnects are studied at 1123 K in flowing simulated ambient air (air + 1% H2O) and oxygen. Four alloys are investigated: Crofer 22 APU, Crofer 22 H, E-Brite and AL 29...... that the oxidation reaction is limited by outward Cr3+ diffusion in the chromia scale. The coating effectively reduces the oxidation rate. Reactions and cation inter-diffusion between the coating and the oxide scale are observed. Long term effects of these interactions are discussed and practical implications...

  1. Atomically engineered ferroic layers yield a room-temperature magnetoelectric multiferroic

    Science.gov (United States)

    Mundy, Julia A.; Brooks, Charles M.; Holtz, Megan E.; Moyer, Jarrett A.; Das, Hena; Rébola, Alejandro F.; Heron, John T.; Clarkson, James D.; Disseler, Steven M.; Liu, Zhiqi; Farhan, Alan; Held, Rainer; Hovden, Robert; Padgett, Elliot; Mao, Qingyun; Paik, Hanjong; Misra, Rajiv; Kourkoutis, Lena F.; Arenholz, Elke; Scholl, Andreas; Borchers, Julie A.; Ratcliff, William D.; Ramesh, Ramamoorthy; Fennie, Craig J.; Schiffer, Peter; Muller, David A.; Schlom, Darrell G.

    2016-09-01

    Materials that exhibit simultaneous order in their electric and magnetic ground states hold promise for use in next-generation memory devices in which electric fields control magnetism. Such materials are exceedingly rare, however, owing to competing requirements for displacive ferroelectricity and magnetism. Despite the recent identification of several new multiferroic materials and magnetoelectric coupling mechanisms, known single-phase multiferroics remain limited by antiferromagnetic or weak ferromagnetic alignments, by a lack of coupling between the order parameters, or by having properties that emerge only well below room temperature, precluding device applications. Here we present a methodology for constructing single-phase multiferroic materials in which ferroelectricity and strong magnetic ordering are coupled near room temperature. Starting with hexagonal LuFeO3—the geometric ferroelectric with the greatest known planar rumpling—we introduce individual monolayers of FeO during growth to construct formula-unit-thick syntactic layers of ferrimagnetic LuFe2O4 (refs 17, 18) within the LuFeO3 matrix, that is, (LuFeO3)m/(LuFe2O4)1 superlattices. The severe rumpling imposed by the neighbouring LuFeO3 drives the ferrimagnetic LuFe2O4 into a simultaneously ferroelectric state, while also reducing the LuFe2O4 spin frustration. This increases the magnetic transition temperature substantially—from 240 kelvin for LuFe2O4 (ref. 18) to 281 kelvin for (LuFeO3)9/(LuFe2O4)1. Moreover, the ferroelectric order couples to the ferrimagnetism, enabling direct electric-field control of magnetism at 200 kelvin. Our results demonstrate a design methodology for creating higher-temperature magnetoelectric multiferroics by exploiting a combination of geometric frustration, lattice distortions and epitaxial engineering.

  2. Atomic layer deposition of HfO{sub 2} for integration into three-dimensional metal-insulator-metal devices

    Energy Technology Data Exchange (ETDEWEB)

    Assaud, Loic [Aix Marseille Univ, CNRS, CINAM, Marseille (France); ICMMO-ERIEE, Universite Paris-Sud / Universite Paris-Saclay, CNRS, Orsay (France); Pitzschel, Kristina; Barr, Maissa K.S.; Petit, Matthieu; Hanbuecken, Margrit; Santinacci, Lionel [Aix Marseille Univ, CNRS, CINAM, Marseille (France); Monier, Guillaume [Universite Clermont Auvergne, Universite Blaise Pascal, CNRS, Institut Pascal, Clermont-Ferrand (France)

    2017-12-15

    HfO{sub 2} nanotubes have been fabricated via a template-assisted deposition process for further use in three-dimensional metal-insulator-metal (MIM) devices. HfO{sub 2} thin layers were grown by Atomic Layer Deposition (ALD) in anodic alumina membranes (AAM). The ALD was carried out using tetrakis(ethylmethylamino)hafnium and water as Hf and O sources, respectively. Long exposure durations to the precursors have been used to maximize the penetration depth of the HfO{sub 2} layer within the AAM and the effect of the process temperature was investigated. The morphology, the chemical composition, and the crystal structure were studied as a function of the deposition parameters using transmission and scanning electron microscopies, X-ray photoelectron spectroscopy, and X-ray diffraction, respectively. As expected, the HfO{sub 2} layers grown at low-temperature (T = 150 C) were amorphous, while for a higher temperature (T = 250 C), polycrystalline films were observed. The electrical characterizations have shown better insulating properties for the layers grown at low temperature. Finally, TiN/HfO{sub 2}/TiN multilayers were grown in an AAM as proof-of-concept for three-dimensional MIM nanostructures. (orig.)

  3. Development of a double-layered ceramic filter for aerosol filtration at high-temperatures: the filter collection efficiency.

    Science.gov (United States)

    de Freitas, Normanda L; Gonçalves, José A S; Innocentini, Murilo D M; Coury, José R

    2006-08-25

    The performance of double-layered ceramic filters for aerosol filtration at high temperatures was evaluated in this work. The filtering structure was composed of two layers: a thin granular membrane deposited on a reticulate ceramic support of high porosity. The goal was to minimize the high pressure drop inherent of granular structures, without decreasing their high collection efficiency for small particles. The reticulate support was developed using the technique of ceramic replication of polyurethane foam substrates of 45 and 75 pores per inch (ppi). The filtering membrane was prepared by depositing a thin layer of granular alumina-clay paste on one face of the support. Filters had their permeability and fractional collection efficiency analyzed for filtration of an airborne suspension of phosphatic rock in temperatures ranging from ambient to 700 degrees C. Results revealed that collection efficiency decreased with gas temperature and was enhanced with filtration time. Also, the support layer influenced the collection efficiency: the 75 ppi support was more effective than the 45 ppi. Particle collection efficiency dropped considerably for particles below 2 microm in diameter. The maximum collection occurred for particle diameters of approximately 3 microm, and decreased again for diameters between 4 and 8 microm. Such trend was successfully represented by the proposed correlation, which is based on the classical mechanisms acting on particle collection. Inertial impaction seems to be the predominant collection mechanism, with particle bouncing/re-entrainment acting as detachment mechanisms.

  4. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  5. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  6. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  7. Atomic scale imaging of competing polar states in a Ruddlesden–Popper layered oxide

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J.; Schlom, Darrell G.; Alem, Nasim; Gopalan, Venkatraman

    2016-01-01

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden–Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure. PMID:27578622

  8. Atomic scale imaging of competing polar states in a Ruddlesden-Popper layered oxide.

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J; Schlom, Darrell G; Alem, Nasim; Gopalan, Venkatraman

    2016-08-31

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.

  9. Highly transparent and thermal-stable silver nanowire conductive film covered with ZnMgO by atomic-layer-deposition

    Science.gov (United States)

    Wang, Lei; Huang, Dongchen; Li, Min; Xu, Hua; Zou, Jianhua; Tao, Hong; Peng, Junbiao; Xu, Miao

    2017-12-01

    Solution-processed silver nanowires (AgNWs) have been considered as a promising material for next generation flexible transparent conductive electrodes. However AgNWs films have several intrinsic drawbacks, such as thermal stability and storage stability. Herein, we demonstrate a laminated ZnO/MgO (ZnMgO, ZMO) as a protective layer on the AgNWs films using atomic layer deposition (ALD). The fabricated films exhibited a low sheet resistance of 16 Ω/sq with high transmittance of 91% at 550 nm, an excellent thermal stability and bending property. The ZMO film grows perpendicularly on the surface of the AgNWs, making a perfect coverage of bulk silver nanowires and junction, which can effectively prompt the electrical transport behavior and enhance stability of the silver nanowires network.

  10. High-temperature carrier density and mobility enhancements in AlGaN/GaN HEMT using AlN spacer layer

    Science.gov (United States)

    Ko, Tsung-Shine; Lin, Der-Yuh; Lin, Chia-Feng; Chang, Che-Wei; Zhang, Jin-Cheng; Tu, Shang-Ju

    2017-04-01

    In this paper, we experimentally studied the effect of AlN spacer layer on optical and electrical properties of AlGaN/GaN high electric mobility transistors (HEMTs) grown by metal organic chemical vapor deposition method. For AlGaN layer in HEMT structure, the Al composition of the sample was determined using x-ray diffraction and photoluminescence. Electrolyte electro-reflectance (EER) measurement not only confirmed the aluminum composition of AlGaN layer, but also determined the electric field strength on the AlGaN layer through the Franz-Keldysh oscillation phenomenon. This result indicated that the electric field on the AlGaN layer could be improved from 430 to 621 kV/cm when AlN spacer layer was inserted in HEMT structure, which increased the concentration of two dimensional electron gas (2DEG) and improve the mobility. The temperature dependent Hall results show that both the mobility and the carrier concentration of 2DEG would decrease abruptly causing HEMT loss of function due to phonon scattering and carrier thermal escape when temperature increases above a specific value. Meanwhile, our study also demonstrates using AlN spacer layer could be beneficial to allow the mobility and carrier density of 2DEG sustaining at high temperature region.

  11. Effect of atomic layer deposition temperature on current conduction in Al{sub 2}O{sub 3} films formed using H{sub 2}O oxidant

    Energy Technology Data Exchange (ETDEWEB)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp [Research Organization for Nano and Life Innovation, Waseda University, 513 Waseda-Tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); Matsumura, Daisuke [Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kawarada, Hiroshi, E-mail: kawarada@waseda.jp [Research Organization for Nano and Life Innovation, Waseda University, 513 Waseda-Tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); The Kagami Memorial Laboratory for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2016-08-28

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al{sub 2}O{sub 3} films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al{sub 2}O{sub 3} metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO{sub 2} capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al{sub 2}O{sub 3} capacitors are found to outperform the SiO{sub 2} capacitors in the cases where the capacitors are negatively biased and the gate material is adequately selected to reduce virtual dipoles at the gate/Al{sub 2}O{sub 3} interface. The Al{sub 2}O{sub 3} electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al{sub 2}O{sub 3} capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al{sub 2}O{sub 3}. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al{sub 2}O{sub 3} capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al{sub 2}O{sub 3}/underlying SiO{sub 2} interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al{sub 2}O{sub 3} films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering, a 450

  12. Temperature manipulation during layer chick embryogenesis.

    Science.gov (United States)

    Walstra, I; Ten Napel, J; Kemp, B; van den Brand, H

    2010-07-01

    The current study investigated the effects of temperature manipulation (TM) during late embryogenesis on temperature preference, response to high environmental temperature, behavior, and performance in young layer chicks. Control (CC) embryos (n = 96) were incubated at 37.8 degrees C eggshell temperature throughout incubation. Thermally manipulated embryos (n = 96) were incubated at 37.8 degrees C eggshell temperature throughout incubation and were exposed to 40 degrees C for 4 h/d from embryonic d 14 to 18 (TM chicks). After hatch, chicks from each treatment were divided into 3 subgroups (n = 32 per group) and were subjected to a temperature preference test at d 1, 7, or 33. One day after the temperature preference test, each subgroup was exposed to 1 thermal challenge for 4 h (d 2, 40 degrees C; d 8, 40 degrees C; or d 34, 35 degrees C). Effects of TM on (fearfulness) behavior of chicks were investigated in a tonic immobility test and during home pen observations. Temperature manipulation decreased incubation time with 7 h (P preferred a lower ambient temperature in the temperature preference test (P preference and response to high environmental temperatures are only found until d 8 of age. This may suggest 1 of 3 options: a) the timing or the level, or both, of TM and duration were not at the sensitive period of embryogenesis or not sufficient, or both, respectively; b) the level of the postnatal thermal challenge was not strong enough to induce a hyperthermic response; and c) the postnatal effects of TM in layers are limited in time.

  13. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  14. Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition

    International Nuclear Information System (INIS)

    Zhang Jian; Yang Hui; Zhang Qilong; Dong Shurong; Luo, J. K.

    2013-01-01

    ZnO films deposited by plasma-enhanced atomic layer deposition (PEALD) have been used to investigate resistive memory behavior. The bipolar resistance switching properties were observed in the Al/PEALD-ZnO/Pt devices. The resistance ratio for the high and low resistance states (HRS/LRS) is more than 10 3 , better than ZnO devices deposited by other methods. The dominant conduction mechanisms of HRS and LRS are trap-controlled space charge limited current and Ohmic behavior, respectively. The resistive switching behavior is induced upon the formation/disruption of conducting filaments. This study demonstrated that the PEALD-ZnO films have better properties for the application in 3D resistance random access memory.

  15. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  16. Room temperature atomic layer deposited Al2O3 on CH3NH3PbI3 characterized by synchrotron-based X-ray photoelectron spectroscopy

    Science.gov (United States)

    Kot, Małgorzata; Das, Chittaranjan; Henkel, Karsten; Wojciechowski, Konrad; Snaith, Henry J.; Schmeisser, Dieter

    2017-11-01

    An ultrathin Al2O3 film deposited on methylammonium lead triiodide (CH3NH3PbI3) perovskite has the capability to suppress the carrier recombination process and improve the perovskite solar cells efficiency and stability. However, annealing at temperatures higher than 85 °C degrades the CH3NH3PbI3 perovskite film. The X-ray photoelectron spectroscopy study performed in this work indicates that it is possible to grow Al2O3 by atomic layer deposition on the perovskite at room temperature, however, besides pure Al2O3 some OH groups are found and the creation of lead and iodine oxides at the Al2O3/CH3NH3PbI3 interface takes place.

  17. Direct observation of effective temperature of Ta atom in layer compound TaS2 by neutron resonance absorption spectrometer

    International Nuclear Information System (INIS)

    Tokuda, Koji; Kamiyama, Takashi; Kiyanagi, Yoshiaki; Moreh, R.; Ikeda, Susumu

    2001-01-01

    A neutron resonance absorption spectrometer, DOG has been installed at KENS, High Energy Accelerator Research Organization Neutron Source, which enables us to investigate the motions of a particular element by analyzing the line width of resonance absorption spectrum. We measured the temperature dependence of the effective temperature of Ta motion in TaS 2 as well as in Ta metal using DOG. The effective temperatures extracted from the observed absorption spectrum agree well with the calculated values from the phonon density of states of Ta metal over a wide temperature range of 10 to 300 K. We also succeeded in measuring both the angular dependence and the temperature dependence of effective temperatures of Ta in a layer compound TaS 2 . Based on the temperature dependence of the effective temperature, the partial phonon density of states of Ta in TaS 2 was discussed. (author)

  18. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  19. Microstructure and high-temperature tribological properties of Si-doped hydrogenated diamond-like carbon films

    Science.gov (United States)

    Zhang, Teng Fei; Wan, Zhi Xin; Ding, Ji Cheng; Zhang, Shihong; Wang, Qi Min; Kim, Kwang Ho

    2018-03-01

    Si-doped DLC films have attracted great attention for use in tribological applications. However, their high-temperature tribological properties remain less investigated, especially in harsh oxidative working conditions. In this study, Si-doped hydrogenated DLC films with various Si content were synthesized and the effects of the addition of Si on the microstructural, mechanical and high-temperature tribological properties of the films were investigated. The results indicate that Si doping leads to an obvious increase in the sp3/sp2 ratio of DLC films, likely due to the silicon atoms preferentially substitute the sp2-hybridized carbon atoms and augment the number of sp3 sites. With Si doping, the mechanical properties, including hardness and adhesion strength, were improved, while the residual stress of the DLC films was reduced. The addition of Si leads to higher thermal and mechanical stability of DLC films because the Si atoms inhibit the graphitization of the films at an elevated temperature. Better high-temperature tribological properties of the Si-DLC films under oxidative conditions were observed, which can be attributed to the enhanced thermal stability and formation of a Si-containing lubricant layer on the surfaces of the wear tracks. The nano-wear resistance of the DLC films was also improved by Si doping.

  20. Area-selective atomic layer deposition of platinum using photosensitive polyimide.

    Science.gov (United States)

    Vervuurt, René H J; Sharma, Akhil; Jiao, Yuqing; Kessels, Wilhelmus Erwin M M; Bol, Ageeth A

    2016-10-07

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a reference. The results show that polyimide has excellent selectivity towards the Pt deposition, after 1000 ALD cycles less than a monolayer of Pt is deposited on the polyimide surface. The polyimide film could easily be removed after ALD using a hydrogen plasma, due to a combination of weakening of the polyimide resist during Pt ALD and the catalytic activity of Pt traces on the polyimide surface. Compared to PMMA for AS-ALD of Pt, polyimide has better temperature stability. This resulted in an improved uniformity of the Pt deposits and superior definition of the Pt patterns. In addition, due to the absence of reflow contamination using polyimide the nucleation phase during Pt ALD is drastically shortened. Pt patterns down to 3.5 μm were created with polyimide, a factor of ten smaller than what is possible using PMMA, at the typical Pt ALD processing temperature of 300 °C. Initial experiments indicate that after further optimization of the polyimide process Pt features down to 100 nm should be possible, which makes AS-ALD of Pt using photosensitive polyimide a promising candidate for patterning at the nanoscale.

  1. Novel composite materials synthesized by the high-temperature interaction of pyrrole with layered oxide matrices

    Science.gov (United States)

    Pavel, Alexandru Cezar

    The initial goal of the research presented herein was to develop the very first synthetic metal---high-temperature superconductor ceramic composite material, in the specific form of a polypyrrole---Bi2Sr2CaCu 2O8+delta nanocomposite. In the course of scientific investigation, this scope was broadened to encompass structurally and compositionally similar layered bismuthates and simpler layered oxides. The latter substrates were prepared through novel experimental procedures that enhanced the chance of yielding nanostructured morphologies. The designed novel synthesis approaches yielded a harvest of interesting results that may be further developed upon their dissemination in the scientific community. High-temperature interaction of pyrrole with molybdenum trioxide substrates with different crystalline phases and morphologies led to the formation of the first members of a new class of heterogeneous microcomposites characterized by incomplete occupancy by the metal oxide core of the volume encapsulated by the rigid, amorphous permeable polymeric membrane that reproduces the volume of the initial grain of precursor substrate. The method may be applied for various heterogeneous catalyst substrates for the precise determination of the catalytically active crystallographic planes. In a different project, room-temperature, templateless impregnation of molybdenum trioxide substrates with different crystalline phases and morphologies by a large excess of silver (I) cations led to the formation of 1-D nanostructured novel Ag-Mo-O ternary phase in what may be the simplest experimental procedure available to date that has yielded a 1-D nanostructure, regardless the nature of the constituent material. Interaction of this novel ternary phase with pyrrole vapors at high reaction temperatures led to heterogeneous nanostructured composites that exhibited a silver nanorod core. Nanoscrolls of vanadium pentoxide xerogel were synthesized through a novel, facile reflux-based method that

  2. Dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Bhartiya, S. [Laser Materials Development & Devices Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Gupta, M. [UGC-DAE Consortium for Scientific Research, Indore 452 017 (India)

    2016-01-25

    We report on the dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers having well-defined and spatially-localized Ti dopant profiles along film thickness. These films were grown by in situ incorporation of sub-monolayer TiO{sub x} on the growing ZnO film surface and subsequent overgrowth of thin conducting ZnO spacer layer using atomic layer deposition. Film thickness was varied in the range of ∼6–65 nm by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers of nearly identical dopant-profiles. The evolution of zero-field sheet resistance (R{sub ◻}) versus temperature with decreasing film thickness showed a metal to insulator transition. On the metallic side of the metal-insulator transition, R{sub ◻}(T) and magnetoresistance data were found to be well corroborated with the theoretical framework of electron weak localization in the diffusive transport regime. The temperature dependence of both R{sub ◻} and inelastic scattering length provided strong evidence for a smooth crossover from 2D to 3D weak localization behaviour. Results of this study provide deeper insight into the electron transport in low-dimensional n-type ZnO/TiO{sub x} stacked layers which have potential applications in the field of transparent oxide electronics.

  3. Mapping the layer count of few-layer hexagonal boron nitride at high lateral spatial resolutions

    Science.gov (United States)

    Mohsin, Ali; Cross, Nicholas G.; Liu, Lei; Watanabe, Kenji; Taniguchi, Takashi; Duscher, Gerd; Gu, Gong

    2018-01-01

    Layer count control and uniformity of two dimensional (2D) layered materials are critical to the investigation of their properties and to their electronic device applications, but methods to map 2D material layer count at nanometer-level lateral spatial resolutions have been lacking. Here, we demonstrate a method based on two complementary techniques widely available in transmission electron microscopes (TEMs) to map the layer count of multilayer hexagonal boron nitride (h-BN) films. The mass-thickness contrast in high-angle annular dark-field (HAADF) imaging in the scanning transmission electron microscope (STEM) mode allows for thickness determination in atomically clean regions with high spatial resolution (sub-nanometer), but is limited by surface contamination. To complement, another technique based on the boron K ionization edge in the electron energy loss spectroscopy spectrum (EELS) of h-BN is developed to quantify the layer count so that surface contamination does not cause an overestimate, albeit at a lower spatial resolution (nanometers). The two techniques agree remarkably well in atomically clean regions with discrepancies within  ±1 layer. For the first time, the layer count uniformity on the scale of nanometers is quantified for a 2D material. The methodology is applicable to layer count mapping of other 2D layered materials, paving the way toward the synthesis of multilayer 2D materials with homogeneous layer count.

  4. Atomic hydrogen storage. [cryotrapping and magnetic field strength

    Science.gov (United States)

    Woollam, J. A. (Inventor)

    1980-01-01

    Atomic hydrogen, for use as a fuel or as an explosive, is stored in the presence of a strong magnetic field in exfoliated layered compounds such as molybdenum disulfide or an elemental layer material such as graphite. The compound is maintained at liquid temperatures and the atomic hydrogen is collected on the surfaces of the layered compound which are exposed during delamination (exfoliation). The strong magnetic field and the low temperature combine to prevent the atoms of hydrogen from recombining to form molecules.

  5. Atomic layer MoS2-graphene van der Waals heterostructure nanomechanical resonators.

    Science.gov (United States)

    Ye, Fan; Lee, Jaesung; Feng, Philip X-L

    2017-11-30

    Heterostructures play significant roles in modern semiconductor devices and micro/nanosystems in a plethora of applications in electronics, optoelectronics, and transducers. While state-of-the-art heterostructures often involve stacks of crystalline epi-layers each down to a few nanometers thick, the intriguing limit would be hetero-atomic-layer structures. Here we report the first experimental demonstration of freestanding van der Waals heterostructures and their functional nanomechanical devices. By stacking single-layer (1L) MoS 2 on top of suspended single-, bi-, tri- and four-layer (1L to 4L) graphene sheets, we realize an array of MoS 2 -graphene heterostructures with varying thickness and size. These heterostructures all exhibit robust nanomechanical resonances in the very high frequency (VHF) band (up to ∼100 MHz). We observe that fundamental-mode resonance frequencies of the heterostructure devices fall between the values of graphene and MoS 2 devices. Quality (Q) factors of heterostructure resonators are lower than those of graphene but comparable to those of MoS 2 devices, suggesting interface damping related to interlayer interactions in the van der Waals heterostructures. This study validates suspended atomic layer heterostructures as an effective device platform and provides opportunities for exploiting mechanically coupled effects and interlayer interactions in such devices.

  6. Robust, functional nanocrystal solids by infilling with atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yao; Gibbs, Markelle; Perkins, Craig L.; Tolentino, Jason; Zarghami, Mohammad H.; Bustamante, Jr., J.; Law, Matt

    2011-12-14

    Thin films of colloidal semiconductor nanocrystals (NCs) are inherently metatstable materials prone to oxidative and photothermal degradation driven by their large surface-to-volume ratios and high surface energies. The fabrication of practical electronic devices based on NC solids hinges on preventing oxidation, surface diffusion, ripening, sintering, and other unwanted physicochemical changes that can plague these materials. Here we use low-temperature atomic layer deposition (ALD) to infill conductive PbSe NC solids with metal oxides to produce inorganic nanocomposites in which the NCs are locked in place and protected against oxidative and photothermal damage. Infilling NC field-effect transistors and solar cells with amorphous alumina yields devices that operate with enhanced and stable performance for at least months in air. Furthermore, ALD infilling with ZnO lowers the height of the inter-NC tunnel barrier for electron transport, yielding PbSe NC films with electron mobilities of 1 cm² V-1 s-1. Our ALD technique is a versatile means to fabricate robust NC solids for optoelectronic devices.

  7. Effect of Thermal Budget on the Electrical Characterization of Atomic Layer Deposited HfSiO/TiN Gate Stack MOSCAP Structure.

    Directory of Open Access Journals (Sweden)

    Z N Khan

    Full Text Available Metal Oxide Semiconductor (MOS capacitors (MOSCAP have been instrumental in making CMOS nano-electronics realized for back-to-back technology nodes. High-k gate stacks including the desirable metal gate processing and its integration into CMOS technology remain an active research area projecting the solution to address the requirements of technology roadmaps. Screening, selection and deposition of high-k gate dielectrics, post-deposition thermal processing, choice of metal gate structure and its post-metal deposition annealing are important parameters to optimize the process and possibly address the energy efficiency of CMOS electronics at nano scales. Atomic layer deposition technique is used throughout this work because of its known deposition kinetics resulting in excellent electrical properties and conformal structure of the device. The dynamics of annealing greatly influence the electrical properties of the gate stack and consequently the reliability of the process as well as manufacturable device. Again, the choice of the annealing technique (migration of thermal flux into the layer, time-temperature cycle and sequence are key parameters influencing the device's output characteristics. This work presents a careful selection of annealing process parameters to provide sufficient thermal budget to Si MOSCAP with atomic layer deposited HfSiO high-k gate dielectric and TiN gate metal. The post-process annealing temperatures in the range of 600°C -1000°C with rapid dwell time provide a better trade-off between the desirable performance of Capacitance-Voltage hysteresis and the leakage current. The defect dynamics is thought to be responsible for the evolution of electrical characteristics in this Si MOSCAP structure specifically designed to tune the trade-off at low frequency for device application.

  8. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  9. The high-temperature modification of LuAgSn and high-pressure high-temperature experiments on DyAgSn, HoAgSn, and YbAgSn

    Energy Technology Data Exchange (ETDEWEB)

    Heying, B.; Rodewald, U.C.; Hermes, W.; Schappacher, F.M.; Riecken, J.F.; Poettgen, R. [Muenster Univ. (Germany). Inst. fuer Anorganische und Analytische Chemie; Heymann, G.; Huppertz, H. [Muenchen Univ. (Germany). Dept. fuer Chemie und Biochemie; Sebastian, C.P. [Max-Planck-Institut fuer Chemische Physik Fester Stoffe, Dresden (Germany)

    2008-02-15

    The high-temperature modification of LuAgSn was obtained by arc-melting an equiatomic mixture of the elements followed by quenching the melt on a water-cooled copper crucible. HT-LuAgSn crystallizes with the NdPtSb-type structure, space group P6{sub 3}mc: a = 463.5(1), c = 723.2(1) pm, wR2 = 0.0270, 151 F{sup 2}, and 11 variables. The silver and tin atoms build up two-dimensional, puckered [Ag{sub 3}Sn{sub 3}] networks (276 pm Ag-Sn) that are charge-balanced and separated by the lutetium atoms. The Ag-Sn distances between the [Ag{sub 3}Sn{sub 3}] layers of 294 pm are much longer. Single crystals of isotypic DyAgSn (a = 468.3(1), c = 734.4(1) pm, wR2 = 0.0343, 411 F{sup 2}, and 11 variables) and HoAgSn (a = 467.2(1), c = 731.7(2) pm, wR2 = 0.0318, 330 F{sup 2}, and 11 variables) were obtained from arc-melted samples. Under high-pressure (up to 12.2 GPa) and high-temperature (up to 1470 K) conditions, no transitions to a ZrNiAl-related phase have been observed for DyAgSn, HoAgSn, and YbAgSn. HT-TmAgSn shows Curie-Weiss paramagnetism with {mu}{sub eff} = 7.53(1) {mu}{sub B}/Tm atom and {theta}P = -15.0(5) K. No magnetic ordering was evident down to 3 K. HT-LuAgSn is a Pauli paramagnet. Room-temperature {sup 119}Sn Moessbauer spectra of HT-TmAgSn and HT-LuAgSn show singlet resonances with isomer shifts of 1.78(1) and 1.72(1) mm/s, respectively. (orig.)

  10. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  11. A slow atomic diffusion process in high-entropy glass-forming metallic melts

    Science.gov (United States)

    Chen, Changjiu; Wong, Kaikin; Krishnan, Rithin P.; Embs, Jan P.; Chathoth, Suresh M.

    2018-04-01

    Quasi-elastic neutron scattering has been used to study atomic relaxation processes in high-entropy glass-forming metallic melts with different glass-forming ability (GFA). The momentum transfer dependence of mean relaxation time shows a highly collective atomic transport process in the alloy melts with the highest and lowest GFA. However, a jump diffusion process is the long-range atomic transport process in the intermediate GFA alloy melt. Nevertheless, atomic mobility close to the melting temperature of these alloy melts is quite similar, and the temperature dependence of the diffusion coefficient exhibits a non-Arrhenius behavior. The atomic mobility in these high-entropy melts is much slower than that of the best glass-forming melts at their respective melting temperatures.

  12. Routes to High-Temperature Superconductivity: A Lesson from FeSe/SrTiO3

    Science.gov (United States)

    Lee, Dung-Hai

    2018-03-01

    Raising the superconducting transition temperature to a point where applications are practical is one of the most important challenges in science. In this review, we aim at gaining insights on the Tc controlling factors for a particular high-temperature superconductor family - the FeSe-based superconductors. In particular, we discuss the mechanisms by which the Cooper pairing temperature is enhanced from ˜8 K in bulk FeSe to ˜80 K in the interface between an atomic layer of FeSe and SrTiO3. This includes the experimental hints and the theoretical simulation of the involved mechanisms. We end by applying these insights to suggest some possible high-temperature superconducting systems.

  13. The Effect of High Temperature Annealing on the Grain Characteristics of a Thin Chemical Vapor Deposition Silicon Carbide Layer.

    Energy Technology Data Exchange (ETDEWEB)

    Isabella J van Rooyen; Philippus M van Rooyen; Mary Lou Dunzik-Gougar

    2013-08-01

    The unique combination of thermo-mechanical and physiochemical properties of silicon carbide (SiC) provides interest and opportunity for its use in nuclear applications. One of the applications of SiC is as a very thin layer in the TRi-ISOtropic (TRISO) coated fuel particles for high temperature gas reactors (HTGRs). This SiC layer, produced by chemical vapor deposition (CVD), is designed to withstand the pressures of fission and transmutation product gases in a high temperature, radiation environment. Various researchers have demonstrated that macroscopic properties can be affected by changes in the distribution of grain boundary plane orientations and misorientations [1 - 3]. Additionally, various researchers have attributed the release behavior of Ag through the SiC layer as a grain boundary diffusion phenomenon [4 - 6]; further highlighting the importance of understanding the actual grain characteristics of the SiC layer. Both historic HTGR fission product release studies and recent experiments at Idaho National Laboratory (INL) [7] have shown that the release of Ag-110m is strongly temperature dependent. Although the maximum normal operating fuel temperature of a HTGR design is in the range of 1000-1250°C, the temperature may reach 1600°C under postulated accident conditions. The aim of this specific study is therefore to determine the magnitude of temperature dependence on SiC grain characteristics, expanding upon initial studies by Van Rooyen et al, [8; 9].

  14. Pt-Al{sub 2}O{sub 3} dual layer atomic layer deposition coating in high aspect ratio nanopores

    Energy Technology Data Exchange (ETDEWEB)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Goeran; Wijngaart, Wouter van der; Roxhed, Niclas [KTH Royal Institute of Technology, School of Electrical Engineering, Micro and Nanosystems, Osquldas Vaeg 10, SE-10044 Stockholm (Sweden)

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al{sub 2}O{sub 3}) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al{sub 2}O{sub 3} layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 {mu}m thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al{sub 2}O{sub 3} using ALD. (paper)

  15. Highly n -doped graphene generated through intercalated terbium atoms

    Science.gov (United States)

    Daukiya, L.; Nair, M. N.; Hajjar-Garreau, S.; Vonau, F.; Aubel, D.; Bubendorff, J. L.; Cranney, M.; Denys, E.; Florentin, A.; Reiter, G.; Simon, L.

    2018-01-01

    We obtained highly n -type doped graphene by intercalating terbium atoms between graphene and SiC(0001) through appropriate annealing in ultrahigh vacuum. After terbium intercalation angle-resolved-photoelectron spectroscopy (ARPES) showed a drastic change in the band structure around the K points of the Brillouin zone: the well-known conical dispersion band of a graphene monolayer was superposed by a second conical dispersion band of a graphene monolayer with an electron density reaching 1015cm-2 . In addition, we demonstrate that atom intercalation proceeds either below the buffer layer or between the buffer layer and the monolayer graphene. The intercalation of terbium below a pure buffer layer led to the formation of a highly n -doped graphene monolayer decoupled from the SiC substrate, as evidenced by ARPES and x-ray photoelectron spectroscopy measurements. The band structure of this highly n -doped monolayer graphene showed a kink (a deviation from the linear dispersion of the Dirac cone), which has been associated with an electron-phonon coupling constant one order of magnitude larger than those usually obtained for graphene with intercalated alkali metals.

  16. Atomic layer deposition of Al{sub 2}O{sub 3} for single electron transistors utilizing Pt oxidation and reduction

    Energy Technology Data Exchange (ETDEWEB)

    McConnell, Michael S., E-mail: mmcconn5@nd.edu; Schneider, Louisa C.; Karbasian, Golnaz; Rouvimov, Sergei; Orlov, Alexei O.; Snider, Gregory L. [Department of Electrical Engineering, University of Notre Dame, 275 Fitzpatrick Hall, Notre Dame, Indiana 46556 (United States)

    2016-01-15

    This work describes the fabrication of single electron transistors using electron beam lithography and atomic layer deposition to form nanoscale tunnel transparent junctions of alumina (Al{sub 2}O{sub 3}) on platinum nanowires using either water or ozone as the oxygen precursor and trimethylaluminum as the aluminum precursor. Using room temperature, low frequency conductance measurements between the source and drain, it was found that devices fabricated using water had higher conductance than devices fabricated with ozone. Subsequent annealing caused both water- and ozone-based devices to increase in conductance by more than 2 orders of magnitude. Furthermore, comparison of devices at low temperatures (∼4 K) showed that annealed devices displayed much closer to the ideal behavior (i.e., constant differential conductance) outside of the Coulomb blockade region and that untreated devices showed nonlinear behavior outside of the Coulomb blockade region (i.e., an increase in differential conductance with source-drain voltage bias). Transmission electron microscopy cross-sectional images showed that annealing did not significantly change device geometry, but energy dispersive x-ray spectroscopy showed an unusually large amount of oxygen in the bottom platinum layer. This suggests that the atomic layer deposition process results in the formation of a thin platinum surface oxide, which either decomposes or is reduced during the anneal step, resulting in a tunnel barrier without the in-series native oxide contribution. Furthermore, the difference between ozone- and water-based devices suggests that ozone promotes atomic layer deposition nucleation by oxidizing the surface but that water relies on physisorption of the precursors. To test this theory, devices were exposed to forming gas at room temperature, which also reduces platinum oxide, and a decrease in resistance was observed, as expected.

  17. Low temperature formation of higher-k cubic phase HfO{sub 2} by atomic layer deposition on GeO{sub x}/Ge structures fabricated by in-situ thermal oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, R., E-mail: zhang@mosfet.t.u-tokyo.ac.jp [School of Engineering, The University of Tokyo, 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-8656 (Japan); Department of Information Science and Electronic Engineering, Zhejiang University, 38 Zheda Road, Hangzhou 310027 (China); Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S. [School of Engineering, The University of Tokyo, 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2016-02-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO{sub 2} using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO{sub x} interfacial layer. It is found that the cubic phase is dominant in the HfO{sub 2} film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO{sub 2} film on a 1-nm-thick GeO{sub x} form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO{sub 2} can be induced by the formation of six-fold crystalline GeO{sub x} structures in the underlying GeO{sub x} interfacial layer.

  18. High-temperature mechanical relaxation in glass-like B2O3

    International Nuclear Information System (INIS)

    Lomovskoj, V.A.

    1987-01-01

    The study of high-temperature mechanical relaxation in glass-like B 2 O 3 was carried out at the temperatures from 470 to 620 K using the method of internal friction at freely damped tortional vibrations (frequency range is 0.05 - 10 Hz) and forced torsional vibrations (frequency range is 0.1 -0.00001 Hz). Possible mechanisms of high-temperature mechanical relaxation are considered. It is shown that several possible mechanisms of high-temperature mechanical relaxation in glass-like B 2 O 3 can be singled out. Switching of B-O bridge bond between two boroxol cycles of boroxol grouping for oxygen vacancy in spatial structure of glass-like B 2 O 3 , formed as a result of thermal breaking of one out of three B-O bonds, according to diffusion theory of glass viscosity. The slip of one layer boroxol groupings as to another one in the presence of only tricoordinated boron atoms in the structure of glass-like B 2 O 3

  19. Contribution of a solute atoms in the relaxation phenomenon at high temperature in Cu-Al single crystal alloys

    Science.gov (United States)

    Belamri, C.; Belhas, S.; Rivière, A.

    2009-11-01

    Two Cu-Al single crystals with 7 and 14 at. % Al respectively have been studied using isothermal mechanical spectroscopy (IMS) technique. After a 1% cold work by torsion, the samples have been progressively heated to 1140 K and then cooled until room temperature. IMS experiments allow to compare the isothermal internal friction spectra obtained during the heating (in this case, the annealing temperature is equal to the temperature of measurement) with the measurements performed at various temperature during the cooling after the annealing at 1140 K. Three relaxation peaks were observed. The first one at about 0.4 TM (TM: melting point) is a Zener relaxation peak (PZ) due to the reorientation under constraint of pairs of aluminium atoms. The high temperature annealing does not influence PZ. At about 0.6TM, a peak (P1) related to a dislocation mechanism is evidenced. The relaxation strength of P1 peak decreases with the temperature and a new relaxation peak (P2) is progressively developed. The IMS spectra obtained during the cooling evidenced only P2. The relaxation parameters obtained by the Arrhenius plots and the evolution with the annealing temperature allow to assign P1 and P2 to an interaction between the dislocations and the solute atoms according to the Darinskiy model.

  20. The role of Ag buffer layer in Fe islands growth on Ge (111) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Tsu-Yi, E-mail: phtifu@phy.ntnu.edu.tw; Wu, Jia-Yuan; Jhou, Ming-Kuan; Hsu, Hung-Chan [Department of Physics, National Taiwan Normal University, 88, Sec. 4, Ting-Chou Rd, Taipei 116, Taiwan (China)

    2015-05-07

    Sub-monolayer iron atoms were deposited at room temperature on Ge (111)-c(2 × 8) substrates with and without Ag buffer layers. The behavior of Fe islands growth was investigated by using scanning tunneling microscope (STM) after different annealing temperatures. STM images show that iron atoms will cause defects and holes on substrates at room temperature. As the annealing temperature rises, iron atoms pull out germanium to form various kinds of alloyed islands. However, the silver layer can protect the Ag/Ge(111)-(√3×√3) reconstruction from forming defects. The phase diagram shows that ring, dot, and triangular defects were only found on Ge (111)-c(2 × 8) substrates. The kinds of islands found in Fe/Ge system are similar to Fe/Ag/Ge system. It indicates that Ge atoms were pulled out to form islands at high annealing temperatures whether there was a Ag layer or not. But a few differences in big pyramidal or strip islands show that the silver layer affects the development of islands by changing the surface symmetry and diffusion coefficient. The structure characters of various islands are also discussed.

  1. TEM/STEM study of Zircaloy-2 with protective FeAl(Cr) layers under simulated BWR environment and high-temperature steam exposure

    Science.gov (United States)

    Park, Donghee; Mouche, Peter A.; Zhong, Weicheng; Mandapaka, Kiran K.; Was, Gary S.; Heuser, Brent J.

    2018-04-01

    FeAl(Cr) thin-film depositions on Zircaloy-2 were studied using transmission electron microscopy (TEM) and scanning transmission electron microscopy (STEM) with respect to oxidation behavior under simulated boiling water reactor (BWR) conditions and high-temperature steam. Columnar grains of FeAl with Cr in solid solution were formed on Zircaloy-2 coupons using magnetron sputtering. NiFe2O4 precipitates on the surface of the FeAl(Cr) coatings were observed after the sample was exposed to the simulated BWR environment. High-temperature steam exposure resulted in grain growth and consumption of the FeAl(Cr) layer, but no delamination at the interface. Outward Al diffusion from the FeAl(Cr) layer occurred during high-temperature steam exposure (700 °C for 3.6 h) to form a 100-nm-thick alumina oxide layer, which was effective in mitigating oxidation of the Zircaloy-2 coupons. Zr intermetallic precipitates formed near the FeAl(Cr) layer due to the inward diffusion of Fe and Al. The counterflow of vacancies in response to the Al and Fe diffusion led to porosity within the FeAl(Cr) layer.

  2. Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy

    NARCIS (Netherlands)

    Langereis, E.; Keijmel, J.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2008-01-01

    The surface groups created during plasma-assisted atomic layer deposition (ALD) of Al2O3 were studied by infrared spectroscopy. For temperatures in the range of 25–150 °C, –CH3 and –OH were unveiled as dominant surface groups after the Al(CH3)3precursor and O2 plasma half-cycles, respectively. At

  3. Effect of active layer deposition temperature on the performance of sputtered amorphous In—Ga—Zn—O thin film transistors

    International Nuclear Information System (INIS)

    Wu Jie; Shi Junfei; Dong Chengyuan; Chen Yuting; Zhou Daxiang; Hu Zhe; Zhan Runze; Zou Zhongfei

    2014-01-01

    The effect of active layer deposition temperature on the electrical performance of amorphous InGaZnO (a-IGZO) thin film transistors (TFTs) is investigated. With increasing annealing temperature, TFT performance is firstly improved and then degraded generally. Here TFTs with best performance defined as ''optimized-annealed'' are selected to study the effect of active layer deposition temperature. The field effect mobility reaches maximum at deposition temperature of 150 °C while the room-temperature fabricated device shows the best subthreshold swing and off-current. From Hall measurement results, the carrier concentration is much higher for intentional heated a-IGZO films, which may account for the high off-current in the corresponding TFT devices. XPS characterization results also reveal that deposition temperature affects the atomic ratio and O1s spectra apparently. Importantly, the variation of field effect mobility of a-IGZO TFTs with deposition temperature does not coincide with the tendencies in Hall mobility of a-IGZO thin films. Based on the further analysis of the experimental results on a-IGZO thin films and the corresponding TFT devices, the trap states at front channel interface rather than IGZO bulk layer properties may be mainly responsible for the variations of field effect mobility and subthreshold swing with IGZO deposition temperature. (semiconductor devices)

  4. Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition

    CERN Document Server

    Kim, H

    2002-01-01

    We have investigated the growth kinetics of plasma-enhanced Ti atomic layer deposition (ALD) using a quartz crystal microbalance. Ti ALD films were grown at temperatures from 20 to 200 deg. C using TiCl sub 4 as a source gas and rf plasma-produced atomic H as the reducing agent. Postdeposition ex situ chemical analyses of thin films showed that the main impurity is oxygen, mostly incorporated during the air exposure prior to analysis. The thickness per cycle, corresponding to the growth rate, was measured by quartz crystal microbalance as a function of various key growth parameters, including TiCl sub 4 and H exposure time, rf plasma power, and sample temperature. The growth rates were independent of TiCl sub 4 exposure above 1x10 sup 3 L, indicating typical ALD mode growth. The key kinetic parameters for Cl extraction reaction and TiCl sub 4 adsorption kinetics were obtained and the growth kinetics were modeled to predict the growth rates based upon these results. Also, the dependency of growth kinetics on d...

  5. Origin of dislocation luminescence centers and their reorganization in p-type silicon crystal subjected to plastic deformation and high temperature annealing.

    Science.gov (United States)

    Pavlyk, Bohdan; Kushlyk, Markiyan; Slobodzyan, Dmytro

    2017-12-01

    Changes of the defect structure of silicon p-type crystal surface layer under the influence of plastic deformation and high temperature annealing in oxygen atmosphere were investigated by deep-level capacitance-modulation spectroscopy (DLCMS) and IR spectroscopy of molecules and atom vibrational levels. Special role of dislocations in the surface layer of silicon during the formation of its energy spectrum and rebuilding the defective structure was established. It is shown that the concentration of linear defects (N ≥ 10 4  cm -2 ) enriches surface layer with electrically active complexes (dislocation-oxygen, dislocation-vacancy, and dislocation-interstitial atoms of silicon) which are an effective radiative recombination centers.

  6. Optimizing AlF{sub 3} atomic layer deposition using trimethylaluminum and TaF{sub 5}: Application to high voltage Li-ion battery cathodes

    Energy Technology Data Exchange (ETDEWEB)

    Jackson, David H. K., E-mail: david.jackson@wisc.edu; Kuech, Thomas F. [Materials Science Program, University of Wisconsin–Madison, Madison, Wisconsin 53706 and Department of Chemical and Biological Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Laskar, Masihhur R.; Ellis, Ryan G. [Department of Chemical and Biological Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Fang, Shuyu; Hamers, Robert J. [Department of Chemistry, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Xu, Shenzhen; Li, Xiaoqing; Morgan, Dane [Department of Materials Science and Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Dreibelbis, Mark [Core R& D, Inorganic Materials and Heterogeneous Catalysis, The Dow Chemical Company, Midland, Michigan 48674 (United States); Babcock, Susan E. [Materials Science Program, University of Wisconsin–Madison, Madison, Wisconsin 53706 and Department of Materials Science and Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Mahanthappa, Mahesh K. [Materials Science Program, University of Wisconsin–Madison, Madison, Wisconsin 53706 and Department of Chemistry, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States)

    2016-05-15

    Atomic layer deposition (ALD) of conformal AlF{sub 3} coatings onto both flat silicon substrates and high-voltage LiNi{sub 0.5}Mn{sub 0.3}Co{sub 0.2}O{sub 2} (NMC) Li-ion battery cathode powders was investigated using a Al(CH{sub 3}){sub 3}/TaF{sub 5} precursor combination. This optimized approach employs easily handled ALD precursors, while also obviating the use of highly toxic HF(g). In studies conducted on planar Si wafers, the film's growth mode was dictated by a competition between the desorption and decomposition of Ta reaction byproducts. At T ≥ 200 °C, a rapid decomposition of the Ta reaction byproducts to TaC led to continuous deposition and high concentrations of TaC in the films. A self-limited ALD growth mode was found to occur when the deposition temperature was reduced to 125 °C, and the TaF{sub 5} exposures were followed by an extended purge. The lower temperature process suppressed conversion of TaF{sub x}(CH{sub 3}){sub 5−x} to nonvolatile TaC, and the long purges enabled nearly complete TaF{sub x}(CH{sub 3}){sub 5−x} desorption, leaving behind the AlF{sub 3} thin films. NMC cathode powders were coated using these optimized conditions, and coin cells employing these coated cathode particles exhibited significant improvements in charge capacity fade at high discharge rates.

  7. Temperature Effects on Tensile and Compressive Mechanical Behaviors of C-S-H Structure via Atomic Simulation

    Directory of Open Access Journals (Sweden)

    Hao Xin

    2017-01-01

    Full Text Available An atomic scale model of amorphous calcium silicate hydrate (C-S-H with Ca/Si ratio of 1.67 is constructed. Effects of temperature on mechanical properties of C-S-H structure under tensile and compressive loading in the layered direction are investigated via molecular dynamics simulations. Results from present simulations show that (1 the tensile strength and Young’s modulus of C-S-H structure significantly decrease with the increase of the temperature; (2 the water layer plays an important role in the mechanical properties of C-S-H structure; (3 the compressive strength is stronger than tensile strength, which corresponds with the characteristic of cement paste.

  8. Oxygen vacancy defect engineering using atomic layer deposited HfAlOx in multi-layered gate stack

    Science.gov (United States)

    Bhuyian, M. N.; Sengupta, R.; Vurikiti, P.; Misra, D.

    2016-05-01

    This work evaluates the defects in high quality atomic layer deposited (ALD) HfAlOx with extremely low Al (estimated by the high temperature current voltage measurement shows that the charged oxygen vacancies, V+/V2+, are the primary source of defects in these dielectrics. When Al is added in HfO2, the V+ type defects with a defect activation energy of Ea ˜ 0.2 eV modify to V2+ type to Ea ˜ 0.1 eV with reference to the Si conduction band. When devices were stressed in the gate injection mode for 1000 s, more V+ type defects are generated and Ea reverts back to ˜0.2 eV. Since Al has a less number of valence electrons than do Hf, the change in the co-ordination number due to Al incorporation seems to contribute to the defect level modifications. Additionally, the stress induced leakage current behavior observed at 20 °C and at 125 °C demonstrates that the addition of Al in HfO2 contributed to suppressed trap generation process. This further supports the defect engineering model as reduced flat-band voltage shifts were observed at 20 °C and at 125 °C.

  9. Effect of Growth Temperature on the Structural and Electrical Properties of ZrO2 Films Fabricated by Atomic Layer Deposition Using a CpZr[N(CH32]3/C7H8 Cocktail Precursor

    Directory of Open Access Journals (Sweden)

    Jong-Ki An

    2018-03-01

    Full Text Available The effect of growth temperature on the atomic layer deposition of zirconium oxide (ZrO2 dielectric thin films that were fabricated using a CpZr[N(CH32]3/C7H8 cocktail precursor with ozone was investigated. The chemical, structural, and electrical properties of ZrO2 films grown at temperatures from 250 to 350 °C were characterized. Stoichiometric ZrO2 films formed at 250–350 °C with an atomic ratio of O to Zr of 1.8–1.9 and a low content of carbon impurities. The film formed at 300 °C was predominantly the tetragonal crystalline phase, whereas that formed at 350 °C was a mixture of tetragonal and monoclinic phases. Electrical properties, such as capacitance, leakage current, and voltage linearity of TiN/ZrO2/TiN capacitors fabricated using the thin ZrO2 films grown at different temperatures were compared capacitor applications. The ZrO2 film grown at 300 °C exhibited low impurity content, predominantly tetragonal crystalline structure, a high dielectric permittivity of 38.3, a low leakage current of below 10−7 A/cm2 at 2 V, and low-voltage linearity.

  10. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO{sub 2} thin films grown by atomic layer deposition at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: babaisps@rrcat.gov.in [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Ajimsha, R.S. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Rajiv, K.; Mukherjee, C. [Mechanical and Optical Support Section, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Gupta, M. [UGC-DAE Consortium, Indore Centre, Khandwa Road, Indore 452017 (India); Misra, P.; Kukreja, L.M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2014-10-01

    Highlights: • Refractive index was found to be increased from amorphous to the nanocrystalline films. • Refractive index was found to be inversely proportional with growth per cycle. • Large-grained anatase films showed lower refractive indices than the amorphous films. • Roughness was taken into consideration due to the columnar growths of crystalline films. - Abstract: TiO{sub 2} thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (T{sub s}) in a wide range (50 °C ≤ T{sub s} ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (T{sub s} ≤ 150 °C) to the nanocrystalline films (250{sup 0} < T{sub s} ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple–DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  11. Integrating atomic layer deposition and ultra-high vacuum physical vapor deposition for in situ fabrication of tunnel junctions

    Energy Technology Data Exchange (ETDEWEB)

    Elliot, Alan J., E-mail: alane@ku.edu, E-mail: jwu@ku.edu; Malek, Gary A.; Lu, Rongtao; Han, Siyuan; Wu, Judy Z., E-mail: alane@ku.edu, E-mail: jwu@ku.edu [Department of Physics and Astronomy, The University of Kansas, Lawrence, Kansas 66045 (United States); Yu, Haifeng; Zhao, Shiping [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2014-07-15

    Atomic Layer Deposition (ALD) is a promising technique for growing ultrathin, pristine dielectrics on metal substrates, which is essential to many electronic devices. Tunnel junctions are an excellent example which require a leak-free, ultrathin dielectric tunnel barrier of typical thickness around 1 nm between two metal electrodes. A challenge in the development of ultrathin dielectric tunnel barriers using ALD is controlling the nucleation of dielectrics on metals with minimal formation of native oxides at the metal surface for high-quality interfaces between the tunnel barrier and metal electrodes. This poses a critical need for integrating ALD with ultra-high vacuum (UHV) physical vapor deposition. In order to address these challenges, a viscous-flow ALD chamber was designed and interfaced to an UHV magnetron sputtering chamber via a load lock. A sample transportation system was implemented for in situ sample transfer between the ALD, load lock, and sputtering chambers. Using this integrated ALD-UHV sputtering system, superconductor-insulator-superconductor (SIS) Nb-Al/Al{sub 2}O{sub 2}/Nb Josephson tunnel junctions were fabricated with tunnel barriers of thickness varied from sub-nm to ∼1 nm. The suitability of using an Al wetting layer for initiation of the ALD Al{sub 2}O{sub 3} tunnel barrier was investigated with ellipsometry, atomic force microscopy, and electrical transport measurements. With optimized processing conditions, leak-free SIS tunnel junctions were obtained, demonstrating the viability of this integrated ALD-UHV sputtering system for the fabrication of tunnel junctions and devices comprised of metal-dielectric-metal multilayers.

  12. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  13. Partially oxidized atomic cobalt layers for carbon dioxide electroreduction to liquid fuel

    Science.gov (United States)

    Gao, Shan; Lin, Yue; Jiao, Xingchen; Sun, Yongfu; Luo, Qiquan; Zhang, Wenhua; Li, Dianqi; Yang, Jinlong; Xie, Yi

    2016-01-01

    Electroreduction of CO2 into useful fuels, especially if driven by renewable energy, represents a potentially ‘clean’ strategy for replacing fossil feedstocks and dealing with increasing CO2 emissions and their adverse effects on climate. The critical bottleneck lies in activating CO2 into the CO2•- radical anion or other intermediates that can be converted further, as the activation usually requires impractically high overpotentials. Recently, electrocatalysts based on oxide-derived metal nanostructures have been shown to enable CO2 reduction at low overpotentials. However, it remains unclear how the electrocatalytic activity of these metals is influenced by their native oxides, mainly because microstructural features such as interfaces and defects influence CO2 reduction activity yet are difficult to control. To evaluate the role of the two different catalytic sites, here we fabricate two kinds of four-atom-thick layers: pure cobalt metal, and co-existing domains of cobalt metal and cobalt oxide. Cobalt mainly produces formate (HCOO-) during CO2 electroreduction; we find that surface cobalt atoms of the atomically thin layers have higher intrinsic activity and selectivity towards formate production, at lower overpotentials, than do surface cobalt atoms on bulk samples. Partial oxidation of the atomic layers further increases their intrinsic activity, allowing us to realize stable current densities of about 10 milliamperes per square centimetre over 40 hours, with approximately 90 per cent formate selectivity at an overpotential of only 0.24 volts, which outperforms previously reported metal or metal oxide electrodes evaluated under comparable conditions. The correct morphology and oxidation state can thus transform a material from one considered nearly non-catalytic for the CO2 electroreduction reaction into an active catalyst. These findings point to new opportunities for manipulating and improving the CO2 electroreduction properties of metal systems

  14. Effect of surface pretreatment on interfacial chemical bonding states of atomic layer deposited ZrO2 on AlGaN

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    Atomic layer deposition (ALD) of ZrO 2 on native oxide covered (untreated) and buffered oxide etchant (BOE) treated AlGaN surface was analyzed by utilizing x-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy. Evidenced by Ga–O and Al–O chemical bonds by XPS, parasitic oxidation during deposition is largely enhanced on BOE treated AlGaN surface. Due to the high reactivity of Al atoms, more prominent oxidation of Al atoms is observed, which leads to thicker interfacial layer formed on BOE treated surface. The results suggest that native oxide on AlGaN surface may serve as a protecting layer to inhibit the surface from further parasitic oxidation during ALD. The findings provide important process guidelines for the use of ALD ZrO 2 and its pre-ALD surface treatments for high-k AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors and other related device applications

  15. Change in crystalline structure and band alignment in atomic-layer-deposited HfO{sub 2} on InPusing an annealing treatment

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Yu-Seon; Kim, Dae-Kyoung; Cho, Mann-Ho [Institute of Physics and Applied Physics, Yonsei University, Seoul 120-749 (Korea, Republic of); Seo, Jung-Hye [Division of Materials Science, Korea Basic Science Institute, Daejeon 305-333 (Korea, Republic of); Shon, Hyun Kyong; Lee, Tae Geol [Korea Research Institute of Standards and Science, Daejeon 305-540 (Korea, Republic of); Cho, Young Dae; Kim, Sun-Wook; Ko, Dae-Hong [Department of Material Science and Engineering, Yonsei University, Seoul 120-749 (Korea, Republic of); Kim, Hyoungsub [School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2013-08-15

    Changes in structural characteristics and band alignments of atomic-layer-deposited HfO{sub 2} films on InP (001) as a function of annealing temperature and film thickness were investigated using various analytical techniques. After an annealing at temperatures over 500 C, the HfO{sub 2} films were converted into a fully crystalline structure with a tetragonal phase with no detectable interfacial layer between the film and the InP substrate. In-P-O states, produced by interfacial reactions, were increased during the post deposition annealing (PDA) process and oxides were detected in the surface region of the HfO{sub 2} film, indicating that In and P atoms had out-diffused. The E{sub g} value of the as-grown HfO{sub 2} film was found to be 5.80 {+-} 0.1 eV. After the PDA treatment, the optical band gap and valence band offset values were significantly affected by the interfacial oxide states between the HfO{sub 2} film and InP substrate. Moreover, band bending in InP, due to negative space charges generated by an unstable P-rich interfacial state during atomic layer deposition process was decreased after the annealing treatment. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Effects of phosphorous-doping and high temperature annealing on CVD grown 3C-SiC

    International Nuclear Information System (INIS)

    Rooyen, I.J. van; Neethling, J.H.; Henry, A.; Janzén, E.; Mokoduwe, S.M.; Janse van Vuuren, A.; Olivier, E.

    2012-01-01

    The integrity and property behavior of the SiC layer of the Tri-isotropic (TRISO) coated particle (CP) for high temperature reactors (HTR) are very important as the SiC layer is the main barrier for gaseous and metallic fission product release. This study describes the work done on un-irradiated SiC samples prepared with varying phosphorus levels to simulate the presence of phosphorus due to transmutation. 30 Si transmutes to phosphorous ( 31 P) and other transmutation products during irradiation, which may affect the integrity of the SiC layer. The P-doping levels of the SiC samples used in this study cover the range from 1.1 × 10 15 to 1.2 × 10 19 atom/cm 3 and are therefore relevant to the PBMR operating conditions. Annealing from 1000 °C to 2100 °C was performed to study the possible changes in nanostructures and various properties due to temperature. Characterization results by X-ray diffraction (XRD), secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), transmission electron microscopy (TEM) and high resolution transmission electron microscopy (HRTEM), are reported in this article. As grain boundary diffusion is identified as a possible mechanism by which 110m Ag, one of the fission activation products, might be released through intact SiC layer, grain size measurements is also included in this study. Temperature is evidently one of the factors/parameters amongst others known to influence the grain size of SiC and therefore it is important to investigate the effect of high temperature annealing on the SiC grain size. The ASTM E112 method as well as electron back scatter diffraction (EBSD) was used to determine the grain size of various commercial SiC samples and the SiC layer in experimental PBMR Coated Particles (CPs) after annealing at temperatures ranging from 1600 °C to 2100 °C. The HRTEM micrograph of the decomposition of SiC at 2100 °C are shown and discussed. Nanotubes were not identified during the TEM and HRTEM analysis

  17. Effects of phosphorous-doping and high temperature annealing on CVD grown 3C-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Rooyen, I.J. van, E-mail: Isabella.vanrooyen@inl.gov [CSIR, National Laser Centre, PO Box 395, Pretoria 0001 (South Africa); Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Fuel Design, PBMR, 1279 Mike Crawford Avenue, Centurion 0046 (South Africa); Neethling, J.H. [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Henry, A.; Janzen, E. [Department of Physics, Chemistry and Biology, Semiconductor Materials, Linkoeping University, Linkoeping 58183 (Sweden); Mokoduwe, S.M. [Fuel Design, PBMR, 1279 Mike Crawford Avenue, Centurion 0046 (South Africa); Janse van Vuuren, A.; Olivier, E. [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa)

    2012-10-15

    The integrity and property behavior of the SiC layer of the Tri-isotropic (TRISO) coated particle (CP) for high temperature reactors (HTR) are very important as the SiC layer is the main barrier for gaseous and metallic fission product release. This study describes the work done on un-irradiated SiC samples prepared with varying phosphorus levels to simulate the presence of phosphorus due to transmutation. {sup 30}Si transmutes to phosphorous ({sup 31}P) and other transmutation products during irradiation, which may affect the integrity of the SiC layer. The P-doping levels of the SiC samples used in this study cover the range from 1.1 Multiplication-Sign 10{sup 15} to 1.2 Multiplication-Sign 10{sup 19} atom/cm{sup 3} and are therefore relevant to the PBMR operating conditions. Annealing from 1000 Degree-Sign C to 2100 Degree-Sign C was performed to study the possible changes in nanostructures and various properties due to temperature. Characterization results by X-ray diffraction (XRD), secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), transmission electron microscopy (TEM) and high resolution transmission electron microscopy (HRTEM), are reported in this article. As grain boundary diffusion is identified as a possible mechanism by which {sup 110m}Ag, one of the fission activation products, might be released through intact SiC layer, grain size measurements is also included in this study. Temperature is evidently one of the factors/parameters amongst others known to influence the grain size of SiC and therefore it is important to investigate the effect of high temperature annealing on the SiC grain size. The ASTM E112 method as well as electron back scatter diffraction (EBSD) was used to determine the grain size of various commercial SiC samples and the SiC layer in experimental PBMR Coated Particles (CPs) after annealing at temperatures ranging from 1600 Degree-Sign C to 2100 Degree-Sign C. The HRTEM micrograph of the decomposition of Si

  18. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    International Nuclear Information System (INIS)

    Maydannik, Philipp S.; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C.; Söderlund, Mikko; Soininen, Pekka; Johansson, Petri; Kuusipalo, Jurkka; Moro, Lorenza; Zeng, Xianghui

    2014-01-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al 2 O 3 diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al 2 O 3 films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument ( −4  g/m 2 day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10 −6 g/m 2 day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate

  19. Ultrathin highly uniform Ni(Al) germanosilicide layer with modulated B8 type Ni5(SiGe)3 phase formed on strained Si1−xGex layers

    International Nuclear Information System (INIS)

    Liu, Linjie; Xu, Dawei; Jin, Lei; Knoll, Lars; Wirths, Stephan; Nichau, Alexander; Buca, Dan; Mussler, Gregor; Holländer, Bernhard; Zhao, Qing-Tai; Mantl, Siegfried; Feng Di, Zeng; Zhang, Miao

    2013-01-01

    We present a method to form ultrathin highly uniform Ni(Al) germanosilicide layers on compressively strained Si 1−x Ge x substrates and their structural characteristics. The uniform Ni(Al) germanosilicide film is formed with Ni/Al alloy at an optimized temperature of 400 °C with an optimized Al atomic content of 20 at. %. We find only two kinds of grains in the layer. Both grains show orthogonal relationship with modified B8 type phase. The growth plane is identified to be (10-10)-type plane. After germanosilicidation the strain in the rest Si 1−x Ge x layer is conserved, which provides a great advantage for device application

  20. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  1. Experimental Study on Fabrication of AZO Transparent Electrode for Organic Solar Cell Using Selective Low-Temperature Atomic Layer Deposition

    International Nuclear Information System (INIS)

    Kim, Kicheol; Song, Gensoo; Kim, Hyungtae; Yoo, Kyunghoon; Kang, Jeongjin; Hwang, Junyoung; Lee, Sangho; Kang, Kyungtae; Kang, Heuiseok; Cho, Youngjune

    2013-01-01

    AZO (aluminum-doped zinc oxide) is one of the best candidate materials to replace Into (indium tin oxide) for TKOs (transparent conductive oxides) used in flat panel displays, organic light-emitting diodes (OLDS), and organic solar cells (OCSS). In the present study, to apply an AZO thin film to the transparent electrode of an organic solar cell, a low temperature selective atomic layer deposition (ALD) process was adopted to deposit an AZO thin film on a flexible polyethylene-naphthanate (Pen) substrate. The reactive gases for the ALD process were di-ethyl-zinc (De) and tri-methylaluminum (Tma) as precursors and H 2 O as an oxidant. The structural, electrical, and optical characteristics of the AZO thin film were evaluated. From the measured results of the electrical and optical characteristics of the AZO thin films deposited on the Pen substrates by Ald, it was shown that the Azo thin film appeared to be comparable to a commercially used Into thin film, which confirmed the feasibility of AZO as a TCO for flexible organic solar cells in the near future

  2. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  3. Zinc tin oxide as high-temperature stable recombination layer for mesoscopic perovskite/silicon monolithic tandem solar cells

    KAUST Repository

    Werner, Jérémie

    2016-12-05

    Perovskite/crystalline silicon tandem solar cells have the potential to reach efficiencies beyond those of silicon single-junction record devices. However, the high-temperature process of 500 °C needed for state-of-the-art mesoscopic perovskite cells has, so far, been limiting their implementation in monolithic tandem devices. Here, we demonstrate the applicability of zinc tin oxide as a recombination layer and show its electrical and optical stability at temperatures up to 500 °C. To prove the concept, we fabricate monolithic tandem cells with mesoscopic top cell with up to 16% efficiency. We then investigate the effect of zinc tin oxide layer thickness variation, showing a strong influence on the optical interference pattern within the tandem device. Finally, we discuss the perspective of mesoscopic perovskite cells for high-efficiency monolithic tandem solar cells. © 2016 Author(s)

  4. Surface diffusion coefficient of Au atoms on single layer graphene grown on Cu

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, F., E-mail: francesco.ruffino@ct.infn.it; Cacciato, G.; Grimaldi, M. G. [Dipartimento di Fisica ed Astronomia-Universitá di Catania, via S. Sofia 64, 95123 Catania, Italy and MATIS IMM-CNR, via S. Sofia 64, 95123 Catania (Italy)

    2014-02-28

    A 5 nm thick Au film was deposited on single layer graphene sheets grown on Cu. By thermal processes, the dewetting phenomenon of the Au film on the graphene was induced so to form Au nanoparticles. The mean radius, surface-to-surface distance, and surface density evolution of the nanoparticles on the graphene sheets as a function of the annealing temperature were quantified by scanning electron microscopy analyses. These quantitative data were analyzed within the classical mean-field nucleation theory so to obtain the temperature-dependent Au atoms surface diffusion coefficient on graphene: D{sub S}(T)=[(8.2±0.6)×10{sup −8}]exp[−(0.31±0.02(eV)/(at) )/kT] cm{sup 2}/s.

  5. Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films

    Directory of Open Access Journals (Sweden)

    Jörg Haeberle

    2013-11-01

    Full Text Available We report on results on the preparation of thin (2O3 films on silicon substrates using thermal atomic layer deposition (T-ALD and plasma enhanced atomic layer deposition (PE-ALD in the SENTECH SI ALD LL system. The T-ALD Al2O3 layers were deposited at 200 °C, for the PE-ALD films we varied the substrate temperature range between room temperature (rt and 200 °C. We show data from spectroscopic ellipsometry (thickness, refractive index, growth rate over 4” wafers and correlate them to X-ray photoelectron spectroscopy (XPS results. The 200 °C T-ALD and PE-ALD processes yield films with similar refractive indices and with oxygen to aluminum elemental ratios very close to the stoichiometric value of 1.5. However, in both also fragments of the precursor are integrated into the film. The PE-ALD films show an increased growth rate and lower carbon contaminations. Reducing the deposition temperature down to rt leads to a higher content of carbon and CH-species. We also find a decrease of the refractive index and of the oxygen to aluminum elemental ratio as well as an increase of the growth rate whereas the homogeneity of the film growth is not influenced significantly. Initial state energy shifts in all PE-ALD samples are observed which we attribute to a net negative charge within the films.

  6. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  7. Atomic and molecular hydrogen gas temperatures in a low-pressure helicon plasma

    Science.gov (United States)

    Samuell, Cameron M.; Corr, Cormac S.

    2015-08-01

    Neutral gas temperatures in hydrogen plasmas are important for experimental and modelling efforts in fusion technology, plasma processing, and surface modification applications. To provide values relevant to these application areas, neutral gas temperatures were measured in a low pressure (radiofrequency helicon discharge using spectroscopic techniques. The atomic and molecular species were not found to be in thermal equilibrium with the atomic temperature being mostly larger then the molecular temperature. In low power operation (measurements near a graphite target demonstrated localised cooling near the sample surface. The temporal evolution of the molecular gas temperature during a high power 1.1 ms plasma pulse was also investigated and found to vary considerably as a function of pressure.

  8. Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer.

    Science.gov (United States)

    Black, L E; Cavalli, A; Verheijen, M A; Haverkort, J E M; Bakkers, E P A M; Kessels, W M M

    2017-10-11

    III/V semiconductor nanostructures have significant potential in device applications, but effective surface passivation is critical due to their large surface-to-volume ratio. For InP such passivation has proven particularly difficult, with substantial depassivation generally observed following dielectric deposition on InP surfaces. We present a novel approach based on passivation with a phosphorus-rich interfacial oxide deposited using a low-temperature process, which is critical to avoid P-desorption. For this purpose we have chosen a PO x layer deposited in a plasma-assisted atomic layer deposition (ALD) system at room temperature. Since PO x is known to be hygroscopic and therefore unstable in atmosphere, we encapsulate this layer with a thin ALD Al 2 O 3 capping layer to form a PO x /Al 2 O 3 stack. This passivation scheme is capable of improving the photoluminescence (PL) efficiency of our state-of-the-art wurtzite (WZ) InP nanowires by a factor of ∼20 at low excitation. If we apply the rate equation analysis advocated by some authors, we derive a PL internal quantum efficiency (IQE) of 75% for our passivated wires at high excitation. Our results indicate that it is more reliable to calculate the IQE as the ratio of the integrated PL intensity at room temperature to that at 10 K. By this means we derive an IQE of 27% for the passivated wires at high excitation (>10 kW cm -2 ), which constitutes an unprecedented level of performance for undoped InP nanowires. This conclusion is supported by time-resolved PL decay lifetimes, which are also shown to be significantly higher than previously reported for similar wires. The passivation scheme displays excellent long-term stability (>7 months) and is additionally shown to substantially improve the thermal stability of InP surfaces (>300 °C), significantly expanding the temperature window for device processing. Such effective surface passivation is a key enabling technology for InP nanowire devices such as

  9. Highly Uniform Atomic Layer-Deposited MoS2@3D-Ni-Foam: A Novel Approach To Prepare an Electrode for Supercapacitors.

    Science.gov (United States)

    Nandi, Dip K; Sahoo, Sumanta; Sinha, Soumyadeep; Yeo, Seungmin; Kim, Hyungjun; Bulakhe, Ravindra N; Heo, Jaeyeong; Shim, Jae-Jin; Kim, Soo-Hyun

    2017-11-22

    This article takes an effort to establish the potential of atomic layer deposition (ALD) technique toward the field of supercapacitors by preparing molybdenum disulfide (MoS 2 ) as its electrode. While molybdenum hexacarbonyl [Mo(CO) 6 ] serves as a novel precursor toward the low-temperature synthesis of ALD-grown MoS 2 , H 2 S plasma helps to deposit its polycrystalline phase at 200 °C. Several ex situ characterizations such as X-ray diffractometry (XRD), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and so forth are performed in detail to study the as-grown MoS 2 film on a Si/SiO 2 substrate. While stoichiometric MoS 2 with very negligible amount of C and O impurities was evident from XPS, the XRD and high-resolution transmission electron microscopy analyses confirmed the (002)-oriented polycrystalline h-MoS 2 phase of the as-grown film. A comparative study of ALD-grown MoS 2 as a supercapacitor electrode on 2-dimensional stainless steel and on 3-dimensional (3D) Ni-foam substrates clearly reflects the advantage and the potential of ALD for growing a uniform and conformal electrode material on a 3D-scaffold layer. Cyclic voltammetry measurements showed both double-layer capacitance and capacitance contributed by the faradic reaction at the MoS 2 electrode surface. The optimum number of ALD cycles was also found out for achieving maximum capacitance for such a MoS 2 @3D-Ni-foam electrode. A record high areal capacitance of 3400 mF/cm 2 was achieved for MoS 2 @3D-Ni-foam grown by 400 ALD cycles at a current density of 3 mA/cm 2 . Moreover, the ALD-grown MoS 2 @3D-Ni-foam composite also retains high areal capacitance, even up to a high current density of 50 mA/cm 2 . Finally, this directly grown MoS 2 electrode on 3D-Ni-foam by ALD shows high cyclic stability (>80%) over 4500 charge-discharge cycles which must invoke the research community to further explore the potential of ALD for such applications.

  10. Performance improvement of charge trap flash memory by using a composition-modulated high-k trapping layer

    International Nuclear Information System (INIS)

    Tang Zhen-Jie; Li Rong; Yin Jiang

    2013-01-01

    A composition-modulated (HfO 2 ) x (Al 2 O3) 1−x charge trapping layer is proposed for charge trap flash memory by controlling the Al atom content to form a peak and valley shaped band gap. It is found that the memory device using the composition-modulated (HfO 2 ) x (Al 2 O 3 ) 1−x as the charge trapping layer exhibits a larger memory window of 11.5 V, improves data retention even at high temperature, and enhances the program/erase speed. Improvements of the memory characteristics are attributed to the special band-gap structure resulting from the composition-modulated trapping layer. Therefore, the composition-modulated charge trapping layer may be useful in future nonvolatile flash memory device application. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  11. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  12. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  13. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  14. Optimisation of the microporous layer for a polybenzimidazole-based high temperature PEMFC - effect of carbon content

    Energy Technology Data Exchange (ETDEWEB)

    Lobato, J.; Canizares, P.; Rodrigo, M.A.; Ubeda, D.; Pinar, F.J.; Linares, J.J. [Department of Chemical Engineering, University of Castilla-La Mancha, Av. Camilo Jose Cela, n 12. 13071, Ciudad Real (Spain)

    2010-10-15

    This work aims at studying the role of the microporous layer (MPL) in electrodes prepared for high temperature PBI-based PEMFC. The two main components of this layer are carbon black and a polymeric binder (Teflon). This work addresses the effect of the MPL carbon amount on the performance of a high temperature PEMFC. Thus, gas diffusion layers (GDLs) containing MPL with different carbon contents (from 0.5 to 4 mg cm{sup -2}) were prepared. Firstly, they were physically characterised by Hg-porosimetry measuring pore size distribution, porosity, tortuosity and mean pore size. Permeability measurements were also performed. The higher the carbon content was the lower both porosity and permeability were. Afterwards, electrodes were prepared with these GDLs and were electrochemically characterised. Electrochemical surface area (ESA) was determined and fuel cell performance was evaluated under different fuel and comburent stoichiometries, supporting these results with impedance spectra. This made it possible to see the benefits of the MPL inclusion in the electrode structure, with a significant increase in the fuel cell performance and ESA. Once the goodness of the MPL was confirmed, result analysis led to an optimum MPL composition of 2 mg cm{sup -2} of carbon for both electrodes, anode and cathode. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  15. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  16. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    Energy Technology Data Exchange (ETDEWEB)

    Guzman, L., E-mail: luisg47@gmail.com [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy); Vettoruzzo, F. [Ronda High Tech, via Vegri 83, 36010 Zane’, Vicenza (Italy); Laidani, N. [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy)

    2016-02-29

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al{sub 2}O{sub 3}, TiO{sub 2}) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al{sub 2}O{sub 3}, TiO{sub 2}, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and

  17. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    International Nuclear Information System (INIS)

    Guzman, L.; Vettoruzzo, F.; Laidani, N.

    2016-01-01

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al_2O_3, TiO_2) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al_2O_3, TiO_2, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and optically tested. • An

  18. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  19. Al2 O3 Underlayer Prepared by Atomic Layer Deposition for Efficient Perovskite Solar Cells.

    Science.gov (United States)

    Zhang, Jinbao; Hultqvist, Adam; Zhang, Tian; Jiang, Liangcong; Ruan, Changqing; Yang, Li; Cheng, Yibing; Edoff, Marika; Johansson, Erik M J

    2017-10-09

    Perovskite solar cells, as an emergent technology for solar energy conversion, have attracted much attention in the solar cell community by demonstrating impressive enhancement in power conversion efficiencies. However, the high temperature and manually processed TiO 2 underlayer prepared by spray pyrolysis significantly limit the large-scale application and device reproducibility of perovskite solar cells. In this study, lowtemperature atomic layer deposition (ALD) is used to prepare a compact Al 2 O 3 underlayer for perovskite solar cells. The thickness of the Al 2 O 3 layer can be controlled well by adjusting the deposition cycles during the ALD process. An optimal Al 2 O 3 layer effectively blocks electron recombination at the perovskite/fluorine-doped tin oxide interface and sufficiently transports electrons through tunneling. Perovskite solar cells fabricated with an Al 2 O 3 layer demonstrated a highest efficiency of 16.2 % for the sample with 50 ALD cycles (ca. 5 nm), which is a significant improvement over underlayer-free PSCs, which have a maximum efficiency of 11.0 %. Detailed characterization confirms that the thickness of the Al 2 O 3 underlayer significantly influences the charge transfer resistance and electron recombination processes in the devices. Furthermore, this work shows the feasibility of using a high band-gap semiconductor such as Al 2 O 3 as the underlayer in perovskite solar cells and opens up pathways to use ALD Al 2 O 3 underlayers for flexible solar cells. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Atomic substitution in selected high-temperature superconductors: Elucidating the nature of Raman spectra excitations

    Science.gov (United States)

    Hewitt, Kevin Cecil

    2000-10-01

    In this thesis, the effects of atomic substitution on the vibrational and electronic excitations found in the Raman spectra of selected high-temperature superconductors (HTS) are studied. In particular, atomic and isotopic substitution methods have been used to determine the character of features observed in the Raman spectra of Bi2Sr2Ca n-1CunO2 n+4+delta (n = 1 - Bi2201, n = 2 - Bi2212) and YBa2Cu3O7-delta (Y123). In Bi2201, Pb substitution for Bi (and Sr) has led to the reduction and eventual removal of the structural modulation, characteristic of all members of the Bi-family of HTS. The high quality single crystals and our sensitive triple spectrometer enabled identification of a pair of low frequency modes. The modes are determined to arise from shear and compressional rigid-layer vibrations. The normal state of underdoped cuprates is characterized by a pseudogap of unknown origin. In crystals of underdoped Bi2212 a spectral peak found at 590 cm-1, previously attributed to the pairing of quasiparticles (above Tc) and hence to the formation of a normal state pseudogap, has been found to soften by 3.8% with oxygen isotope exchange. In addition, the feature is absent in fully oxygenated and yttrium underdoped crystals. In this study, the first of its kind on underdoped and isotope substituted Bi2212, the feature has been assigned to stretching vibrations of oxygen in the a-b plane. Bi2212 crystals with varying hole concentrations (0.07 Raman scattering experiments that sample the diagonal (B 2g) and principal axes (B1 g) of the BZ have led us to conclude that the superconducting gap possesses dx2-y2 symmetry, in the underdoped and overdoped regimes. It is found that the magnitude of the superconducting gap (Delta(k)) is sensitive to changes in p. Studies of the pair-breaking peak found in the B1g spectra allow us to conclude that the magnitude of the maximum gap (Deltamax) decreases monotonically with increasing hole doping, for p > 0.13. The pair

  1. High temperature oxidation test of oxide dispersion strengthened (ODS) steel claddings

    International Nuclear Information System (INIS)

    Narita, Takeshi; Ukai, Shigeharu; Kaito, Takeji; Ohtsuka, Satoshi; Matsuda, Yasushi

    2006-07-01

    In a feasibility study of ODS steel cladding, its high temperature oxidation resistance was evaluated. Although addition of Cr is effective for preventing high temperature oxidation, excessively higher amount of Cr leads to embrittlement due to the Cr-rich α' precipitate formation. In the ODS steel developed by the Japan Atomic Energy Agency (JAEA), the Cr content is controlled in 9Cr-ODS martensite and 12Cr-ODS ferrite. In this study, high temperature oxidation test was conducted for ODS steels, and their results were compared with that of conventional austenitic stainless steel and ferritic-martensitic stainless steel. Following results were obtained in this study. (1) 9Cr-ODS martensitic and 12Cr-ODS ferritic steel have superior high temperature oxidation resistance compared to 11mass%Cr PNC-FMS and even 17mass% SUS430 and equivalent to austenitic PNC316. (2) The superior oxidation resistance of ODS steel was attributed to earlier formation of the protective alpha-Cr 2 O 3 layer at the matrix and inner oxide scale interface. The grain size of ODS steel is finer than that of PNC-FMS, so the superior oxidation resistance of ODS steel can be attributed to the enhanced Cr-supplying rate throughout the accelerated grain boundary diffusion. Finely dispersed Y 2 O 3 oxide particles in the ODS steel matrix may also stabilized the adherence between the protective alpha-Cr 2 O 3 layer and the matrix. (author)

  2. A variable-temperature nanostencil compatible with a low-temperature scanning tunneling microscope/atomic force microscope

    International Nuclear Information System (INIS)

    Steurer, Wolfram; Gross, Leo; Schlittler, Reto R.; Meyer, Gerhard

    2014-01-01

    We describe a nanostencil lithography tool capable of operating at variable temperatures down to 30 K. The setup is compatible with a combined low-temperature scanning tunneling microscope/atomic force microscope located within the same ultra-high-vacuum apparatus. The lateral movement capability of the mask allows the patterning of complex structures. To demonstrate operational functionality of the tool and estimate temperature drift and blurring, we fabricated LiF and NaCl nanostructures on Cu(111) at 77 K

  3. A variable-temperature nanostencil compatible with a low-temperature scanning tunneling microscope/atomic force microscope

    Energy Technology Data Exchange (ETDEWEB)

    Steurer, Wolfram, E-mail: wst@zurich.ibm.com; Gross, Leo; Schlittler, Reto R.; Meyer, Gerhard [IBM Research-Zurich, 8803 Rüschlikon (Switzerland)

    2014-02-15

    We describe a nanostencil lithography tool capable of operating at variable temperatures down to 30 K. The setup is compatible with a combined low-temperature scanning tunneling microscope/atomic force microscope located within the same ultra-high-vacuum apparatus. The lateral movement capability of the mask allows the patterning of complex structures. To demonstrate operational functionality of the tool and estimate temperature drift and blurring, we fabricated LiF and NaCl nanostructures on Cu(111) at 77 K.

  4. A variable-temperature nanostencil compatible with a low-temperature scanning tunneling microscope/atomic force microscope.

    Science.gov (United States)

    Steurer, Wolfram; Gross, Leo; Schlittler, Reto R; Meyer, Gerhard

    2014-02-01

    We describe a nanostencil lithography tool capable of operating at variable temperatures down to 30 K. The setup is compatible with a combined low-temperature scanning tunneling microscope/atomic force microscope located within the same ultra-high-vacuum apparatus. The lateral movement capability of the mask allows the patterning of complex structures. To demonstrate operational functionality of the tool and estimate temperature drift and blurring, we fabricated LiF and NaCl nanostructures on Cu(111) at 77 K.

  5. Piezoelectricity of single-atomic-layer MoS2 for energy conversion and piezotronics.

    Science.gov (United States)

    Wu, Wenzhuo; Wang, Lei; Li, Yilei; Zhang, Fan; Lin, Long; Niu, Simiao; Chenet, Daniel; Zhang, Xian; Hao, Yufeng; Heinz, Tony F; Hone, James; Wang, Zhong Lin

    2014-10-23

    The piezoelectric characteristics of nanowires, thin films and bulk crystals have been closely studied for potential applications in sensors, transducers, energy conversion and electronics. With their high crystallinity and ability to withstand enormous strain, two-dimensional materials are of great interest as high-performance piezoelectric materials. Monolayer MoS2 is predicted to be strongly piezoelectric, an effect that disappears in the bulk owing to the opposite orientations of adjacent atomic layers. Here we report the first experimental study of the piezoelectric properties of two-dimensional MoS2 and show that cyclic stretching and releasing of thin MoS2 flakes with an odd number of atomic layers produces oscillating piezoelectric voltage and current outputs, whereas no output is observed for flakes with an even number of layers. A single monolayer flake strained by 0.53% generates a peak output of 15 mV and 20 pA, corresponding to a power density of 2 mW m(-2) and a 5.08% mechanical-to-electrical energy conversion efficiency. In agreement with theoretical predictions, the output increases with decreasing thickness and reverses sign when the strain direction is rotated by 90°. Transport measurements show a strong piezotronic effect in single-layer MoS2, but not in bilayer and bulk MoS2. The coupling between piezoelectricity and semiconducting properties in two-dimensional nanomaterials may enable the development of applications in powering nanodevices, adaptive bioprobes and tunable/stretchable electronics/optoelectronics.

  6. Recent Progresses and Development of Advanced Atomic Layer Deposition towards High-Performance Li-Ion Batteries

    Science.gov (United States)

    Lu, Wei; Liang, Longwei; Sun, Xuan; Sun, Xiaofei; Wu, Chen; Hou, Linrui; Sun, Jinfeng

    2017-01-01

    Electrode materials and electrolytes play a vital role in device-level performance of rechargeable Li-ion batteries (LIBs). However, electrode structure/component degeneration and electrode-electrolyte sur-/interface evolution are identified as the most crucial obstacles in practical applications. Thanks to its congenital advantages, atomic layer deposition (ALD) methodology has attracted enormous attention in advanced LIBs. This review mainly focuses upon the up-to-date progress and development of the ALD in high-performance LIBs. The significant roles of the ALD in rational design and fabrication of multi-dimensional nanostructured electrode materials, and finely tailoring electrode-electrolyte sur-/interfaces are comprehensively highlighted. Furthermore, we clearly envision that this contribution will motivate more extensive and insightful studies in the ALD to considerably improve Li-storage behaviors. Future trends and prospects to further develop advanced ALD nanotechnology in next-generation LIBs were also presented. PMID:29036916

  7. An integrated high temperature environmental cell for atom probe tomography studies of gas-surface reactions: Instrumentation and results

    International Nuclear Information System (INIS)

    Dumpala, S.; Broderick, S.R.; Bagot, P.A.J.; Rajan, K.

    2014-01-01

    An integrated environmental cell has been designed and developed for the latest generation of Atom Probe Tomography LEAP™ instruments, allowing controlled exposure of samples to gases at high temperatures. Following treatment, samples can be transferred through the LEAP vacuum system for subsequent APT analysis, which provides detailed information on changes to chemical microstructures following the reactions with near-atomic resolution. A full description of the cell is presented, along with some sample results on the oxidation of aluminum and two platinum-group alloys, demonstrating the capability of combining exposure/characterization functionality in a single instrument. - Highlights: • Designed and built atom probe environmental cell for in situ reactions. • Investigated Al oxidation, and demonstrated improvement with new cell. • in situ APT analysis of Pt-alloys showed surface segregation of Rh and Ir

  8. Tribological improvements of carbon-carbon composites by infiltration of atomic layer deposited lubricious nanostructured ceramic oxides

    Science.gov (United States)

    Mohseni, Hamidreza

    A number of investigators have reported enhancement in oxidation and wear resistant of carbon-carbon composites (CCC) in the presence of protective coating layers. However, application of a surface and subsurface coating system that can preserve its oxidation and wear resistance along with maintaining lubricity at high temperature remains unsolved. To this end, thermodynamically stable protective oxides (ZnO/Al2O3/ZrO2) have been deposited by atomic layer deposition (ALD) to infiltrate porous CCC and graphite foams in order to improve the thermal stability and wear resistance in low and high speed sliding contacts. Characterization of microstructural evolution was achieved by using energy dispersive x-ray spectroscopy (EDS) mapping in scanning electron microscope (SEM) coupled with focused ion beam (FIB), x-ray tomography, high resolution transmission electron microscopy (HRTEM), scanning transmission electron microscopy (STEM) and X-ray diffraction (XRD). Evaluation of the tribological properties of CCC coated with abovementioned ALD thin films were performed by employing low speed pure sliding tribometer and a high speed/frequency reciprocating rig to simulate the fretting wear behavior at ambient temperature and elevated temperatures of 400°C. It was determined with x-ray tomography imaging and EDS mapping that ALD ZnO/Al2O3/ZrO2 nanolaminates and baseline ZrO2 coatings exhibited excellent conformality and pore-filling capabilities down to ˜100 microm and 1.5 mm in the porous CCC and graphite foam, respectively, which were dependent on the exposure time of the ALD precursors. XRD and HRTEM determined the crystalline phases of {0002} textured ZnO (wurtzite), amorphous Al2O3, and {101}-tetragonal ZrO2. Significant improvements up to ˜65% in the sliding and fretting wear factors were determined for the nanolaminates in comparison to the uncoated CCC. A tribochemical sliding-induced mechanically mixed layer (MML) was found to be responsible for these improvements

  9. Highly efficient computer algorithm for identifying layer thickness of atomically thin 2D materials

    Science.gov (United States)

    Lee, Jekwan; Cho, Seungwan; Park, Soohyun; Bae, Hyemin; Noh, Minji; Kim, Beom; In, Chihun; Yang, Seunghoon; Lee, Sooun; Seo, Seung Young; Kim, Jehyun; Lee, Chul-Ho; Shim, Woo-Young; Jo, Moon-Ho; Kim, Dohun; Choi, Hyunyong

    2018-03-01

    The fields of layered material research, such as transition-metal dichalcogenides (TMDs), have demonstrated that the optical, electrical and mechanical properties strongly depend on the layer number N. Thus, efficient and accurate determination of N is the most crucial step before the associated device fabrication. An existing experimental technique using an optical microscope is the most widely used one to identify N. However, a critical drawback of this approach is that it relies on extensive laboratory experiences to estimate N; it requires a very time-consuming image-searching task assisted by human eyes and secondary measurements such as atomic force microscopy and Raman spectroscopy, which are necessary to ensure N. In this work, we introduce a computer algorithm based on the image analysis of a quantized optical contrast. We show that our algorithm can apply to a wide variety of layered materials, including graphene, MoS2, and WS2 regardless of substrates. The algorithm largely consists of two parts. First, it sets up an appropriate boundary between target flakes and substrate. Second, to compute N, it automatically calculates the optical contrast using an adaptive RGB estimation process between each target, which results in a matrix with different integer Ns and returns a matrix map of Ns onto the target flake position. Using a conventional desktop computational power, the time taken to display the final N matrix was 1.8 s on average for the image size of 1280 pixels by 960 pixels and obtained a high accuracy of 90% (six estimation errors among 62 samples) when compared to the other methods. To show the effectiveness of our algorithm, we also apply it to TMD flakes transferred on optically transparent c-axis sapphire substrates and obtain a similar result of the accuracy of 94% (two estimation errors among 34 samples).

  10. Effect of temperature on compact layer of Pt electrode in PEMFCs by first-principles molecular dynamics calculations

    Energy Technology Data Exchange (ETDEWEB)

    He, Yang [Department of Materials Science and Engineering, China University of Petroleum (Beijing), Beijing 102249 (China); Beijing Key Laboratory of Failure, Corrosion and Protection of Oil/gas Facilities, China University of Petroleum (Beijing), Beijing 102249 (China); Chen, Changfeng, E-mail: chen_c_f@163.com [Department of Materials Science and Engineering, China University of Petroleum (Beijing), Beijing 102249 (China); Beijing Key Laboratory of Failure, Corrosion and Protection of Oil/gas Facilities, China University of Petroleum (Beijing), Beijing 102249 (China); Yu, Haobo [Department of Materials Science and Engineering, China University of Petroleum (Beijing), Beijing 102249 (China); Beijing Key Laboratory of Failure, Corrosion and Protection of Oil/gas Facilities, China University of Petroleum (Beijing), Beijing 102249 (China); Lu, Guiwu [Department of Materials Science and Engineering, China University of Petroleum (Beijing), Beijing 102249 (China)

    2017-01-15

    Highlights: • The structures of water compact layer on Pt(111) at different temperature were calculated. • The feature of chemical bond between water molecules and Pt (111) surface was discussed with temperature increased. • Temperature dependence of electrical strengths and capacitances of compact layer on Pt (111) surface was calculated. - Abstract: Formation of the double-layer electric field and capacitance of the water-metal interface is of significant interest in physicochemical processes. In this study, we perform first- principles molecular dynamics simulations on the water/Pt(111) interface to investigate the temperature dependence of the compact layer electric field and capacitance based on the calculated charge densities. On the Pt (111) surface, water molecules form ice-like structures that exhibit more disorder along the height direction with increasing temperature. The O−H bonds of more water molecules point toward the Pt surface to form Pt−H covalent bonds with increasing temperature, which weaken the corresponding O−H bonds. In addition, our calculated capacitance at 300 K is 15.2 mF/cm{sup 2}, which is in good agreement with the experimental results. As the temperature increases from 10 to 450 K, the field strength and capacitance of the compact layer on Pt (111) first increase and then decrease slightly, which is significant for understanding the water/Pt interface from atomic level.

  11. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan

    2017-01-18

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both the capacity and cycling stability of the Na ion battery improve. The thinnest SnO nanosheet anodes (two to six SnO monolayers) exhibited the best performance. Specifically, an initial discharge and charge capacity of 1072 and 848 mAh g-1 were observed, respectively, at 0.1 A g-1. In addition, an impressive reversible capacity of 665 mAh g-1 after 100 cycles at 0.1 A g-1 and 452 mAh g-1 after 1000 cycles at a high current density of 1.0 A g-1 was observed, with excellent rate performance. As the average number of atomic layers in the anode sheets increased, the battery performance degraded significantly. For example, for the anode sheets with 10-20 atomic layers, only a reversible capacity of 389 mAh g-1 could be obtained after 100 cycles at 0.1 A g-1. Density functional theory calculations coupled with experimental results were used to elucidate the sodiation mechanism of the SnO nanosheets. This systematic study of monolayer-dependent physical and electrochemical properties of 2D anodes shows a promising pathway to engineering and mitigating volume changes in 2D anode materials for sodium ion batteries. It also demonstrates that ultrathin SnO nanosheets are promising SIB anode materials with high specific capacity, stable cyclability, and excellent rate performance.

  12. Bombardment of gas molecules on single graphene layer at high temperature

    Energy Technology Data Exchange (ETDEWEB)

    Murugesan, Ramki [School of Mechanical and Aerospace Engineering, Gyeongsang National University, Jinju, Gyeongnam 660-701 (Korea, Republic of); Park, Jae Hyun [Department of Aerospace and System Engineering and Research Center for Aircraft Parts Technology, Gyeongsang National University, Jinju, Gyeongnam 660-701 (Korea, Republic of); Ha, Dong Sung [Future Propulsion Center, Agency for Defense Development, Daejeon 305-600 (Korea, Republic of)

    2014-12-09

    Graphite is widely used as a material for rocket-nozzle inserts due to its excellent thermo-physical properties as well as low density. During the operation of rockets, the surface of the graphite nozzle is subjected to very high heat fluxes and the undesirable erosion of the surface occurs due to the bombardment of gas molecules with high kinetic energy, which causes a significant reduction of nozzle performance. However, the understanding and quantification of such bombardment is not satisfactory due to its complexity: The bond breaking-forming happens simultaneously for the carbon atoms of graphene, some gas molecules penetrate through the surface, some of them are reflected from the surface, etc. In the present study, we perform extensive molecular dynamics (MD) simulations to examine the bombardment phenomena in high temperature environment (several thousand Kelvin). Advanced from the previous studies that have focused on the bombardment by light molecules (e.g., H{sub 2}), we will concentrate on the impact by realistic molecules (e.g., CO{sub 2} and H{sub 2}O). LAMMPS is employed for the MD simulations with NVE ensemble and AIREBO potential for graphene. The molecular understanding of the interaction between graphene and highly energetic gas molecules will enable us to design an efficient thermo-mechanical protection system.

  13. Improvement on Temperature Measurement of Cold Atoms in a Rubidium Fountain

    International Nuclear Information System (INIS)

    Lü De-Sheng; Qu Qiu-Zhi; Wang Bin; Zhao Jian-Bo; Liu Liang; Wang Yu-Zhu

    2011-01-01

    The time-of-flight (TOF) method is one of the most common ways to measure the temperature of cold atoms. In the cold atomic fountain setup, the geometry of the probe beam will introduce the measurement errors to the spatial distribution of cold atomic cloud, which will lead to the measurement errors on atomic temperature. Using deconvolution, we recover the atomic cloud profile from the TOF signal. Then, we use the recovered signals other than the TOF signals to obtain a more accurate atomic temperature. This will be important in estimating the effects of cold atom collision shift and the shift due to transverse cavity phase distribution on an atomic fountain clock. (atomic and molecular physics)

  14. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  15. Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25 °C≤ T ≤ 200 °C

    International Nuclear Information System (INIS)

    Henkel, Karsten; Tallarida, Massimo; Schmeißer, Dieter; Gargouri, Hassan; Gruska, Bernd; Arens, Michael

    2014-01-01

    In this work, plasma enhanced atomic layer deposited (PE-ALD) samples were prepared at substrate temperatures in the range between room temperature (RT) and 200 °C and investigated by capacitance–voltage and conductance–voltage recordings. The measurements are compared to standard thermal atomic layer deposition (T-ALD) at 200 °C. Very low interface state density (D it ) ∼10 11  eV −1  cm −2 could be achieved for the PE-ALD process at 200 °C substrate temperature after postdeposition anneal (PDA) in forming gas at 450 °C. The PDA works very effectively for both the PE-ALD and T-ALD at 200 °C substrate temperature delivering also similar values of negative fixed charge density (N fix ) around −2.5 × 10 12  cm −2 . At the substrate temperature of 150 °C, highest N fix (−2.9 × 10 12  cm −2 ) and moderate D it (2.7 × 10 11  eV −1  cm −2 ) values were observed. The as deposited PE-ALD layer at RT shows both low D it in the range of (1 to 3) × 10 11  eV −1 cm −2 and low N fix (−4.4 × 10 11  cm −2 ) at the same time. The dependencies of N fix , D it , and relative permittivity on the substrate temperatures and its adjustability are discussed

  16. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    Energy Technology Data Exchange (ETDEWEB)

    Maydannik, Philipp S., E-mail: philipp.maydannik@lut.fi; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C. [Advanced Surface Technology Research Laboratory, Lappeenranta University of Technology, Sammonkatu 12, 50130 Mikkeli (Finland); Söderlund, Mikko; Soininen, Pekka [Beneq Oy, P.O. Box 262, 01511 Vantaa (Finland); Johansson, Petri; Kuusipalo, Jurkka [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 589, 33101 Tampere (Finland); Moro, Lorenza; Zeng, Xianghui [Samsung Cheil Industries, San Jose R and D Center, 2186 Bering Drive, San Jose, California 95131 (United States)

    2014-09-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al{sub 2}O{sub 3} diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al{sub 2}O{sub 3} films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument (<5 × 10{sup −4} g/m{sup 2} day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10{sup −6} g/m{sup 2} day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate.

  17. Atom probe, AFM and STM study on vacuum fired stainless steel

    International Nuclear Information System (INIS)

    Stupnik, A.; Frank, P.; Leisch, M.

    2008-01-01

    Full text: Stainless steel is one of the most commonly used structural materials for vacuum equipment. An efficient method to reduce the outgassing rate from stainless steel is a high temperature bakeout in vacuum (vacuum firing). This procedure reduces significantly the amount of dissolved hydrogen in the bulk. For the outgassing process the recombination rate of hydrogen atoms to the molecules plays the determining role and recombination is strongly related to the surface structure and composition. To get more detailed information about the surface morphology and composition AFM, STM and atom probe studies were carried out. Experiments on AISI 304L stainless steel samples show that the surface reconstructs completely during vacuum firing and large atomically flat terraces bounded by bunched steps and facets are formed. The large flat terraces can be assigned to (111) planes. The bunched steps and facets are corresponding in orientation almost to (110) planes and (100) planes. Surface inspection after vacuum firing by Auger electron spectroscopy (AES) gives reason for a composition change indicated by a reduction of the chromium signal in relation to the iron and nickel signal. Since the information depth of AES covers several atomic layers not only the top atomic layer of the sample surface is probed. For this reason 3D atom probe was used as well suited tool to investigate the segregation behavior of this alloy with the goal to examine the change in local chemical composition due to the high temperature treatment. As a result of vacuum firing the atom probe experiments show a significant enrichment of nickel at the top surface layer. In the second atomic layer chromium enrichment is detected. After vacuum firing the average composition below the second atomic layer shows certain chromium depletion up to 2 nm in depth. The observed changes in surface chemistry influence recombination and desorption probability from the surface and may contribute to the present

  18. Effects of annealing on the properties of atomic layer deposited Ru thin films deposited by NH{sub 3} and H{sub 2} as reactants

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Joon; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr

    2016-08-01

    Atomic layer deposition (ALD) of Ru using a non-oxidizing reactant is indispensable considering its application as a seed layer for Cu electroplating and a bottom electrode for dynamic random access memory capacitors. In this study, ALD-Ru films were deposited using a sequential supply of dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C{sub 16}H{sub 22}O{sub 6}Ru) and potential non-oxidizing reducing agents, NH{sub 3} or H{sub 2}, as the reactants at a substrate temperature of 250 °C, and the effects of post-annealing in a H{sub 2} ambient on the film properties were investigated. The highly conformal deposition of Ru films was possible using the present reaction scheme but its resistivity was as high as ~ 750 μΩ-cm due to carbon incorporation into the film and the formation of an amorphous structure. Low temperature annealing at 300 °C at H{sub 2} ambient after deposition was found to improve the properties significantly in terms of the resistivity, impurities contents and crystallinity. For example, the film resistivity was decreased drastically to ~ 40 μΩ-cm with both the release of C in the film and crystallization after annealing based on secondary ion mass spectrometry and transmission electron microscopy, whereas perfect step coverage at a very small-sized dual trench (aspect ratio: ~ 3, the top opening size of 45 nm and bottom size of 20 nm) was maintained after annealing. - Highlights: • Ru thin films were deposited by atomic layer deposition (ALD) using NH{sub 3} and H{sub 2} molecules. • Effects of low temperature (300 °C) post-annealing on the film properties were investigated. • Post annealing improved the properties of ALD-Ru films. • Perfect step coverage of ALD-Ru was confirmed at trench structure (top opening width: 45 nm).

  19. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  20. In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Meng-Chen [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Lee, Min-Hung [Institute of Electro-Optical Science and Technology, National Taiwan Normal University, Taipei 11677, Taiwan (China); Kuo, Chin-Lung; Lin, Hsin-Chih [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Chen, Miin-Jang, E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China)

    2016-11-30

    Highlights: • The structural and electrical characteristics of the ZrO{sub 2} high-K dielectrics, treated with the in situ atomic layer doping of nitrogen into the top and down regions (top and down nitridation, TN and DN, respectively), were investigated. • The amorphous DN sample has a lower leakage current density (J{sub g}) than the amorphous TN sample, attributed to the formation of SiO{sub x}N{sub y} in the interfacial layer (IL). • The crystalline TN sample exhibited a lower CET and a similar J{sub g} as compared with the crystalline DN sample, which can be ascribed to the suppression of IL regrowth. • The crystalline ZrO{sub 2} with in situ atomic layer doping of nitrogen into the top region exhibited superior scaling limit, electrical characteristics, and reliability. - Abstract: Amorphous and crystalline ZrO{sub 2} gate dielectrics treated with in situ atomic layer nitridation on the top and down regions (top and down nitridation, abbreviated as TN and DN) were investigated. In a comparison between the as-deposited amorphous DN and TN samples, the DN sample has a lower leakage current density (J{sub g}) of ∼7 × 10{sup −4} A/cm{sup 2} with a similar capacitance equivalent thickness (CET) of ∼1.53 nm, attributed to the formation of SiO{sub x}N{sub y} in the interfacial layer (IL). The post-metallization annealing (PMA) leads to the transformation of ZrO{sub 2} from the amorphous to the crystalline tetragonal/cubic phase, resulting in an increment of the dielectric constant. The PMA-treated TN sample exhibits a lower CET of 1.22 nm along with a similar J{sub g} of ∼1.4 × 10{sup −5} A/cm{sup 2} as compared with the PMA-treated DN sample, which can be ascribed to the suppression of IL regrowth. The result reveals that the nitrogen engineering in the top and down regions has a significant impact on the electrical characteristics of amorphous and crystalline ZrO{sub 2} gate dielectrics, and the nitrogen incorporation at the top of crystalline

  1. High-Temperature Corrosion Behavior of Alloy 617 in Helium Environment of Very High Temperature Gas Reactor

    International Nuclear Information System (INIS)

    Lee, Gyeong-Geun; Jung, Sujin; Kim, Daejong; Jeong, Yong-Whan; Kim, Dong-Jin

    2012-01-01

    Alloy 617 is a Ni-base superalloy and a candidate material for the intermediate heat exchanger (IHX) of a very high temperature gas reactor (VHTR) which is one of the next generation nuclear reactors under development. The high operating temperature of VHTR enables various applications such as mass production of hydrogen with high energy efficiency. Alloy 617 has good creep resistance and phase stability at high temperatures in an air environment. However, it was reported that the mechanical properties decreased at a high temperature in an impure helium environment. In this study, high-temperature corrosion tests were carried out at 850°C-950°C in a helium environment containing the impurity gases H_2, CO, and CH_4, in order to examine the corrosion behavior of Alloy 617. Until 250 h, Alloy 617 specimens showed a parabolic oxidation behavior at all temperatures. The activation energy for oxidation in helium environment was 154 kJ/mol. The SEM and EDS results elucidated a Cr-rich surface oxide layer, Al-rich internal oxides and depletion of grain boundary carbides. The thickness and depths of degraded layers also showed a parabolic relationship with time. A normal grain growth was observed in the Cr-rich surface oxide layer. When corrosion tests were conducted in a pure helium environment, the oxidation was suppressed drastically. It was elucidated that minor impurity gases in the helium would have detrimental effects on the high temperature corrosion behavior of Alloy 617 for the VHTR application.

  2. UV protective zinc oxide coating for biaxially oriented polypropylene packaging film by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lahtinen, Kimmo, E-mail: kimmo.lahtinen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kääriäinen, Tommi, E-mail: tommi.kaariainen@colorado.edu [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Johansson, Petri, E-mail: petri.johansson@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Kotkamo, Sami, E-mail: sami.kotkamo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Seppänen, Tarja, E-mail: tarja.seppanen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Cameron, David C., E-mail: david.cameron@miktech.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland)

    2014-11-03

    Biaxially oriented polypropylene (BOPP) packaging film was coated with zinc oxide (ZnO) coatings by atomic layer deposition (ALD) in order to protect the film from UV degradation. The coatings were made at a process temperature of 100 °C using diethylzinc and water as zinc and oxygen precursors, respectively. The UV protective properties of the coatings were tested by using UV–VIS and infrared spectrometry, differential scanning calorimetry (DSC) and a mechanical strength tester, which characterised the tensile and elastic properties of the film. The results obtained with 36 and 67 nm ZnO coatings showed that the ZnO UV protective layer is able to provide a significant decrease in photodegradation of the BOPP film under UV exposure. While the uncoated BOPP film suffered a complete degradation after a 4-week UV exposure, the 67 nm ZnO coated BOPP film was able to preserve half of its original tensile strength and 1/3 of its elongation at break after a 6-week exposure period. The infrared analysis and DSC measurements further proved the UV protection of the ZnO coatings. The results show that a nanometre scale ZnO coating deposited by ALD is a promising option when a transparent UV protection layer is sought for polymer substrates. - Highlights: • Atomic layer deposited zinc oxide coatings were used as UV protection layers. • Biaxially oriented polypropylene (BOPP) film was well protected against UV light. • Formation of UV degradation products in BOPP was significantly reduced. • Mechanical properties of the UV exposed BOPP film were significantly improved.

  3. Study on coated layer material performance of coated particle fuel FBR (2). High temperature property and capability of coating to thick layer of TiN

    International Nuclear Information System (INIS)

    Naganuma, Masayuki; Mizuno, Tomoyasu

    2002-08-01

    'Helium Gas Cooled Coated Particle Fuel FBR' is one of attractive core concepts in the Feasibility Study on Commercialized Fast Reactor Cycle System in Japan, and the design study is presently proceeded. As one of key technologies of this concept, the coated layer material is important, and ceramics is considered to be a candidate material because of the superior refractory. Based on existing knowledge, TiN is regarded to be a possible candidate material, to which some property tests and evaluations have been conducted. In this study, preliminary tests about the high temperature property and the capability of thick layer coating of TiN have been conducted. Results of these tests come to the following conclusions. Heating tests of two kinds of TiN layer specimens coated by PVD (Physical Vapor Deposition) and CVD (Chemical Vapor Deposition) were conducted. As a result, as for CVD coating specimens, remarkable charge was not observed on the layer up to 2,000degC, therefore we concluded that the layer by CVD had applicability up to high temperature of actual operation level. On the other hand, as for PVD coating specimens, an unstable behavior that the layer changed to a mesh like texture was observed on a 2,000degC heated specimen, therefore the applied PVD method is not considered to be promising as the coating technique. The surface conditions of some parts inside CVD device were investigated in order to evaluate possibility of TiN thick coating (∼100 μm). As a result, around 500 μm of TiN coating layer was observed on the condition of multilayer. Therefore, we conclude that CVD has capability of coating up to thick layer in actual coated particle fuel fabrication. (author)

  4. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  5. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  6. In-situ atomic layer deposition growth of Hf-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Karavaev, Konstantin

    2010-06-17

    We have grown HfO{sub 2} on Si(001) by atomic layer deposition (ALD) using HfCl{sub 4}, TEMAHf, TDMAHf and H{sub 2}O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl{sub 4} experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO{sub 2}. The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO{sub 2}: contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  7. Ultraviolet electroluminescence from nitrogen-doped ZnO-based heterojuntion light-emitting diodes prepared by remote plasma in situ atomic layer-doping technique.

    Science.gov (United States)

    Chien, Jui-Fen; Liao, Hua-Yang; Yu, Sheng-Fu; Lin, Ray-Ming; Shiojiri, Makoto; Shyue, Jing-Jong; Chen, Miin-Jang

    2013-01-23

    Remote plasma in situ atomic layer doping technique was applied to prepare an n-type nitrogen-doped ZnO (n-ZnO:N) layer upon p-type magnesium-doped GaN (p-GaN:Mg) to fabricate the n-ZnO:N/p-GaN:Mg heterojuntion light-emitting diodes. The room-temperature electroluminescence exhibits a dominant ultraviolet peak at λ ≈ 370 nm from ZnO band-edge emission and suppressed luminescence from GaN, as a result of the decrease in electron concentration in ZnO and reduced electron injection from n-ZnO:N to p-GaN:Mg because of the nitrogen incorporation. The result indicates that the in situ atomic layer doping technique is an effective approach to tailoring the electrical properties of materials in device applications.

  8. Atomic layer deposition of ruthenium on plasma-treated vertically aligned carbon nanotubes for high-performance ultracapacitors.

    Science.gov (United States)

    Kim, Jun Woo; Kim, Byungwoo; Park, Suk Won; Kim, Woong; Shim, Joon Hyung

    2014-10-31

    It is challenging to realize a conformal metal coating by atomic layer deposition (ALD) because of the high surface energy of metals. In this study, ALD of ruthenium (Ru) on vertically aligned carbon nanotubes (CNTs) was carried out. To activate the surface of CNTs that lack surface functional groups essential for ALD, oxygen plasma was applied ex situ before ALD. X-ray photoelectron spectroscopy and Raman spectroscopy confirmed surface activation of CNTs by the plasma pretreatment. Transmission electron microscopy analysis with energy-dispersive x-ray spectroscopy composition mapping showed that ALD Ru grew conformally along CNTs walls. ALD Ru/CNTs were electrochemically oxidized to ruthenium oxide (RuOx) that can be a potentially useful candidate for use in the electrodes of ultracapacitors. Electrode performance of RuOx/CNTs was evaluated using cyclic voltammetry and galvanostatic charge-discharge measurements.

  9. Copper based superconductors by the combination of blocking and mediating layers

    International Nuclear Information System (INIS)

    Shimizu, K.; Nobumasa, H.; Kawai, T.

    1992-01-01

    Copper based high temperature superconductors are composed of Cu-O 2 sheets in combination with thin atomic mediating layers and thick blocking layers which mediate and intercept interactions between Cu-O 2 sheets, respectively. New possible superconductors can be designed by the stacking of the Cu-O 2 sheets along with the periodic insertion of the mediating layers and different kinds of blocking layers. (orig.)

  10. New diffusion mechanism for high temperature diffusion in solids

    International Nuclear Information System (INIS)

    Doan, N.V.; Adda, Y.

    1986-09-01

    A new atomic transport mechanism in solids at high temperatures has been discovered by Molecular Dynamics computer simulation. It can be described as a ring sequence of atomic replacements induced by unstable Frenkel pairs. This transport process takes place without stable defects, the atomic migration occurring indeed by simultaneous creation and migration of unstable defects. Starting from the analysis of this mechanism in different solids at high temperature (CaF 2 , Na, Ar) and in irradiated copper by subthreshold collisions, we discuss the role of this mechanism on various diffusion controlled phenomena and also on the atomic processes of defect creation

  11. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations, by X-ray diffraction, high-resolution transmission electron microscopy, selected area electron diffraction and Raman spectra, reveal that single crystalline rutile TiO 2 shells can be epitaxially grown on SnO 2 NWs with an atomically sharp interface at low temperature (250 °C). The growth behavior of the TiO 2 shells highly depends on the surface orientations and the geometrical shape of the core SnO 2 NW cross-section. Atomically smooth surfaces are found for growth on the {110} surface. Rough surfaces develop on {100} surfaces due to (100) - (1 × 3) reconstruction, by introducing steps in the [010] direction as a continuation of {110} facets. Lattice mismatch induces superlattice structures in the TiO 2 shell and misfit dislocations along the interface. Conformal epitaxial growth has been observed for SnO 2 NW cores with an octagonal cross-section ({100} and {110} surfaces). However, for a rectangular core ({101} and {010} surfaces), the shell also derives an octagonal shape from the epitaxial growth, which was explained by a proposed model based on ALD kinetics. The surface steps and defects induced by the lattice mismatch likely lead to improved photoluminescence (PL) performance for the yellow emission. Compared to the pure SnO 2 NWs, the PL spectrum of the core-shell nanostructures exhibits a stronger emission peak, which suggests potential applications in optoelectronics. © The Royal Society of Chemistry 2012.

  12. A case study on generation mechanisms of a sporadic sodium layer above Tromsø (69.6° N during a night of high auroral activity

    Directory of Open Access Journals (Sweden)

    T. Takahashi

    2015-08-01

    Full Text Available We have quantitatively evaluated generation mechanisms of a sporadic sodium layer (SSL based on observational data obtained by multiple instruments at a high-latitude station: Ramfjordmoen, Tromsø, Norway (69.6° N, 19.2° E. The sodium lidar observed an SSL at 21:18 UT on 22 January 2012. The SSL was observed for 18 min, with a maximum sodium density of about 1.9 × 1010 m−3 at 93 km with a 1.1 km thickness. The European Incoherent Scatter (EISCAT UHF radar observed a sporadic E layer (Es layer above 90 km from 20:00 to 23:00 UT. After 20:00 UT, the Es layer gradually descended and reached 94 km at 21:18 UT when the SSL appeared at the same altitude. In this event, considering the abundance of sodium ions (10 % or less, the Es layer could provide only about 37 % or less of the sodium atoms to the SSL. We have investigated a temporal development of the normal sodium ion layer with a consideration of chemical reactions and the effect of the (southwestward electric field using observational values of the neutral temperature, electron density, horizontal neutral wind, and electric field. This calculation has shown that those processes, including contributions of the Es layer, would provide about 88 % of sodium atoms of the SSL. The effects of meteor absorption and auroral particle sputtering appear to be less important. Therefore, we have concluded that the major source of the SSL was sodium ions in a normal sodium ion layer. Two processes – namely the downward transportation of sodium ions from a normal sodium ion layer due to the electric field and the additional supply of sodium ions from the Es layer under relatively high electron density conditions (i.e., in the Es layer – played a major role in generating the SSL in this event. Furthermore, we have found that the SSL was located in a lower-temperature region and that the temperature inside the SSL did not show any remarkable temperature enhancements.

  13. Spatial Atmospheric Pressure Atomic Layer Deposition of Tin Oxide as an Impermeable Electron Extraction Layer for Perovskite Solar Cells with Enhanced Thermal Stability.

    Science.gov (United States)

    Hoffmann, Lukas; Brinkmann, Kai O; Malerczyk, Jessica; Rogalla, Detlef; Becker, Tim; Theirich, Detlef; Shutsko, Ivan; Görrn, Patrick; Riedl, Thomas

    2018-02-14

    Despite the notable success of hybrid halide perovskite-based solar cells, their long-term stability is still a key-issue. Aside from optimizing the photoactive perovskite, the cell design states a powerful lever to improve stability under various stress conditions. Dedicated electrically conductive diffusion barriers inside the cell stack, that counteract the ingress of moisture and prevent the migration of corrosive halogen species, can substantially improve ambient and thermal stability. Although atomic layer deposition (ALD) is excellently suited to prepare such functional layers, ALD suffers from the requirement of vacuum and only allows for a very limited throughput. Here, we demonstrate for the first time spatial ALD-grown SnO x at atmospheric pressure as impermeable electron extraction layers for perovskite solar cells. We achieve optical transmittance and electrical conductivity similar to those in SnO x grown by conventional vacuum-based ALD. A low deposition temperature of 80 °C and a high substrate speed of 2.4 m min -1 yield SnO x layers with a low water vapor transmission rate of ∼10 -4 gm -2 day -1 (at 60 °C/60% RH). Thereby, in perovskite solar cells, dense hybrid Al:ZnO/SnO x electron extraction layers are created that are the key for stable cell characteristics beyond 1000 h in ambient air and over 3000 h at 60 °C. Most notably, our work of introducing spatial ALD at atmospheric pressure paves the way to the future roll-to-roll manufacturing of stable perovskite solar cells.

  14. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  15. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    Science.gov (United States)

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  16. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  17. Aluminum oxide barrier coating on polyethersulfone substrate by atomic layer deposition for barrier property enhancement

    International Nuclear Information System (INIS)

    Kim, Hyun Gi; Kim, Sung Soo

    2011-01-01

    Aluminum oxide layers were deposited on flexible polyethersulfone (PES) substrates via plasma enhanced atomic layer deposition (PEALD) process using trimethylaluminum (TMA) and oxygen as precursor and reactant materials. Several process parameters in PEALD process were investigated in terms of refractive index and layer thickness. Number of process cycle increased the thickness and refractive index of the layer to enhance the barrier properties. Non-physisorbed TMA and unreacted oxygen were purged before and after the plasma reaction, respectively. Identical purge time was applied to TMA and oxygen and it was optimized for 10 s. Thinner and denser layer was formed as substrate temperature increased. However, the PES substrate could be deformed above 120 o C. Aluminum oxide layer formed on PES at optimized conditions have 11.8 nm of thickness and reduced water vapor transmission rate and oxygen transmission rate to below 4 x 10 -3 g/m 2 day and 4 x 10 -3 cm 3 /m 2 day, respectively. Polycarbonate and polyethylene naphthalate films were also tested at optimized conditions, and they also showed quite appreciable barrier properties to be used as plastic substrates.

  18. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    International Nuclear Information System (INIS)

    Bolat, Sami; Tekcan, Burak; Ozgit-Akgun, Cagla; Biyikli, Necmi; Okyay, Ali Kemal

    2015-01-01

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N 2 /H 2 PA-ALD based GaN channels are observed to have improved stability and transfer characteristics with respect to NH 3 PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N 2 :H 2 ambient

  19. Temperature aspect of degradation of electrochemical double-layer capacitors (EDLC)

    Science.gov (United States)

    Baek, Dong-Cheon; Kim, Hyun-Ho; Lee, Soon-Bok

    2015-03-01

    Electric double layer capacitors (EDLC) cells have a process variation and temperature dependency in capacitance so that balancing is required when they are connected in series, which includes electronic voltage management based on capacitance monitoring. This paper measured temperature aspect of capacitance periodically to monitor health and degradation behavior of EDLC stressed under high temperatures and zero below temperatures respectively, which enables estimation of the state of health (SOH) regardless of temperature. At high temperature, capacitance saturation and delayed expression of degradation was observed. After cyclic stress at zero below temperature, less effective degradation and time recovery phenomenon were occurred.

  20. Low-temperature growth of high quality AlN films on carbon face 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Myunghee [Department of General Systems Studies, The University of Tokyo, 3-8-1 Komaba, Meguro-ku, Tokyo 153-8902 (Japan); Ohta, Jitsuo; Fujioka, Hiroshi [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Tokyo 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Kawasaki 213-0012 (Japan); Kobayashi, Atsushi [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Tokyo 153-8505 (Japan); Oshima, Masaharu [Department of General Systems Studies, The University of Tokyo, 3-8-1 Komaba, Meguro-ku, Tokyo 153-8902 (Japan); Department of Applied Chemistry, The University of Tokyo, 4-3-1 Hongo, Tokyo 113-8656 (Japan); Core Research for Evolutional Science and Technology (CREST), Japan Science and Technology Agency (JST), Chiyoda-ku, Tokyo 102-0075 (Japan)

    2008-01-15

    AlN films have been grown on atomically flat carbon face 6H-SiC (000 anti 1) substrates by pulsed laser deposition and their structural properties have been investigated. In-situ reflection high-energy electron diffraction observations have revealed that growth of AlN at 710 C proceeds in a Stranski-Krastanov mode, while typical layer-by-layer growth occurs at room temperature (RT) with atomically flat surfaces. It has been revealed that the crystalline quality of the AlN film is dramatically improved by the reduction in growth temperature down to RT and the full width at half maximum values in the X-ray rocking curves for 0004 and 10 anti 12 diffractions of the RT-grown AlN film are 0.05 and 0.07 , respectively. X-ray reciprocal space mapping has revealed that the introduction of misfit dislocations is suppressed in the case of RT growth, which is probably responsible for the improvement in crystalline quality. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Impact of post-deposition annealing on interfacial chemical bonding states between AlGaN and ZrO2 grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    The effect of post-deposition annealing on chemical bonding states at interface between Al 0.5 Ga 0.5 N and ZrO 2 grown by atomic layer deposition (ALD) is studied by angle-resolved x-ray photoelectron spectroscopy and high-resolution transmission electron microscopy. It has been found that both of Al-O/Al 2p and Ga-O/Ga 3d area ratio decrease at annealing temperatures lower than 500 °C, which could be attributed to “clean up” effect of ALD-ZrO 2 on AlGaN. Compared to Ga spectra, a much larger decrease in Al-O/Al 2p ratio at a smaller take-off angle θ is observed, which indicates higher effectiveness of the passivation of Al-O bond than Ga-O bond through “clean up” effect near the interface. However, degradation of ZrO 2 /AlGaN interface quality due to re-oxidation at higher annealing temperature (>500 °C) is also found. The XPS spectra clearly reveal that Al atoms at ZrO 2 /AlGaN interface are easier to get oxidized as compared with Ga atoms

  2. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  3. Two-dimensional ferroelectric topological insulators in functionalized atomically thin bismuth layers

    Science.gov (United States)

    Kou, Liangzhi; Fu, Huixia; Ma, Yandong; Yan, Binghai; Liao, Ting; Du, Aijun; Chen, Changfeng

    2018-02-01

    We introduce a class of two-dimensional (2D) materials that possess coexisting ferroelectric and topologically insulating orders. Such ferroelectric topological insulators (FETIs) occur in noncentrosymmetric atomic layer structures with strong spin-orbit coupling (SOC). We showcase a prototype 2D FETI in an atomically thin bismuth layer functionalized by C H2OH , which exhibits a large ferroelectric polarization that is switchable by a ligand molecule rotation mechanism and a strong SOC that drives a band inversion leading to the topologically insulating state. An external electric field that switches the ferroelectric polarization also tunes the spin texture in the underlying atomic lattice. Moreover, the functionalized bismuth layer exhibits an additional quantum order driven by the valley splitting at the K and K' points in the Brillouin zone stemming from the symmetry breaking and strong SOC in the system, resulting in a remarkable state of matter with the simultaneous presence of the quantum spin Hall and quantum valley Hall effect. These phenomena are predicted to exist in other similarly constructed 2D FETIs, thereby offering a unique quantum material platform for discovering novel physics and exploring innovative applications.

  4. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  5. Nanoparticle layer deposition for highly controlled multilayer formation based on high-coverage monolayers of nanoparticles

    International Nuclear Information System (INIS)

    Liu, Yue; Williams, Mackenzie G.; Miller, Timothy J.; Teplyakov, Andrew V.

    2016-01-01

    This paper establishes a strategy for chemical deposition of functionalized nanoparticles onto solid substrates in a layer-by-layer process based on self-limiting surface chemical reactions leading to complete monolayer formation within the multilayer system without any additional intermediate layers — nanoparticle layer deposition (NPLD). This approach is fundamentally different from previously established traditional layer-by-layer deposition techniques and is conceptually more similar to well-known atomic and molecular layer deposition processes. The NPLD approach uses efficient chemical functionalization of the solid substrate material and complementary functionalization of nanoparticles to produce a nearly 100% coverage of these nanoparticles with the use of “click chemistry”. Following this initial deposition, a second complete monolayer of nanoparticles is deposited using a copper-catalyzed “click reaction” with the azide-terminated silica nanoparticles of a different size. This layer-by-layer growth is demonstrated to produce stable covalently-bound multilayers of nearly perfect structure over macroscopic solid substrates. The formation of stable covalent bonds is confirmed spectroscopically and the stability of the multilayers produced is tested by sonication in a variety of common solvents. The 1-, 2- and 3-layer structures are interrogated by electron microscopy and atomic force microscopy and the thickness of the multilayers formed is fully consistent with that expected for highly efficient monolayer formation with each cycle of growth. This approach can be extended to include a variety of materials deposited in a predesigned sequence on different substrates with a highly conformal filling. - Highlights: • We investigate the formation of high-coverage monolayers of nanoparticles. • We use “click chemistry” to form these monolayers. • We form multiple layers based on the same strategy. • We confirm the formation of covalent bonds

  6. Positron annihilation studies on high temperature superconductors

    International Nuclear Information System (INIS)

    Sundar, C.S.; Bharathi, A.

    1991-01-01

    The results of positron annihilation measurements as a function of temperature, across Tc, in a variety of high temperature superconductors such as Y-Ba-Cu-O (Y1237), Y-Ba-Cu-O (Y1248), Bi-Sr-Ca-Cu-O, Tl-Ba-Ca-Cu-O, Ba-K-Bi-O and Nd-Ce-Cu-O are presented. It is shown that the variation of annihilation parameters in the superconducting state is correlated with the diposition of the positron density distribution with respect to the superconducting CuO planes. An increase in positron lifetime is observed below Tc when the positrons probe the CuO planes whereas a decrease in lifetime is observed when the positron density overlaps predominantly with the apical oxygen atom. With this correlation, the different temperature variation of annihilation parameters, seen in the various high temperature superconductors, is understood in terms of a local charge transfer from the planar oxygen atom to the apical oxygen atom. The significance of these results in the context of various theoretical models of high temperature superconductivity is discussed. In addition, the application of positron annihilation spectroscopy to the study of oxygen defects in the Y-Ba-Cu-O, Bi-Sr-Ca-Cu-O and Nd-Ce-Cu-O is presented. (author). 53 refs., 17 figs., 2 tabs

  7. Enhanced photovoltaic performance of inverted pyramid-based nanostructured black-silicon solar cells passivated by an atomic-layer-deposited Al2O3 layer.

    Science.gov (United States)

    Chen, Hong-Yan; Lu, Hong-Liang; Ren, Qing-Hua; Zhang, Yuan; Yang, Xiao-Feng; Ding, Shi-Jin; Zhang, David Wei

    2015-10-07

    Inverted pyramid-based nanostructured black-silicon (BS) solar cells with an Al2O3 passivation layer grown by atomic layer deposition (ALD) have been demonstrated. A multi-scale textured BS surface combining silicon nanowires (SiNWs) and inverted pyramids was obtained for the first time by lithography and metal catalyzed wet etching. The reflectance of the as-prepared BS surface was about 2% lower than that of the more commonly reported upright pyramid-based SiNW BS surface over the whole of the visible light spectrum, which led to a 1.7 mA cm(-2) increase in short circuit current density. Moreover, the as-prepared solar cells were further passivated by an ALD-Al2O3 layer. The effect of annealing temperature on the photovoltaic performance of the solar cells was investigated. It was found that the values of all solar cell parameters including short circuit current, open circuit voltage, and fill factor exhibit a further increase under an optimized annealing temperature. Minority carrier lifetime measurements indicate that the enhanced cell performance is due to the improved passivation quality of the Al2O3 layer after thermal annealing treatments. By combining these two refinements, the optimized SiNW BS solar cells achieved a maximum conversion efficiency enhancement of 7.6% compared to the cells with an upright pyramid-based SiNWs surface and conventional SiNx passivation.

  8. Aluminum oxide from trimethylaluminum and water by atomic layer deposition: The temperature dependence of residual stress, elastic modulus, hardness and adhesion

    International Nuclear Information System (INIS)

    Ylivaara, Oili M.E.; Liu, Xuwen; Kilpi, Lauri; Lyytinen, Jussi; Schneider, Dieter; Laitinen, Mikko; Julin, Jaakko; Ali, Saima; Sintonen, Sakari; Berdova, Maria; Haimi, Eero; Sajavaara, Timo; Ronkainen, Helena; Lipsanen, Harri

    2014-01-01

    Use of atomic layer deposition (ALD) in microelectromechanical systems (MEMS) has increased as ALD enables conformal growth on 3-dimensional structures at relatively low temperatures. For MEMS device design and fabrication, the understanding of stress and mechanical properties such as elastic modulus, hardness and adhesion of thin film is crucial. In this work a comprehensive characterization of the stress, elastic modulus, hardness and adhesion of ALD aluminum oxide (Al 2 O 3 ) films grown at 110–300 °C from trimethylaluminum and water is presented. Film stress was analyzed by wafer curvature measurements, elastic modulus by nanoindentation and surface-acoustic wave measurements, hardness by nanoindentation and adhesion by microscratch test and scanning nanowear. The films were also analyzed by ellipsometry, optical reflectometry, X-ray reflectivity and time-of-flight elastic recoil detection for refractive index, thickness, density and impurities. The ALD Al 2 O 3 films were under tensile stress in the scale of hundreds of MPa. The magnitude of the stress decreased strongly with increasing ALD temperature. The stress was stable during storage in air. Elastic modulus and hardness of ALD Al 2 O 3 saturated to a fairly constant value for growth at 150 to 300 °C, while ALD at 110 °C gave softer films with lower modulus. ALD Al 2 O 3 films adhered strongly on cleaned silicon with SiO x termination. - Highlights: • The residual stress of Al 2 O 3 was tensile and stable during the storage in air. • Elastic modulus of Al 2 O 3 saturated to at 170 GPa for films grown at 150 to 300 °C. • At 110 °C Al 2 O 3 films were softer with high residual hydrogen and lower density. • The Al 2 O 3 adhered strongly on the SiO x -terminated silicon

  9. Photo-irradiation effects on GaAs atomic layer epitaxial growth. GaAs no genshiso epitaxial seicho ni okeru hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y.; Sasaki, M.; Ishikawa, H. (Toshiba Corp., Kawasaki (Japan). Research and Development Center)

    1990-08-10

    Single atomic layer epitaxy (ALE) aims at controlling a growing film at a precision of single molecular layer. In this article, it is reported that the growth temperature range of ALE was expanded by the vertical irradiation of KrF exima laser (248 nm) onto the substrate for the ALE growth of GaAs using the metalorganic chemical vapor deposition (MOCVD) method. Thanks for the results of the above experiment, it was demonstrated that the irradiation effect was not thermal, but photochemical. In addition, this article studies the possibility of adsorption layer irradiation and surface irradiation as the photo-irradiation mechanism, and points out that coexistence of both irradiation mechanisms can be considered and, in case of exima laser, strong possibility of direct irradiation of the adsorption layer because of its high power density. Hereinafter, by using both optical growth ALE and thermal growth ALE jointly, the degree of freedom of combination of hetero ALE increases and its application to various material systems becomes possible. 16 refs., 6 figs.

  10. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  11. Silicon protected with atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Seger, Brian; Tilley, David S.; Pedersen, Thomas

    2013-01-01

    The semiconducting materials used for photoelectrochemical (PEC) water splitting must withstand the corrosive nature of the aqueous electrolyte over long time scales in order to be a viable option for large scale solar energy conversion. Here we demonstrate that atomic layer deposited titanium di...

  12. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  13. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  14. Science and Emerging Technology of 2D Atomic Layered Materials and Devices

    Science.gov (United States)

    2017-09-09

    AFRL-AFOSR-JP-TR-2017-0067 Science & Emerging Technology of 2D Atomic Layered Materials and Devices Angel Rubio UNIVERSIDAD DEL PAIS VASCO - EUSKAL...DD-MM-YYYY)      27-09-2017 2.  REPORT TYPE      Final 3.  DATES COVERED (From - To)      19 Feb 2015 to 18 Feb 2017 4.  TITLE AND SUBTITLE Science ...reporting documents for AOARD project 144088, “2D Materials and Devices Beyond Graphene Science & Emerging Technology of 2D Atomic Layered Materials and

  15. XPS analysis for cubic boron nitride crystal synthesized under high pressure and high temperature using Li3N as catalysis

    International Nuclear Information System (INIS)

    Guo, Xiaofei; Xu, Bin; Zhang, Wen; Cai, Zhichao; Wen, Zhenxing

    2014-01-01

    Highlights: • The cBN was synthesized by Li 3 N as catalyst under high pressure and high temperature (HPHT). • The film coated on the as-grown cBN crystals was studied by XPS. • The electronic structure variation in the film was investigated. • The growth mechanism of cubic boron nitride crystal was analyzed briefly. - Abstract: Cubic boron nitride (cBN) single crystals are synthesized with lithium nitride (Li3N) as catalyst under high pressure and high temperature. The variation of electronic structures from boron nitride of different layers in coating film on the cBN single crystal has been investigated by X-ray photoelectron spectroscopy. Combining the atomic concentration analysis, it was shown that from the film/cBN crystal interface to the inner, the sp 2 fractions are decreasing, and the sp 3 fractions are increasing in the film at the same time. Moreover, by transmission electron microscopy, a lot of cBN microparticles are found in the interface. For there is no Li 3 N in the film, it is possible that Li 3 N first reacts with hexagonal boron nitride to produce Li 3 BN 2 during cBN crystals synthesis under high pressure and high temperature (HPHT). Boron and nitrogen atoms, required for cBN crystals growth, could come from the direct conversion from hexagonal boron nitride with the catalysis of Li 3 BN 2 under high pressure and high temperature, but not directly from the decomposition of Li 3 BN 2

  16. Surface passivation of nano-textured fluorescent SiC by atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Lu, Weifang; Ou, Yiyu; Jokubavicius, Valdas

    2016-01-01

    Nano-textured surfaces have played a key role in optoelectronic materials to enhance the light extraction efficiency. In this work, morphology and optical properties of nano-textured SiC covered with atomic layer deposited (ALD) TiO2 were investigated. In order to obtain a high quality surface fo...

  17. Direct Measurements of Half-Cycle Reaction Heats during Atomic Layer Deposition by Calorimetry

    Energy Technology Data Exchange (ETDEWEB)

    Lownsbury, James M. [Department; Gladden, James A. [Department; Campbell, Charles T. [Department; Department; Kim, In Soo [Materials; Martinson, Alex B. F. [Materials

    2017-10-05

    We introduce a new high-temperature adsorption calorimeter that approaches the ideal limit of a heat detector whereby the signal at any time is proportional to the heat power being delivered to the sample and prove its sensitivity for measuring pulse-to-pulse heats of half-reactions during atomic layer deposition (ALD) at 400 K. The heat dynamics of amorphous Al2O3 growth via sequential self-limiting surface reaction of trimethylaluminum (TMA) and H2O is clearly resolved. Calibration enables quantitation of the exothermic TMA and H2O half-reactions with high precision, -343 kJ/mol TMA and -251 kJ/mol H2O, respectively. A time resolution better than 1 ms is demonstrated, allowing for the deconvolution of at least two distinct surface reactions during TMA microdosing. It is further demonstrated that this method can provide the heat of reaction versus extent of reaction during each precursors half-reaction, thus providing even richer mechanistic information on the surface processes involved. The broad applicability of this novel calorimeter is demonstrated through excellent signal-to-noise ratios of less exothermic ALD half-reactions to produce TiO2 and MnO.

  18. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  19. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  20. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  1. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan

    2014-11-10

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green\\'s function (NEGF).

  2. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan; Chang, Chih-Yuan S.; Ghosh, Ram Krishna; Li, Jie; Zhu, Hui; Addou, Rafik; Diaconescu, Bogdan; Ohta, Taisuke; Peng, Xin; Lu, Ning; Kim, Moon J.; Robinson, Jeremy T.; Wallace, Robert M; Mayer, Theresa S.; Datta, Suman; Li, Lain-Jong; Robinson, Joshua A.

    2014-01-01

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green's function (NEGF).

  3. Atom-scale depth localization of biologically important chemical elements in molecular layers.

    Science.gov (United States)

    Schneck, Emanuel; Scoppola, Ernesto; Drnec, Jakub; Mocuta, Cristian; Felici, Roberto; Novikov, Dmitri; Fragneto, Giovanna; Daillant, Jean

    2016-08-23

    In nature, biomolecules are often organized as functional thin layers in interfacial architectures, the most prominent examples being biological membranes. Biomolecular layers play also important roles in context with biotechnological surfaces, for instance, when they are the result of adsorption processes. For the understanding of many biological or biotechnologically relevant phenomena, detailed structural insight into the involved biomolecular layers is required. Here, we use standing-wave X-ray fluorescence (SWXF) to localize chemical elements in solid-supported lipid and protein layers with near-Ångstrom precision. The technique complements traditional specular reflectometry experiments that merely yield the layers' global density profiles. While earlier work mostly focused on relatively heavy elements, typically metal ions, we show that it is also possible to determine the position of the comparatively light elements S and P, which are found in the most abundant classes of biomolecules and are therefore particularly important. With that, we overcome the need of artificial heavy atom labels, the main obstacle to a broader application of high-resolution SWXF in the fields of biology and soft matter. This work may thus constitute the basis for the label-free, element-specific structural investigation of complex biomolecular layers and biological surfaces.

  4. Optically sensitive devices based on Pt nano particles fabricated by atomic layer deposition and embedded in a dielectric stack

    Energy Technology Data Exchange (ETDEWEB)

    Mikhelashvili, V.; Padmanabhan, R.; Eisenstein, G. [Electrical Engineering Department, Technion, Haifa 3200 (Israel); Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Meyler, B.; Yofis, S.; Weindling, S.; Salzman, J. [Electrical Engineering Department, Technion, Haifa 3200 (Israel); Atiya, G.; Cohen-Hyams, Z.; Kaplan, W. D. [Department of Material Science and Engineering, Technion, Haifa 3200 (Israel); Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Ankonina, G. [Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Photovoltaic Laboratory, Technion, Haifa 3200 (Israel)

    2015-10-07

    We report a series of metal insulator semiconductor devices with embedded Pt nano particles (NPs) fabricated using a low temperature atomic layer deposition process. Optically sensitive nonvolatile memory cells as well as optical sensors: (i) varactors, whose capacitance-voltage characteristics, nonlinearity, and peak capacitance are strongly dependent on illumination intensity; (ii) highly linear photo detectors whose responsivity is enhanced due to the Pt NPs. Both single devices and back to back pairs of diodes were used. The different configurations enable a variety of functionalities with many potential applications in biomedical sensing, environmental surveying, simple imagers for consumer electronics and military uses. The simplicity and planar configuration of the proposed devices makes them suitable for standard CMOS fabrication technology.

  5. Optically sensitive devices based on Pt nano particles fabricated by atomic layer deposition and embedded in a dielectric stack

    International Nuclear Information System (INIS)

    Mikhelashvili, V.; Padmanabhan, R.; Eisenstein, G.; Meyler, B.; Yofis, S.; Weindling, S.; Salzman, J.; Atiya, G.; Cohen-Hyams, Z.; Kaplan, W. D.; Ankonina, G.

    2015-01-01

    We report a series of metal insulator semiconductor devices with embedded Pt nano particles (NPs) fabricated using a low temperature atomic layer deposition process. Optically sensitive nonvolatile memory cells as well as optical sensors: (i) varactors, whose capacitance-voltage characteristics, nonlinearity, and peak capacitance are strongly dependent on illumination intensity; (ii) highly linear photo detectors whose responsivity is enhanced due to the Pt NPs. Both single devices and back to back pairs of diodes were used. The different configurations enable a variety of functionalities with many potential applications in biomedical sensing, environmental surveying, simple imagers for consumer electronics and military uses. The simplicity and planar configuration of the proposed devices makes them suitable for standard CMOS fabrication technology

  6. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao; Li, Kun; Zhang, Xixiang; Yu, Ting

    2013-01-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge

  7. The Setup Design for Selective Laser Sintering of High-Temperature Polymer Materials with the Alignment Control System of Layer Deposition

    Directory of Open Access Journals (Sweden)

    Alexey Nazarov

    2018-03-01

    Full Text Available This paper presents the design of an additive setup for the selective laser sintering (SLS of high-temperature polymeric materials, which is distinguished by an original control system for aligning the device for depositing layers of polyether ether ketone (PEEK powder. The kinematic and laser-optical schemes are given. The main cooling circuits are described. The proposed technical and design solutions enable conducting the SLS process in different types of high-temperature polymer powders. The principles of the device adjustment for depositing powder layers based on an integral thermal analysis are disclosed. The PEEK sinterability was shown on the designed installation. The physic-mechanical properties of the tested 3D parts were evaluated in comparison with the known data and showed an acceptable quality.

  8. Angle-dependent XPS study of the mechanisms of 'high-low temperature' activation of GaAs photocathode

    International Nuclear Information System (INIS)

    Du Xiaoqing; Chang Benkang

    2005-01-01

    The surface chemical compositions, atomic concentration percentage and layer thickness after 'high-temperature' single-step activation and 'high-low temperature' two-step activation were obtained using quantitative analysis of angle-dependent X-ray photoelectron spectroscopy (XPS). It was found that compared to single-step activation, the thickness of GaAs-O interface barrier had a remarkable decrease, the degree of As-O bond became much smaller and the Ga-O bond became dominating, and at the same time the thickness of (Cs, O) layer also had a deduction while the ratio of Cs to O had no change after two-step activation. The measured spectral response curves showed that a increase of 29% of sensitivity had been obtained after two-step activation. To explore the inherent mechanisms of influences of the evolution of GaAs(Cs, O) surface layers on photoemission, surface electric barrier models based on the experimental results were built. By calculation of electron escape probability it was found that the decrease of thickness of GaAs-O interface barrier and (Cs, O) layer is the main reasons, which explained why higher sensitivity is achieved after two-step activation than single-step activation

  9. Interactions between C and Cu atoms in single-layer graphene: direct observation and modelling.

    Science.gov (United States)

    Kano, Emi; Hashimoto, Ayako; Kaneko, Tomoaki; Tajima, Nobuo; Ohno, Takahisa; Takeguchi, Masaki

    2016-01-07

    Metal doping into the graphene lattice has been studied recently to develop novel nanoelectronic devices and to gain an understanding of the catalytic activities of metals in nanocarbon structures. Here we report the direct observation of interactions between Cu atoms and single-layer graphene by transmission electron microscopy. We document stable configurations of Cu atoms in the graphene sheet and unique transformations of graphene promoted by Cu atoms. First-principles calculations based on density functional theory reveal a reduction of energy barrier that caused rotation of C-C bonds near Cu atoms. We discuss two driving forces, electron irradiation and in situ heating, and conclude that the observed transformations were mainly promoted by electron irradiation. Our results suggest that individual Cu atoms can promote reconstruction of single-layer graphene.

  10. Towards high-energy and durable lithium-ion batteries via atomic layer deposition: elegantly atomic-scale material design and surface modification

    International Nuclear Information System (INIS)

    Meng, Xiangbo

    2015-01-01

    Targeted at fueling future transportation and sustaining smart grids, lithium-ion batteries (LIBs) are undergoing intensive investigation for improved durability and energy density. Atomic layer deposition (ALD), enabling uniform and conformal nanofilms, has recently made possible many new advances for superior LIBs. The progress was summarized by Liu and Sun in their latest review [1], offering many insightful views, covering the design of nanostructured battery components (i.e., electrodes and solid electrolytes), and nanoscale modification of electrode/electrolyte interfaces. This work well informs peers of interesting research conducted and it will also further help boost the applications of ALD in next-generation LIBs and other advanced battery technologies. (viewpoint)

  11. Atomic layer deposition of B-doped ZnO using triisopropyl borate as the boron precursor and comparison with Al-doped ZnO

    NARCIS (Netherlands)

    Garcia - Alonso, D.; Potts, S.E.; Helvoirt, van C.A.A.; Verheijen, M.A.; Kessels, W.M.M.

    2015-01-01

    Doped ZnO films are an important class of transparent conductive oxides, with many applications demanding increased growth control and low deposition temperatures. Therefore, the preparation of B-doped ZnO films by atomic layer deposition (ALD) at 150 °C was studied. The B source was triisopropyl

  12. Mechanisms and energetics of surface atomic processes

    International Nuclear Information System (INIS)

    Tsong, T.T.

    1991-01-01

    The energies involved in various surface atomic processes such as surface diffusion, the binding of small atomic clusters on the surface, the interaction between two adsorbed atoms, the dissociation of an atom from a small cluster or from a surface layer, the binding of kink size atoms or atoms at different adsorption sites to the surface etc., can be derived from an analysis of atomically resolved field ion microscope images and a kinetic energy measurement of low temperature field desorbed ions using the time-of-flight atom-probe field ion microscope. These energies can be used to compare with theories and to understand the transport of atoms on the surface in atomic reconstructions, epitaxial growth of surface layers and crystal growth, adsorption layer superstructure formation, and also why an atomic ordering or atomic reconstruction at the surface is energetically favored. Mechanisms of some of the surface atomic processes are also clarified from these quantitative, atomic resolution studies. In this paper work in this area is bris briefly reviewed

  13. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  14. Spatial atmospheric atomic layer deposition of alxzn1-xo

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Wu, Y.; Roozeboom, F.; Poodt, P.

    2013-01-01

    The possibility of growing multicomponent oxides by spatial atmospheric atomic layer deposition has been investigated. To this end, Al xZn1-xO films have been deposited using diethyl zinc (DEZ), trimethyl aluminum (TMA), and water as Zn, Al, and O precursors, respectively. When the metal precursors

  15. TEM and ellipsometry studies of nanolaminate oxide films prepared using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Attard, D.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Finnie, K.S. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Triani, G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Barbe, C.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Depagne, C. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Bartlett, J.R. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2005-04-30

    Nanolaminate oxide layers consisting of TiO{sub 2} and Al{sub 2}O{sub 3} have been deposited on silicon using atomic layer deposition (ALD). Characterisation of these films has been achieved by use of a range of modern transmission electron microscopy (TEM)-based techniques, including plasmon loss imaging, energy filtered imaging and scanning TEM (STEM) X-ray line profiling. These have shown that the target thickness of the individual layers in the nanolaminate structures (20 nm) has been met with a high degree of accuracy, that the layers are extremely flat and parallel and that the interfaces between the layers are compositionally abrupt. Localised crystallisation within the stacks, and responses to electron beam irradiation point to the presence of a stress gradient within the layers. The performance of ellipsometry in characterising multilayer stacks has been benchmarked against the TEM measurements. Errors in determination of individual layer thicknesses were found to increase with growing stack size, as expected given the increasing number of interfaces incorporated in each model. The most sophisticated model gave maximum deviations of {+-}4 nm from the TEM determined values for the 5- and 10-layer stacks.

  16. Phase-coherent electron transport in (Zn, Al)Ox thin films grown by atomic layer deposition

    Science.gov (United States)

    Saha, D.; Misra, P.; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M.

    2014-11-01

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)Ox thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al2O3 sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length ( l φ ∝ T - 3 / 4 ), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  17. Plasma-assisted atomic layer deposition of Al(2)O(3) and parylene C bi-layer encapsulation for chronic implantable electronics.

    Science.gov (United States)

    Xie, Xianzong; Rieth, Loren; Merugu, Srinivas; Tathireddy, Prashant; Solzbacher, Florian

    2012-08-27

    Encapsulation of biomedical implants with complex three dimensional geometries is one of the greatest challenges achieving long-term functionality and stability. This report presents an encapsulation scheme that combines Al(2)O(3) by atomic layer deposition with parylene C for implantable electronic systems. The Al(2)O(3)-parylene C bi-layer was used to encapsulate interdigitated electrodes, which were tested invitro by soak testing in phosphate buffered saline solution at body temperature (37 °C) and elevated temperatures (57 °C and 67 °C) for accelerated lifetime testing up to 5 months. Leakage current and electrochemical impedance spectroscopy were measured for evaluating the integrity and insulation performance of the coating. Leakage current was stably about 15 pA at 5 V dc, and impedance was constantly about 3.5 MΩ at 1 kHz by using electrochemical impedance spectroscopy for samples under 67 °C about 5 months (approximately equivalent to 40 months at 37 °C). Alumina and parylene coating lasted at least 3 times longer than parylene coated samples tested at 80 °C. The excellent insulation performance of the encapsulation shows its potential usefulness for chronic implants.

  18. Atomic layer deposition on polymer fibers and fabrics for multifunctional and electronic textiles

    Energy Technology Data Exchange (ETDEWEB)

    Brozena, Alexandra H.; Oldham, Christopher J.; Parsons, Gregory N., E-mail: gnp@ncsu.edu [Department of Chemical and Biomolecular Engineering, North Carolina State University, Raleigh, North Carolina 27695-7905 (United States)

    2016-01-15

    Textile materials, including woven cotton, polymer knit fabrics, and synthetic nonwoven fiber mats, are being explored as low-cost, flexible, and light-weight platforms for wearable electronic sensing, communication, energy generation, and storage. The natural porosity and high surface area in textiles is also useful for new applications in environmental protection, chemical decontamination, pharmaceutical and chemical manufacturing, catalytic support, tissue regeneration, and others. These applications raise opportunities for new chemistries, chemical processes, biological coupling, and nanodevice systems that can readily combine with textile manufacturing to create new “multifunctional” fabrics. Atomic layer deposition (ALD) has a unique ability to form highly uniform and conformal thin films at low processing temperature on nonuniform high aspect ratio surfaces. Recent research shows how ALD can coat, modify, and otherwise improve polymer fibers and textiles by incorporating new materials for viable electronic and other multifunctional capabilities. This article provides a current overview of the understanding of ALD coating and modification of textiles, including current capabilities and outstanding problems, with the goal of providing a starting point for further research and advances in this field. After a brief introduction to textile materials and current textile treatment methods, the authors discuss unique properties of ALD-coated textiles, followed by a review of recent electronic and multifunctional textiles that use ALD coatings either as direct functional components or as critical nucleation layers for active materials integration. The article concludes with possible future directions for ALD on textiles, including the challenges in materials, manufacturing, and manufacturing integration that must be overcome for ALD to reach its full potential in electronic and other emerging multifunctional textile systems.

  19. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2017-02-24

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications. The SnO2/MXene anode exploits the high Li-ion capacity offered by SnO2, while maintaining the structural and mechanical integrity by the conductive MXene platform. The atomic layer deposition (ALD) conditions used to deposit SnO2 on MXene terminated with oxygen, fluorine, and hydroxyl-groups were found to be critical for preventing MXene degradation during ALD. We demonstrate that SnO2/MXene electrodes exhibit excellent electrochemical performance as Li-ion battery anodes, where conductive MXene sheets act to buffer the volume changes associated with lithiation and delithiation of SnO2. The cyclic performance of the anodes is further improved by depositing a very thin passivation layer of HfO2, in the same ALD reactor, on the SnO2/MXene anode. This is shown by high-resolution transmission electron microscopy to also improve the structural integrity of SnO2 anode during cycling. The HfO2 coated SnO2/MXene electrodes demonstrate a stable specific capacity of 843 mAh/g when used as Li-ion battery anodes.

  20. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  1. Impact of thickness on the structural properties of high tin content GeSn layers

    Science.gov (United States)

    Aubin, J.; Hartmann, J. M.; Gassenq, A.; Milord, L.; Pauc, N.; Reboud, V.; Calvo, V.

    2017-09-01

    We have grown various thicknesses of GeSn layers in a 200 mm industrial Reduced Pressure - Chemical Vapor Deposition cluster tool using digermane (Ge2H6) and tin tetrachloride (SnCl4). The growth pressure (100 Torr) and the F(Ge2H6)/F(SnCl4) mass-flow ratio were kept constant, and incorporation of tin in the range of 10-15% was achieved with a reduction in temperature: 325 °C for 10% to 301 °C for 15% of Sn. The layers were grown on 2.5 μm thick Ge Strain Relaxed Buffers, themselves on Si(0 0 1) substrates. We used X-ray Diffraction, Atomic Force Microscopy, Raman spectroscopy and Scanning Electron Microscopy to measure the Sn concentration, the strain state, the surface roughness and thickness as a function of growth duration. A dramatic degradation of the film was seen when the Sn concentration and layer thickness were too high resulting in rough/milky surfaces and significant Sn segregation.

  2. Interplay of Interfacial Layers and Blend Composition To Reduce Thermal Degradation of Polymer Solar Cells at High Temperature.

    Science.gov (United States)

    Ben Dkhil, Sadok; Pfannmöller, Martin; Schröder, Rasmus R; Alkarsifi, Riva; Gaceur, Meriem; Köntges, Wolfgang; Heidari, Hamed; Bals, Sara; Margeat, Olivier; Ackermann, Jörg; Videlot-Ackermann, Christine

    2018-01-31

    The thermal stability of printed polymer solar cells at elevated temperatures needs to be improved to achieve high-throughput fabrication including annealing steps as well as long-term stability. During device processing, thermal annealing impacts both the organic photoactive layer, and the two interfacial layers make detailed studies of degradation mechanism delicate. A recently identified thermally stable poly[[4,8-bis[(2-ethylhexyl)oxy]benzo[1,2-b:4,5-b']dithiophene-2,6-diyl][3-fluoro-2-[(2-ethylhexyl)carbonyl]thieno[3,4-b]thiophenediyl

  3. High-pressure-high-temperature treatment of natural diamonds

    CERN Document Server

    Royen, J V

    2002-01-01

    The results are reported of high-pressure-high-temperature (HPHT) treatment experiments on natural diamonds of different origins and with different impurity contents. The diamonds are annealed in a temperature range up to 2000 sup o C at stabilizing pressures up to 7 GPa. The evolution is studied of different defects in the diamond crystal lattice. The influence of substitutional nitrogen atoms, plastic deformation and the combination of these is discussed. Diamonds are characterized at room and liquid nitrogen temperature using UV-visible spectrophotometry, Fourier transform infrared spectrophotometry and photoluminescence spectrometry. The economic implications of diamond HPHT treatments are discussed.

  4. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan; Zhu, Jiajie; Zhang, Daliang; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2017-01-01

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both

  5. Evolution of microstructure and related optical properties of ZnO grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Adib Abou Chaaya

    2013-10-01

    Full Text Available A study of transmittance and photoluminescence spectra on the growth of oxygen-rich ultra-thin ZnO films prepared by atomic layer deposition is reported. The structural transition from an amorphous to a polycrystalline state is observed upon increasing the thickness. The unusual behavior of the energy gap with thickness reflected by optical properties is attributed to the improvement of the crystalline structure resulting from a decreasing concentration of point defects at the growth of grains. The spectra of UV and visible photoluminescence emissions correspond to transitions near the band-edge and defect-related transitions. Additional emissions were observed from band-tail states near the edge. A high oxygen ratio and variable optical properties could be attractive for an application of atomic layer deposition (ALD deposited ultrathin ZnO films in optical sensors and biosensors.

  6. High temperature superconductors at optimal doping

    Directory of Open Access Journals (Sweden)

    W. E. Pickett

    2006-09-01

    Full Text Available   Intensive study of the high temperature superconductors has been ongoing for two decades. A great deal of this effort has been devoted to the underdoped regime, where the new and difficult physics of the doped Mott insulator has met extra complications including bilayer coupling/splitting, shadow bands, and hot spots. While these complications continue to unfold, in this short overview the focus is moved to the region of actual high-Tc, that of optimal doping. The focus here also is not on the superconducting state itself, but primarily on the characteristics of the normal state from which the superconducting instability arises, and even these can be given only a broad-brush description. A reminder is given of two issues,(i why the “optimal Tc” varies,for n-layered systems it increases for n up to 3, then decreases for a given n, Tc increases according to the ‘basis’ atom in the order Bi, Tl, Hg (ii how does pressure, or a particular uniaxial strain, increase Tc when the zero-strain system is already optimally doped?

  7. Atomic density effects on temperature characteristics and thermal transport at grain boundaries through a proper bin size selection

    Energy Technology Data Exchange (ETDEWEB)

    Vo, Truong Quoc; Kim, BoHung, E-mail: muratbarisik@iyte.edu.tr, E-mail: bohungk@ulsan.ac.kr [School of Mechanical Engineering, University of Ulsan, Daehak-ro 93, Namgu, Ulsan 680-749 (Korea, Republic of); Barisik, Murat, E-mail: muratbarisik@iyte.edu.tr, E-mail: bohungk@ulsan.ac.kr [Department of Mechanical Engineering, Izmir Institute of Technology, Urla, Izmir 35430 (Turkey)

    2016-05-21

    This study focuses on the proper characterization of temperature profiles across grain boundaries (GBs) in order to calculate the correct interfacial thermal resistance (ITR) and reveal the influence of GB geometries onto thermal transport. The solid-solid interfaces resulting from the orientation difference between the (001), (011), and (111) copper surfaces were investigated. Temperature discontinuities were observed at the boundary of grains due to the phonon mismatch, phonon backscattering, and atomic forces between dissimilar structures at the GBs. We observed that the temperature decreases gradually in the GB area rather than a sharp drop at the interface. As a result, three distinct temperature gradients developed at the GB which were different than the one observed in the bulk solid. This behavior extends a couple molecular diameters into both sides of the interface where we defined a thickness at GB based on the measured temperature profiles for characterization. Results showed dependence on the selection of the bin size used to average the temperature data from the molecular dynamics system. The bin size on the order of the crystal layer spacing was found to present an accurate temperature profile through the GB. We further calculated the GB thickness of various cases by using potential energy (PE) distributions which showed agreement with direct measurements from the temperature profile and validated the proper binning. The variation of grain crystal orientation developed different molecular densities which were characterized by the average atomic surface density (ASD) definition. Our results revealed that the ASD is the primary factor affecting the structural disorders and heat transfer at the solid-solid interfaces. Using a system in which the planes are highly close-packed can enhance the probability of interactions and the degree of overlap between vibrational density of states (VDOS) of atoms forming at interfaces, leading to a reduced ITR. Thus, an

  8. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware Project

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  9. Experimental investigation of high temperature high voltage thermionic diode for the space power nuclear reactor

    International Nuclear Information System (INIS)

    Onufriyev, Valery V.

    2001-01-01

    It is well known that the rise of arc from the dense glow discharge is connected with the thermion and secondary processes on the cathode surface (Granovsky, 1971; Leob, 1953; Engel, 1935). First model of breakdown of the cathode layer is connected with the increase of the cathode temperature in consequence of the ion bombardment that leads to the grows its thermo-emissive current. Other model shows the main role of the secondary effects on the cathode surface-the increase of the secondary ion emission coefficient--γ i with the grows of glow discharge voltage. But the author of this investigation work of breakdown in Cs vapor (a transmission the glow discharge into self-maintaining arc discharge) discovered the next peculiarity: the value of breakdown voltage is constant when the values of vapor temperature (its pressure p cs ) and cathode temperature T k is constant too (U b =constant with T k =constant and p cs =constant) and it is not a statistical value (Onufryev, Grishin, 1996) (that was observed in gas glow discharges other authors (Granovsky, 1971; Leob, 1953; Engel, 1935)). The investigations of thermion high voltage high temperature diode (its breakdown characteristics in closed state and voltage-current characteristics in disclosed state) showed that the value of the breakdown voltage is depended on the vapor pressure in inter-electrode gap (IEG)-p cs and cathode temperature-T k and is independent on IEG length--Δ ieg . On this base it was settled that the main role in transition of glow discharge to self-maintaining arc discharge plays an ion cathode layer but more exactly--the region of excited atoms--''Aston glow.''

  10. Experimental investigation of high temperature high voltage thermionic diode for the space power nuclear reactor

    Science.gov (United States)

    Onufriyev, Valery. V.

    2001-02-01

    It is well known that the rise of arc from the dense glow discharge is connected with the thermion and secondary processes on the cathode surface (Granovsky, 1971; Leob, 1953; Engel, 1935). First model of breakdown of the cathode layer is connected with the increase of the cathode temperature in consequence of the ion bombardment that leads to the grows its thermo-emissive current. Other model shows the main role of the secondary effects on the cathode surface-the increase of the secondary ion emission coefficient-γi with the grows of glow discharge voltage. But the author of this investigation work of breakdown in Cs vapor (a transmission the glow discharge into self-maintaining arc discharge) discovered the next peculiarity: the value of breakdown voltage is constant when the values of vapor temperature (its pressure pcs) and cathode temperature Tk is constant too (Ub=constant with Tk=constant and pcs=constant) and it is not a statistical value (Onufryev, Grishin, 1996) (that was observed in gas glow discharges other authors (Granovsky, 1971; Leob, 1953; Engel, 1935)). The investigations of thermion high voltage high temperature diode (its breakdown characteristics in closed state and voltage-current characteristics in disclosed state) showed that the value of the breakdown voltage is depended on the vapor pressure in inter-electrode gap (IEG)-pcs and cathode temperature-Tk and is independent on IEG length-Δieg. On this base it was settled that the main role in transition of glow discharge to self-maintaining arc discharge plays an ion cathode layer but more exactly-the region of excited atoms-``Aston glow.'' .

  11. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  12. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  13. Modeling and optimization of atomic layer deposition processes on vertically aligned carbon nanotubes.

    Science.gov (United States)

    Yazdani, Nuri; Chawla, Vipin; Edwards, Eve; Wood, Vanessa; Park, Hyung Gyu; Utke, Ivo

    2014-01-01

    Many energy conversion and storage devices exploit structured ceramics with large interfacial surface areas. Vertically aligned carbon nanotube (VACNT) arrays have emerged as possible scaffolds to support large surface area ceramic layers. However, obtaining conformal and uniform coatings of ceramics on structures with high aspect ratio morphologies is non-trivial, even with atomic layer deposition (ALD). Here we implement a diffusion model to investigate the effect of the ALD parameters on coating kinetics and use it to develop a guideline for achieving conformal and uniform thickness coatings throughout the depth of ultra-high aspect ratio structures. We validate the model predictions with experimental data from ALD coatings of VACNT arrays. However, the approach can be applied to predict film conformality as a function of depth for any porous topology, including nanopores and nanowire arrays.

  14. Finite-temperature stress calculations in atomic models using moments of position

    Science.gov (United States)

    Parthasarathy, Ranganathan; Misra, Anil; Ouyang, Lizhi

    2018-07-01

    Continuum modeling of finite temperature mechanical behavior of atomic systems requires refined description of atomic motions. In this paper, we identify additional kinematical quantities that are relevant for a more accurate continuum description as the system is subjected to step-wise loading. The presented formalism avoids the necessity for atomic trajectory mapping with deformation, provides the definitions of the kinematic variables and their conjugates in real space, and simplifies local work conjugacy. The total work done on an atom under deformation is decomposed into the work corresponding to changing its equilibrium position and work corresponding to changing its second moment about equilibrium position. Correspondingly, we define two kinematic variables: a deformation gradient tensor and a vibration tensor, and derive their stress conjugates, termed here as static and vibration stresses, respectively. The proposed approach is validated using MD simulation in NVT ensembles for fcc aluminum subjected to uniaxial extension. The observed evolution of second moments in the MD simulation with macroscopic deformation is not directly related to the transformation of atomic trajectories through the deformation gradient using generator functions. However, it is noteworthy that deformation leads to a change in the second moment of the trajectories. Correspondingly, the vibration part of the Piola stress becomes particularly significant at high temperature and high tensile strain as the crystal approaches the softening limit. In contrast to the eigenvectors of the deformation gradient, the eigenvectors of the vibration tensor show strong spatial heterogeneity in the vicinity of softening. More importantly, the elliptic distribution of local atomic density transitions to a dumbbell shape, before significant non-affinity in equilibrium positions has occurred.

  15. Enhanced Doping Efficiency of Al-Doped ZnO by Atomic Layer Deposition Using Dimethylaluminum Isopropoxide as an Alternative Aluminum Precursor

    NARCIS (Netherlands)

    Wu, Y.; Potts, S.E.; Hermkens, P.M.; Knoops, H.C.M.; Roozeboom, F.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition offers the unique opportunity to control, at the atomic level, the 3D distribution of dopants in highly uniform and conformal thin films. Here, it is demonstrated that the maximum doping efficiency of Al in ZnO can be improved from ∼10% to almost 60% using dimethylaluminum

  16. Highly excited atoms

    International Nuclear Information System (INIS)

    Kleppner, D.; Littman, M.G.; Zimmerman, M.L.

    1981-01-01

    Highly excited atoms are often called Rydberg atoms. These atoms have a wealth of exotic properties which are discussed. Of special interest, are the effects of electric and magnetic fields on Rydberg atoms. Ordinary atoms are scarcely affected by an applied electric or magnetic field; Rydberg atoms can be strongly distorted and even pulled apart by a relatively weak electric field, and they can be squeezed into unexpected shapes by a magnetic field. Studies of the structure of Rydberg atoms in electric and magnetic fields have revealed dramatic atomic phenomena that had not been observed before

  17. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  18. Two-phase materials for high-temperature service

    CSIR Research Space (South Africa)

    Nabarro, FRN

    2000-09-01

    Full Text Available load is carried by the g phase, which is a ductile material; at high temperatures the g phase is weak, and 0966-9795/00/$ - see front matter #2000 Elsevier Science Ltd. All rights reserved. PII: S0966-9795(00)00030-3 Intermetallics 8 (2000) 979?985 www...-temperature phase of ZrO2 containing 4.5 mol% per cent Y2O3 has the cubic ?uorite structure. A 980 F.R.N. Nabarro / Intermetallics 8 (2000) 979?985 face-centred cube of Zr atoms, with 4 Zr atoms in the unit cell, contains a simple cube of 8 O-atoms. On cooling...

  19. Experimental evaluation of interfaces using atomic-resolution high angle annular dark field (HAADF) imaging

    International Nuclear Information System (INIS)

    Robb, Paul D.; Finnie, Michael; Longo, Paolo; Craven, Alan J.

    2012-01-01

    Aberration-corrected high angle annular dark field (HAADF) imaging in scanning transmission electron microscopy (STEM) can now be performed at atomic-resolution. This is an important tool for the characterisation of the latest semiconductor devices that require individual layers to be grown to an accuracy of a few atomic layers. However, the actual quantification of interfacial sharpness at the atomic-scale can be a complicated matter. For instance, it is not clear how the use of the total, atomic column or background HAADF signals can affect the measured sharpness or individual layer widths. Moreover, a reliable and consistent method of measurement is necessary. To highlight these issues, two types of AlAs/GaAs interfaces were studied in-depth by atomic-resolution HAADF imaging. A method of analysis was developed in order to map the various HAADF signals across an image and to reliably determine interfacial sharpness. The results demonstrated that the level of perceived interfacial sharpness can vary significantly with specimen thickness and the choice of HAADF signal. Individual layer widths were also shown to have some dependence on the choice of HAADF signal. Hence, it is crucial to have an awareness of which part of the HAADF signal is chosen for analysis along with possible specimen thickness effects for future HAADF studies performed at the scale of a few atomic layers. -- Highlights: ► Quantification of interfaces using atomic-scale HAADF imaging is considered. ► The sharpness of AlAs/GaAs interfaces is investigated. ► A method of analysis was developed to map the various HAADF signals in an image. ► Measured sharpness varies with specimen thickness and HAADF signal type.

  20. Atomic layer deposition of cerium oxide for potential use in diesel soot combustion

    Energy Technology Data Exchange (ETDEWEB)

    Ivanova, Tatiana V., E-mail: tatiana.ivanova@lut.fi, E-mail: ivanova.tatyana.v@gmail.com; Toivonen, Jenni; Maydannik, Philipp S.; Kääriäinen, Tommi; Sillanpää, Mika [ASTRaL Team, Laboratory of Green Chemistry, School of Engineering Science, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Homola, Tomáš; Cameron, David C. [R& D Centre for Low-Cost Plasma and Nanotechnology Surface Modification, Masaryk University, Kotlářská 267/2, 611 37 Brno (Czech Republic)

    2016-05-15

    The particulate soot emission from diesel motors has a severe impact on the environment and people's health. The use of catalytic convertors is one of the ways to minimize the emission and decrease the hazard level. In this paper, the activity of cerium oxide for catalytic combustion of diesel soot was studied. Thin films of cerium dioxide were synthesized by atomic layer deposition using tetrakis(2,2,6,6-tetramethyl-3,5-heptanedionato)cerium [Ce(thd){sub 4}] and ozone as precursors. The characteristics of the films were studied as a function of deposition conditions within the reaction temperature range of 180–350 °C. Thickness, crystallinity, elemental composition, and morphology of the CeO{sub 2} films deposited on Si (100) were characterized by ellipsometry, x-ray diffraction, x-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscopy, respectively. The growth rate of CeO{sub 2} was observed to be 0.30 Å/cycle at temperatures up to 250 °C with a slight increase to 0.37 Å/cycle at 300 °C. The effect of CeO{sub 2} films grown on stainless steel foil supports on soot combustion was measured with annealing tests. Based on the analysis of these, in catalytic applications, CeO{sub 2} has been shown to be effective in lowering the soot combustion temperature from 600 °C for the uncoated substrates to 370 °C for the CeO{sub 2} coated ones. It was found that the higher deposition temperatures had a positive effect on the catalyst performance.

  1. Tribological Properties of Nanometric Atomic Layer Depositions Applied on AISI 420 Stainless Steel

    Directory of Open Access Journals (Sweden)

    E. Marin

    2013-09-01

    Full Text Available Atomic Layer Deposition ( ALD is a modern technique that Allows to deposit nanometric, conformal coatings on almost any kind of substrates, from plastics to ceramic, metals or even composites. ALD coatings are not dependent on the morphology of the substrate and are only regulated by the composition of the precursors, the chamber temperature and the number of cycles. In this work, mono- and bi -layer nanometric, protective low-temperature ALD Coatings, based on Al2O3 and TiO2 were applied on AISI 420 Stainless Steel in orderto enhance its relatively low corrosion resistance in chloride containing environments. Tribological testing were also performed on the ALD coated AISI 420 in order to evaluate the wear and scratch resistance of these nanometric layers and thus evaluate their durability. Scratch tests were performed using a standard Rockwell C indenter, under a variable load condition, in order to evaluate the critical loading condition for each coating. Wear testing were performed using a stainless steel counterpart, in ball-on-discconfiguration, in order to measure the friction coefficient and wear to confront the resistance. All scratch tests scars and wear tracks were then observed by means of Scanning Electron Microscopy (SEM in order to understand the wear mechanisms that occurred on the sample surfaces. Corrosion testing, performed under immersion in 0.2 M NaCl solutions, clearly showed that the ALD coatings have a strong effect in protecting the Stainless Steel Substrate against corrosion, reducing the corrosion current density by two orders of magnitude.Tribological The preliminary results showed that ALD depositions obtained at low Temperatures have a brittle behavior caused by the amorphous nature of their structure, and thus undergo delamination phenomena during Scratch Testing at relatively low applied loads. During ball-on-disc testing, the coatings were removed from the substrate, in particular for monolayer ALD configurations

  2. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  3. Electron Beam Evaporated TiO2 Layer for High Efficiency Planar Perovskite Solar Cells on Flexible Polyethylene Terephthalate Substrates

    KAUST Repository

    Qiu, Weiming

    2015-09-30

    The TiO2 layer made by electron beam (e-beam) induced evaporation is demonstrated as electron transport layer (ETL) in high efficiency planar junction perovskite solar cells. The temperature of the substrate and the thickness of the TiO2 layer can be easily controlled with this e-beam induced evaporation method, which enables the usage of different types of substrates. Here, Perovskite solar cells based on CH3NH3PbI3-xClx achieve power conversion efficiencies of 14.6% on glass and 13.5% on flexible plastic substrates. The relationship between the TiO2 layer thickness and the perovskite morphology is studied with scanning electron microscope (SEM), atomic force microscope (AFM), and X-ray photoelectron spectroscopy (XPS). Our results indicate that pinholes in thin TiO2 layer lead to pinholes in the perovskite layer. By optimizing the TiO2 thickness, perovskite layers with substantially increased surface coverage and reduced pinhole areas are fabricated, increasing overall device performance.

  4. Covalent magnetism, exchange interactions and anisotropy of the high temperature layered antiferromagnet MnB₂.

    Science.gov (United States)

    Khmelevskyi, S; Mohn, P

    2012-01-11

    The investigation of the electronic structure and magnetism for the compound MnB(2) with crystal structure type AlB(2) has been revisited to resolve contradictions between various experimental and theoretical results present in the literature. We find that MnB(2) exhibits an interesting example of a Kübler's covalent magnetism (Williams et al 1981 J. Appl. Phys. 52 2069). The covalent magnetism also appears to be the source of some disagreement between the calculated values of the magnetic moments and those given by neutron diffraction experiments. We show that this shortcoming is due to the atomic sphere approximation applied in earlier calculations. The application of the disordered local moment approach and the calculation of the inter-atomic exchange interactions within the Liechtenstein formalism reveal strong local moment antiferromagnetism with a high Néel temperature predicted from Monte Carlo simulations. A fully relativistic band structure calculation and then the application of the torque method yields a strong in-plane anisotropy of the Mn magnetic moments. The agreement of these results with neutron diffraction studies rules out any possible weak itinerant electron magnetism scenarios as proposed earlier for MnB(2).

  5. Integration of atomic layer deposition CeO2 thin films with functional complex oxides and 3D patterns

    International Nuclear Information System (INIS)

    Coll, M.; Palau, A.; Gonzalez-Rosillo, J.C.; Gazquez, J.; Obradors, X.; Puig, T.

    2014-01-01

    We present a low-temperature, < 300 °C, ex-situ integration of atomic layer deposition (ALD) ultrathin CeO 2 layers (3 to 5 unit cells) with chemical solution deposited La 0.7 Sr 0.3 MnO 3 (LSMO) functional complex oxides for multilayer growth without jeopardizing the morphology, microstructure and physical properties of the functional oxide layer. We have also extended this procedure to pulsed laser deposited YBa 2 Cu 3 O 7 (YBCO) thin films. Scanning force microscopy, X-ray diffraction, aberration corrected scanning transmission electron microscopy and macroscopic magnetic measurements were used to evaluate the quality of the perovskite films before and after the ALD process. By means of microcontact printing and ALD we have prepared CeO 2 patterns using an ozone-robust photoresist that will avoid the use of hazardous lithography processes directly on the device components. These bilayers, CeO 2 /LSMO and CeO 2 /YBCO, are foreseen to have special interest for resistive switching phenomena in resistive random-access memory. - Highlights: • Integration of atomic layer deposition (ALD) CeO 2 layers on functional complex oxides • Resistive switching is identified in CeO 2 /La 0.7 Sr 0.3 MnO 3 and CeO 2 /YBa 2 Cu 3 O 7 bilayers. • Study of the robustness of organic polymers for area-selective ALD • Combination of ALD and micro-contact printing to obtain 3D patterns of CeO 2

  6. Reliably counting atomic planes of few-layer graphene (n > 4).

    Science.gov (United States)

    Koh, Yee Kan; Bae, Myung-Ho; Cahill, David G; Pop, Eric

    2011-01-25

    We demonstrate a reliable technique for counting atomic planes (n) of few-layer graphene (FLG) on SiO(2)/Si substrates by Raman spectroscopy. Our approach is based on measuring the ratio of the integrated intensity of the G graphene peak and the optical phonon peak of Si, I(G)/I(Si), and is particularly useful in the range n > 4 where few methods exist. We compare our results with atomic force microscopy (AFM) measurements and Fresnel equation calculations. Then, we apply our method to unambiguously identify n of FLG devices on SiO(2) and find that the mobility (μ ≈ 2000 cm(2) V(-1) s(-1)) is independent of layer thickness for n > 4. Our findings suggest that electrical transport in gated FLG devices is dominated by carriers near the FLG/SiO(2) interface and is thus limited by the environment, even for n > 4.

  7. Novel Antimicrobial Titanium Dioxide Nanotubes Obtained through a Combination of Atomic Layer Deposition and Electrospinning Technologies

    Science.gov (United States)

    Patiño, Cristian; Galotto, María Jose; Palma, Juan Luis; Alburquenque, Daniela

    2018-01-01

    The search for new antimicrobial substances has increased in recent years. Antimicrobial nanostructures are one of the most promising alternatives. In this work, titanium dioxide nanotubes were obtained by an atomic layer deposition (ALD) process over electrospun polyvinyl alcohol nanofibers (PVN) at different temperatures with the purpose of obtaining antimicrobial nanostructures with a high specific area. Electrospinning and ALD parameters were studied in order to obtain PVN with smallest diameter and highest deposition rate, respectively. Chamber temperature was a key factor during ALD process and an appropriate titanium dioxide deposition performance was achieved at 200 °C. Subsequently, thermal and morphological analysis by SEM and TEM microscopies revealed hollow nanotubes were obtained after calcination process at 600 °C. This temperature allowed complete polymer removal and influenced the resulting anatase crystallographic structure of titanium dioxide that positively affected their antimicrobial activities. X-ray analysis confirmed the change of titanium dioxide crystallographic structure from amorphous phase of deposited PVN to anatase crystalline structure of nanotubes. These new nanostructures with very large surface areas resulted in interesting antimicrobial properties against Gram-positive and Gram-negative bacteria. Titanium dioxide nanotubes presented the highest activity against Escherichia coli with 5 log cycles reduction at 200 μg/mL concentration. PMID:29495318

  8. Electrical charging characteristics of the hetero layer film for reducing water-borne paint contamination in electrostatic rotary atomizers

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Y.; Imanishi, T.; Yoshida, O.; Mizuno, A. [ABB Japan, Tokyo (Japan)

    2010-07-01

    The electrostatic rotary atomizer is the most efficient of all liquid spray painting methods. Its use minimizes the waste of paint and reduces emissions of volatile organic compounds (VOCs). Water-borne painting processes which use water-soluble paint also reduce VOC emissions, but the atomizer body is easily contaminated by the paint mists. The Institute of Electrical and Electronics Engineers (IEEE) considered the causes of water-borne paint contamination and presented the experimental results of a contamination proof system in which the atomizer is surrounded by the repelling film that is charged and repels the incoming paint droplets. Among the key factors for repelling film were electrical properties, such as low capacitance and high insulation to keep high surface potential. Charging uniformity was found to be among the most important characteristic to avoid contamination. The pulse electro-acoustic (PEA) method was used to check these features using space charge measurements inside the repelling film. It was concluded that hetero layer films have more uniform charging characteristics than single layer films.

  9. In-reactor behaviour of centrifugally atomized U3Si dispersion fuel irradiated at high temperature in HANARO

    International Nuclear Information System (INIS)

    Kim, Ki Hwan; Park, Jong Man; Yoo, Byeong Ok; Park, Dae Kyu; Lee, Choong Sung; Kim, Chang Kyu

    2002-01-01

    The irradiation test on full-size U 3 Si dispersion fuel elements, prepared by centrifugal atomization and conventional comminution method, has been performed up to about 77 at.% U-235 in maximum burn-up at CT hole position having the highest power condition in the HANARO reactor, in order to examine the irradiation performance of the atomized U 3 Si for the driver fuels of HANARO. The in-reactor interaction of the atomized U 3 Si dispersion fuel meats is generally assumed to be acceptable with the range of 5-15 μm in average thickness. The atomized spherical particles have more uniform and thinner reaction layer than the comminuted irregular particles. The U 3 Si particles have relatively fine and uniform size distribution of fission gas bubbles, irrespective of the powdering method. The bubble population in the atomized particles appears to be finer and more homogeneous with the characteristics of narrower bubble size distribution than that of the comminuted fuel. The atomized U 3 Si dispersion fuel elements exhibit sound swelling behaviours of 5 % in ΔV/V m even at ∼77 at.% U-235 burn-up, which meets with the safety criterion of the fuel rod, 20vol.% for HANARO. The atomized U3Si dispersion fuel elements show smaller swelling than the comminuted fuel elements

  10. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  11. Modeling and optimization of atomic layer deposition processes on vertically aligned carbon nanotubes

    Directory of Open Access Journals (Sweden)

    Nuri Yazdani

    2014-03-01

    Full Text Available Many energy conversion and storage devices exploit structured ceramics with large interfacial surface areas. Vertically aligned carbon nanotube (VACNT arrays have emerged as possible scaffolds to support large surface area ceramic layers. However, obtaining conformal and uniform coatings of ceramics on structures with high aspect ratio morphologies is non-trivial, even with atomic layer deposition (ALD. Here we implement a diffusion model to investigate the effect of the ALD parameters on coating kinetics and use it to develop a guideline for achieving conformal and uniform thickness coatings throughout the depth of ultra-high aspect ratio structures. We validate the model predictions with experimental data from ALD coatings of VACNT arrays. However, the approach can be applied to predict film conformality as a function of depth for any porous topology, including nanopores and nanowire arrays.

  12. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  13. Antireflective conducting nanostructures with an atomic layer deposited an AlZnO layer on a transparent substrate

    International Nuclear Information System (INIS)

    Park, Hyun-Woo; Ji, Seungmuk; Herdini, Diptya Suci; Lim, Hyuneui; Park, Jin-Seong; Chung, Kwun-Bum

    2015-01-01

    Graphical abstract: - Highlights: • We investigated the antireflective conducting nanostructures on a transparent substrate using atomic layer deposited AlZnO films. • The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance. • The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. • The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states. - Abstract: The antireflective conducting nanostructures on a transparent substrate were shown to have enhanced optical and electrical properties via colloidal lithography and atomic layer deposition. The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance, both of which were superior to those of a flat transparent conducting substrate. The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states.

  14. UV light induced insulator-metal transition in ultra-thin ZnO/TiO{sub x} stacked layer grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2016-08-28

    In the present study, atomic layer deposition has been used to grow a series of Ti incorporated ZnO thin films by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers on (0001) sapphire substrates. The effects of defect states mediated chemisorption of O{sub 2} and/OH groups on the electrical properties of these films have been investigated by illuminating the samples under UV light inside a high vacuum optical cryostat. The ultra-thin film having one stacked layer (n = 1) did not show any change in its electrical resistance upon UV light exposure. On the contrary, marginal drop in the electrical resistivity was measured for the samples with n ≥ 3. Most surprisingly, the sample with n = 2 (thickness ∼ 12 nm) showed an insulator to metal transition upon UV light exposure. The temperature dependent electrical resistivity measurement on the as grown film (n = 2) showed insulating behaviour, i.e., diverging resistivity on extrapolation to T→ 0 K. However, upon UV light exposure, it transformed to a metallic state, i.e., finite resistivity at T → 0 K. Such an insulator-metal transition plausibly arises due to the de-trapping of conduction electrons from the surface defect sites which resulted in an upward shift of the Fermi level above the mobility edge. The low-temperature electron transport properties on the insulating film (n = 2) were investigated by a combined study of zero field electrical resistivity ρ(T) and magnetoresistance (MR) measurements. The observed negative MR was found to be in good agreement with the magnetic field induced suppression of quantum interference between forward-going paths of tunnelling electrons. Both ρ(T) and MR measurements provided strong evidence for the Efros-Shklovskii type variable range hopping conduction in the low-temperature (≤40 K) regime. Such studies on electron transport in ultra-thin n-type doped ZnO films are crucial to achieve optimum functionality

  15. High polysilicon TFT field effect mobility reached thanks to slight phosphorus content in the active layer

    International Nuclear Information System (INIS)

    Zaghdoudi, M.; Rogel, R.; Alzaied, N.; Fathallah, M.; Mohammed-Brahim, T.

    2008-01-01

    The paper deals with the effect of slightly phosphorus atoms introduced during deposition of polysilicon films. Polysilicon films are used as an active layer in thin film transistors (TFTs) fabricated on glass substrates at a maximum temperature of 600 deg. C.Three phosphorus atoms contents, determined by the value of the phosphine to silane ratio: Γ (3.7 x 10 -7 , 8 x 10 -7 , 26 x 10 -6 ), are used to optimize the active layer quality. The in-situ doped layers induce a better stability of the electrical characteristics, a higher mobility and lower value of the threshold voltage for the slightly doped active layers [M. Zaghdoudi, M.M. Abdelkrim, M. Fathallah, T. Mohammed-Brahim and F. Le-Bihan Control of the weak phosphorus doping in polysilicon, Materials Science and Forum, Vols. 480-481 (2005) pp.305.]. The present work shows that the effect of slightly phosphorus content improves the quality of oxide/polysilicon interface and decreases the defects density. Degradation of electrical properties is shown to originate from the creation of defect at the channel-interface oxide and in the grain boundaries. The effect of temperature change on the electrical properties was studied and the behaviour was also analyzed

  16. Positron annihilation in Si and Si-related materials in thermal equilibrium at high temperature

    International Nuclear Information System (INIS)

    Uedono, A.; Muramatsu, M.; Ubukata, T.; Tanino, H.; Shiraishi, T.; Tanigawa, S.; Takasu, S.

    2001-01-01

    Annihilation characteristics of positrons in the carbon/Si structure in thermal equilibrium at high temperature were studied using a monoenergetic positron beam. Doppler broadening spectra of the annihilation radiation were measured as a function of incident positron energy in the temperature range between 298 K and 1473 K. Above 1173 K, the value of S corresponding to the annihilation of positrons near the carbon/Si interface started to increase, which was attributed to the carbonization of Si and the introduction of open-space defects due to the diffusion of Si atoms toward the carbon layer. The behavior of Ps in a thermally grown SiO 2 film was also studied at 298-1523 K. (orig.)

  17. Effect of high-temperature quenching on the magnetostructural transformations and the long-range atomic order of Ni–Mn–Sn and Ni–Mn–Sb metamagnetic shape memory alloys

    International Nuclear Information System (INIS)

    Sánchez-Alarcos, V.; Pérez-Landazábal, J.I.; Recarte, V.; Lucia, I.; Vélez, J.; Rodríguez-Velamazán, J.A.

    2013-01-01

    The influence of high-temperature thermal treatments on the martensitic transformation and the magnetic properties of Ni–Mn–Sn and Ni–Mn–Sb metamagnetic shape memory alloys have been investigated by calorimetric and magnetic measurements. Contrary to Ni–Mn–Ga and Ni–Mn–In systems, the martensitic transformation and Curie temperatures of Ni–Mn–Sn and Ni–Mn–Sb alloys are found to be unaffected by the increasing quenching temperature. Neutron diffraction measurements confirm the null effect of quenching on the next-nearest-neighbors atomic order due to the negligible L2 1 atomic disorder achieved with high-temperature annealings. The analysis of long-range order also suggests that no L2 1 –B2 ordering transition takes place in the studied alloys, thus indicating an unusually high stability of the L2 1 structure. The obtained results show that the magnetostructural properties of Ni–Mn–Sn and Ni–Mn–Sb alloys cannot be properly tuned by means of standard thermal treatments

  18. Ultimate temperature for laser cooling of two-level neutral atoms

    International Nuclear Information System (INIS)

    Bagnato, V.S.; Zilio, S.C.

    1989-01-01

    We present a simple pedagogical method to evaluate the minimum attainable temperature for laser cooling of two-level neutral atoms. Results are given as a function of the laser detuning and intensity. We also discuss the use of this approach to predict the minimum temperature of neutral atoms confined in magnetic traps. (author) [pt

  19. Thickness dependence of the levitation performance of double-layer high-temperature superconductor bulks above a magnetic rail

    International Nuclear Information System (INIS)

    Sun, R.X.; Zheng, J.; Liao, X.L.; Che, T.; Gou, Y.F.; He, D.B.; Deng, Z.G.

    2014-01-01

    Highlights: • Thickness optimization of double-layer bulk HTSC arrangement is studied. • The new bulk HTSC arrangement makes better use of the flux distribution of the magnetic rails. • Levitation performance can be enhanced with the optimization. • The optimization can meet large levitation force requirements for HTS Maglev system. - Abstract: A double-layer high-temperature superconductor (HTSC) arrangement was proposed and proved to be able to bring improvements to both levitation force and guidance force compared with present single-layer HTSC arrangement. To fully exploit the applied magnetic field by a magnetic rail, the thickness dependence of a double-layer HTSC arrangement on the levitation performance was further investigated in the paper. In this study, the lower-layer bulk was polished step by step to different thicknesses, and the upper-layer bulk with constant thickness was directly superimposed on the lower-layer one. The levitation force and the force relaxation of the double-layer HTSC arrangement were measured above a Halbach magnetic rail. Experimental result shows that a bigger levitation force and a less levitation force decay could be achieved by optimizing the thickness of the lower-layer bulk HTSC. This thickness optimization method could be applied together with former reported double-layer HTSC arrangement method with aligned growth sector boundaries pattern. This series of study on the optimized combination method do bring a significant improvement on the levitation performance of present HTS maglev systems

  20. Thickness dependence of the levitation performance of double-layer high-temperature superconductor bulks above a magnetic rail

    Energy Technology Data Exchange (ETDEWEB)

    Sun, R.X.; Zheng, J.; Liao, X.L.; Che, T.; Gou, Y.F.; He, D.B.; Deng, Z.G., E-mail: zgdeng@gmail.com

    2014-10-15

    Highlights: • Thickness optimization of double-layer bulk HTSC arrangement is studied. • The new bulk HTSC arrangement makes better use of the flux distribution of the magnetic rails. • Levitation performance can be enhanced with the optimization. • The optimization can meet large levitation force requirements for HTS Maglev system. - Abstract: A double-layer high-temperature superconductor (HTSC) arrangement was proposed and proved to be able to bring improvements to both levitation force and guidance force compared with present single-layer HTSC arrangement. To fully exploit the applied magnetic field by a magnetic rail, the thickness dependence of a double-layer HTSC arrangement on the levitation performance was further investigated in the paper. In this study, the lower-layer bulk was polished step by step to different thicknesses, and the upper-layer bulk with constant thickness was directly superimposed on the lower-layer one. The levitation force and the force relaxation of the double-layer HTSC arrangement were measured above a Halbach magnetic rail. Experimental result shows that a bigger levitation force and a less levitation force decay could be achieved by optimizing the thickness of the lower-layer bulk HTSC. This thickness optimization method could be applied together with former reported double-layer HTSC arrangement method with aligned growth sector boundaries pattern. This series of study on the optimized combination method do bring a significant improvement on the levitation performance of present HTS maglev systems.

  1. Ionic double layer of atomically flat gold formed on mica templates

    International Nuclear Information System (INIS)

    Chilcott, Terry C.; Wong, Elicia L.S.; Coster, Hans G.L.; Coster, Adelle C.F.; James, Michael

    2009-01-01

    Electrical impedance spectroscopy characterisations of gold surfaces formed on mica templates in contact with potassium chloride electrolytes were performed at the electric potential of zero charge over a frequency range of 6 x 10 -3 to 100 x 10 3 Hz. They revealed constant-phase-angle (CPA) behaviour with a frequency exponent value of 0.96 for surfaces that were also characterised as atomically flat using atomic force microscopy (AFM). As the frequency exponent value was only marginally less than unity, the CPA behaviour yielded a realistic estimate for the capacitance of the ionic double layer. The retention of the CPA behaviour was attributed to specific adsorption of chloride ions which was detected as an adsorption conductance element in parallel with the CPA impedance element. Significant variations in the ionic double layer capacitance as well as the adsorption conductance were observed for electrolyte concentrations ranging from 33 μM to 100 mM, but neither of these variations correlated with concentration. This is consistent with the electrical properties of the interface deriving principally from the inner or Stern region of the double layer.

  2. From Single Atoms to Nanoparticles: Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder.

    Science.gov (United States)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A I; Kovalgin, Alexey Y; Kooyman, Patricia; Kreutzer, Michiel T; van Ommen, Jan Rudolf

    2018-05-10

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO 2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O 2 as the coreactant is presented. The growth follows a pathway from single atoms to NPs as a function of the oxygen exposure (P O2 × time). The growth kinetics is modeled by accounting for the autocatalytic combustion of the precursor ligands via a variant of the Finke-Watzky two-step model. Even at relatively high oxygen exposures ( 120 mbar s. The deposition of more Pt leads to the formation of NPs that can be as large as 6 nm. Crucially, high P O2 (≥5 mbar) hinders metal aggregation, thus leading to narrow particle size distributions. The results show that ALD of Pt NPs is reproducible across small and large surface areas if the precursor ligands are removed at high P O2 . © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. High-temperature atomic superfluidity in lattice Bose-Fermi mixtures.

    Science.gov (United States)

    Illuminati, Fabrizio; Albus, Alexander

    2004-08-27

    We consider atomic Bose-Fermi mixtures in optical lattices and study the superfluidity of fermionic atoms due to s-wave pairing induced by boson-fermion interactions. We prove that the induced fermion-fermion coupling is always attractive if the boson-boson on-site interaction is repulsive, and predict the existence of an enhanced BEC-BCS crossover as the strength of the lattice potential is varied. We show that for direct on-site fermion-fermion repulsion, the induced attraction can give rise to superfluidity via s-wave pairing at striking variance with the case of pure systems of fermionic atoms with direct repulsive interactions.

  4. High-temperature atomic superfluidity in lattice Bose-Fermi mixtures

    International Nuclear Information System (INIS)

    Illuminati, Fabrizio; Albus, Alexander

    2004-01-01

    We consider atomic Bose-Fermi mixtures in optical lattices and study the superfluidity of fermionic atoms due to s-wave pairing induced by boson-fermion interactions. We prove that the induced fermion-fermion coupling is always attractive if the boson-boson on-site interaction is repulsive, and predict the existence of an enhanced BEC-BCS crossover as the strength of the lattice potential is varied. We show that for direct on-site fermion-fermion repulsion, the induced attraction can give rise to superfluidity via s-wave pairing at striking variance with the case of pure systems of fermionic atoms with direct repulsive interactions

  5. Substituted Quaternary Ammonium Salts Improve Low-Temperature Performance of Double-Layer Capacitors

    Science.gov (United States)

    Brandon, Erik J.; Smart, Marshall C.; West, William C.

    2011-01-01

    Double-layer capacitors are unique energy storage devices, capable of supporting large current pulses as well as a very high number of charging and discharging cycles. The performance of doublelayer capacitors is highly dependent on the nature of the electrolyte system used. Many applications, including for electric and fuel cell vehicles, back-up diesel generators, wind generator pitch control back-up power systems, environmental and structural distributed sensors, and spacecraft avionics, can potentially benefit from the use of double-layer capacitors with lower equivalent series resistances (ESRs) over wider temperature limits. Higher ESRs result in decreased power output, which is a particular problem at lower temperatures. Commercially available cells are typically rated for operation down to only 40 C. Previous briefs [for example, Low Temperature Supercapacitors (NPO-44386), NASA Tech Briefs, Vol. 32, No. 7 (July 2008), p. 32, and Supercapacitor Electrolyte Solvents With Liquid Range Below 80 C (NPO-44855), NASA Tech Briefs, Vol. 34, No. 1 (January 2010), p. 44] discussed the use of electrolytes that employed low-melting-point co-solvents to depress the freezing point of traditional acetonitrile-based electrolytes. Using these modified electrolyte formulations can extend the low-temperature operational limit of double-layer capacitors beyond that of commercially available cells. This previous work has shown that although the measured capacitance is relatively insensitive to temperature, the ESR can rise rapidly at low temperatures, due to decreased electrolyte conductance within the pores of the high surface- area carbon electrodes. Most of these advanced electrolyte systems featured tetraethylammonium tetrafluoroborate (TEATFB) as the salt. More recent work at JPL indicates the use of the asymmetric quaternary ammonium salt triethylmethylammonium tetrafluoroborate (TEMATFB) or spiro-(l,l')-bipyrrolidium tetrafluoroborate (SBPBF4) in a 1:1 by volume solvent

  6. Atomic-layer deposited Nb2O5 as transparent passivating electron contact for c-Si solar cells

    NARCIS (Netherlands)

    Macco, Bart; Black, Lachlan E.; Melskens, Jimmy; van de Loo, Bas W.H.; Berghuis, Willem Jan H.; Verheijen, Marcel A.; Kessels, Wilhelmus M.M.

    2018-01-01

    Passivating contacts based on metal oxides have proven to enable high energy conversion efficiencies for crystalline silicon (c-Si) solar cells at low processing complexity. In this work, the potential of atomic-layer deposited (ALD) Nb2O5 as novel electron-selective passivating contact is explored

  7. Mid-latitude empirical model of the height distribution of atomic oxygen in the MLT region for different solar and geophysical conditions

    Science.gov (United States)

    Semenov, A.; Shefov, N.; Fadel, Kh.

    The model of altitude distributions of atomic oxygen in the region of the mesopause and lower thermosphere (MLT) is constructed on the basis of empirical models of variations of the intensities, temperatures and altitudes of maximum of the layers of the emissions of atomic oxygen at 557.7 nm, hydroxyl and Atmospheric system of molecular oxygen. An altitude concentration distribution of neutral components is determined on the basis of systematization of the long-term data of temperature of the middle atmosphere from rocket, nightglow and ionospheric measurements at heights of 30-110 km in middle latitudes. They include dependence on a season, solar activity and a long-term trend. Examples of results of calculation for different months of year for conditions of the lower and higher solar activity are presented. With increasing of solar activity, the height of a layer of a maximum of atomic oxygen becomes lower, and the thickness of the layer increases. There is a high correlation between characteristics of a layer of atomic oxygen and a maximum of temperature at heights of the mesopause and lower thermosphere. This work is supported by grant of ISTC No. 2274.

  8. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  9. High quality single atomic layer deposition of hexagonal boron nitride on single crystalline Rh(111) four-inch wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hemmi, A.; Bernard, C.; Cun, H.; Roth, S.; Klöckner, M.; Kälin, T.; Osterwalder, J.; Greber, T., E-mail: greber@physik.uzh.ch [Physik-Institut, Universität Zürich, CH-8057 Zürich (Switzerland); Weinl, M.; Gsell, S.; Schreck, M. [Institut für Physik, Universität Augsburg, D-86135 Augsburg (Germany)

    2014-03-15

    The setup of an apparatus for chemical vapor deposition (CVD) of hexagonal boron nitride (h-BN) and its characterization on four-inch wafers in ultra high vacuum (UHV) environment is reported. It provides well-controlled preparation conditions, such as oxygen and argon plasma assisted cleaning and high temperature annealing. In situ characterization of a wafer is accomplished with target current spectroscopy. A piezo motor driven x-y stage allows measurements with a step size of 1 nm on the complete wafer. To benchmark the system performance, we investigated the growth of single layer h-BN on epitaxial Rh(111) thin films. A thorough analysis of the wafer was performed after cutting in atmosphere by low energy electron diffraction, scanning tunneling microscopy, and ultraviolet and X-ray photoelectron spectroscopies. The apparatus is located in a clean room environment and delivers high quality single layers of h-BN and thus grants access to large area UHV processed surfaces, which had been hitherto restricted to expensive, small area single crystal substrates. The facility is versatile enough for customization to other UHV-CVD processes, e.g., graphene on four-inch wafers.

  10. Extraordinary Photoluminescence and Strong Temperature/Angle-Dependent Raman Responses in Few-Layer Phosphorene

    OpenAIRE

    Zhang, Shuang; Yang, Jiong; Xu, Renjing; Wang, Fan; Li, Weifeng; Ghufran, Muhammad; Zhang, Yong-wei; Yu, Zongfu; Zhang, Gang; Qin, Qinghua; Lu, Yuerui

    2014-01-01

    Phosphorene is a new family member of two-dimensional materials. We observed strong and highly layer-dependent photoluminescence in few-layer phosphorene (2 to 5 layers). The results confirmed the theoretical prediction that few-layer phosphorene has a direct and layer-sensitive band gap. We also demonstrated that few-layer phosphorene is more sensitive to temperature modulation than graphene and MoS2 in Raman scattering. The anisotropic Raman response in few-layer phosphorene has enabled us ...

  11. Counting Tm dopant atoms around GaN dots using high-angle annular dark field images

    International Nuclear Information System (INIS)

    Rouvière, J-L; Okuno, H; Jouneau, P H; Bayle-Guillemaud, P; Daudin, B

    2011-01-01

    High resolution Z-contrast STEM imaging is used to study the Tm doping of GaN quantum dots grown in AlN by molecular beam epitaxy (MBE). High-angle annular dark field (HAADF) imaging allows us to visualize directly individual Tm atoms in the AlN matrix and even to count the number of Tm atoms in a given AlN atomic column. A new visibility coefficient to determine quantitatively the number of Tm atoms in a given atomic column is introduced. It is based on locally integrated intensities rather than on peak intensities of HAADF images. STEM image simulations shows that this new visibility is less sensitive to the defocus-induced blurring or to the position of the Tm atom within the thin lamella. Most of the Tm atoms diffuse out of GaN dots. Tm atoms are found at different positions in the AlN matrix, (i) Above the wetting layer, Tm atoms are spread within a thickness of 14 AlN monolayers (MLs). (ii) Above the quantum dots all the Tm are located in the same plane situated at 2-3 MLs above the apex of the GaN dot, i.e. at a distance of 14 MLs from the wetting layer, (iii) In addition, Tm can diffuse very far from the GaN dot by following threading dislocations lines.

  12. BCS-BEC crossover at finite temperature for superfluid trapped Fermi atoms

    International Nuclear Information System (INIS)

    Perali, A.; Pieri, P.; Pisani, L.; Strinati, G.C.

    2004-01-01

    We consider the BCS-BEC (Bose-Einstein-condensate) crossover for a system of trapped Fermi atoms at finite temperature, both below and above the superfluid critical temperature, by including fluctuations beyond mean field. We determine the superfluid critical temperature and the pair-breaking temperature as functions of the attractive interaction between Fermi atoms, from the weak- to the strong-coupling limit (where bosonic molecules form as bound-fermion pairs). Density profiles in the trap are also obtained for all temperatures and couplings

  13. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  14. Effects of buffer layer annealing temperature on the structural and optical properties of hydrothermal grown ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, X.Q.; Kim, C.R.; Lee, J.Y.; Heo, J.H.; Shin, C.M. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Lee, H.C. [Department of Mechatronics Engineering, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Jung, W.G. [School of Advanced Materials Engineering, Kookmin University, 861-1 Jeongneung-dong, Seongbuk-gu, Seoul 136-702 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-02-01

    ZnO was deposited on bare Si(1 0 0), as-deposited, and annealed ZnO/Si(1 0 0) substrates by hydrothermal synthesis. The effects of a ZnO buffer layer and its thermal annealing on the properties of the ZnO deposited by hydrothermal synthesis were studied. The grain size and root mean square (RMS) roughness values of the ZnO buffer layer increased after thermal annealing of the buffer layer. The effect of buffer layer annealing temperature on the structural and optical properties was investigated by photoluminescence, X-ray diffraction, atomic force microscopy, and scanning electron microscopy. Hydrothermal grown ZnO deposited on ZnO/Si(1 0 0) annealed at 750 deg. C with the concentration of 0.3 M exhibits the best structural and optical properties.

  15. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  16. Influence of PEDOT:PSS on the effectiveness of barrier layers prepared by atomic layer deposition in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Wegler, Barbara, E-mail: barbara.wegler@siemens.com [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen, Germany and Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany); Schmidt, Oliver [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Hensel, Bernhard [Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany)

    2015-01-15

    Organic light emitting diodes (OLEDs) are well suited for energy saving lighting applications, especially when thinking about highly flexible and large area devices. In order to avoid the degradation of the organic components by water and oxygen, OLEDs need to be encapsulated, e.g., by a thin sheet of glass. As the device is then no longer flexible, alternative coatings are required. Atomic layer deposition (ALD) is a very promising approach in this respect. The authors studied OLEDs that were encapsulated by 100 nm Al{sub 2}O{sub 3} deposited by ALD. The authors show that this coating effectively protects the active surface area of the OLEDs from humidity. However, secondary degradation processes still occur at sharp edges of the OLED stack where the extremely thin encapsulation layer does not provide perfect coverage. Particularly, the swelling of poly(3,4-ethylenedioxythiophene) mixed with poly(styrenesulfonate), which is a popular choice for the planarization of the bottom electrode and at the same time acts as a hole injection layer, affects the effectiveness of the encapsulation layer.

  17. Low Temperature Double-layer Capacitors with Improved Energy Density: An Overview of Recent Development Efforts

    Science.gov (United States)

    Brandon, Erik J.; West, William C.; Smart, Marshall C.; Yushin, Gleb; Korenblit, Yair; Kajdos, Adam; Kvit, Alexander; Jagiello, Jacek

    2012-01-01

    Electrochemical double-layer capacitors are finding increased use in a wide range of energy storage applications, particularly where high pulse power capabilities are required. Double-layer capacitors store charge at a liquid/solid interface, making them ideal for low temperature power applications, due to the facile kinetic processes associated with the rearrangement of the electrochemical double-layer at these temperatures. Potential low temperature applications include hybrid and electric vehicles, operations in polar regions, high altitude aircraft and aerospace avionics, and distributed environmental and structural health monitoring. State-of-the-art capacitors can typically operate to -40 C, with a subsequent degradation in power performance below room temperature. However, recent efforts focused on advanced electrolyte and electrode systems can enable operation to temperatures as low as -70 C, with capacities similar to room temperature values accompanied by reasonably low equivalent series resistances. This presentation will provide an overview of recent development efforts to extend and improve the wide temperature performance of these devices.

  18. Interlayer electron-hole pair multiplication by hot carriers in atomic layer semiconductor heterostructures

    Science.gov (United States)

    Barati, Fatemeh; Grossnickle, Max; Su, Shanshan; Lake, Roger; Aji, Vivek; Gabor, Nathaniel

    Two-dimensional heterostructures composed of atomically thin transition metal dichalcogenides provide the opportunity to design novel devices for the study of electron-hole pair multiplication. We report on highly efficient multiplication of interlayer electron-hole pairs at the interface of a tungsten diselenide / molybdenum diselenide heterostructure. Electronic transport measurements of the interlayer current-voltage characteristics indicate that layer-indirect electron-hole pairs are generated by hot electron impact excitation. Our findings, which demonstrate an efficient energy relaxation pathway that competes with electron thermalization losses, make 2D semiconductor heterostructures viable for a new class of hot-carrier energy harvesting devices that exploit layer-indirect electron-hole excitations. SHINES, an Energy Frontier Research Center funded by the U.S. Department of Energy, Air Force Office of Scientific Research.

  19. High-temperature shock tube and modeling studies on the reactions of methanol with D-atoms and CH3-radicals.

    Science.gov (United States)

    Peukert, S L; Michael, J V

    2013-10-10

    The shock tube technique has been used to study the hydrogen abstraction reactions D + CH3OH → CH2O + H + HD (A) and CH3 + CH3OH → CH2O + H + CH4 (B). For reaction A, the experiments span a T-range of 1016 K ≤ T ≤ 1325 K, at pressures 0.25 bar ≤ P ≤ 0.46 bar. The experiments on reaction B, CH3 + CH3OH, cover a T-range of 1138 K ≤ T ≤ 1270 K, at pressures around 0.40 bar. Reflected shock tube experiments, monitoring the depletion of D-atoms by applying D-atom atomic resonance absorption spectrometry (ARAS), were performed on reaction A using gas mixtures of C2D5I and CH3OH in Kr bath gas. C2D5I was used as precursor for D-atoms. For reaction B, reflected shock tube experiments monitoring H-atom formation with H-ARAS, were carried out using gas mixtures of diacetyl ((CH3CO)2) and CH3OH in Kr bath gas. (CH3CO)2 was used as the source of CH3-radicals. Detailed reaction models were assembled to fit the D-atom and H-atom time profiles in order to obtain experimental rate constants for reactions A and B. Total rate constants from the present experiments on D + CH3OH and CH3 + CH3OH can be represented by the Arrhenius equations kA(T) = 1.51 × 10(-10) exp(-3843 K/T) cm(3) molecules(-1) s(-1) (1016 K ≤ T ≤ 1325 K) and kB(T) = 9.62 × 10(-12) exp(-7477 K/T) cm(3) molecules(-1) s(-1) (1138 K ≤ T ≤ 1270 K). The experimentally obtained rate constants were compared with available rate data from the literature. The results from quantum chemical studies on reaction A were found to be in good agreement with the present results. The present work represents the first direct experimental study on these bimolecular reactions at combustion temperatures and is important to the high-temperature oxidation of CH3OH.

  20. Preface: Special Topic on Atomic and Molecular Layer Processing: Deposition, Patterning, and Etching

    Science.gov (United States)

    Engstrom, James R.; Kummel, Andrew C.

    2017-02-01

    Thin film processing technologies that promise atomic and molecular scale control have received increasing interest in the past several years, as traditional methods for fabrication begin to reach their fundamental limits. Many of these technologies involve at their heart phenomena occurring at or near surfaces, including adsorption, gas-surface reactions, diffusion, desorption, and re-organization of near-surface layers. Moreover many of these phenomena involve not just reactions occurring under conditions of local thermodynamic equilibrium but also the action of energetic species including electrons, ions, and hyperthermal neutrals. There is a rich landscape of atomic and molecular scale interactions occurring in these systems that is still not well understood. In this Special Topic Issue of The Journal of Chemical Physics, we have collected recent representative examples of work that is directed at unraveling the mechanistic details concerning atomic and molecular layer processing, which will provide an important framework from which these fields can continue to develop. These studies range from the application of theory and computation to these systems to the use of powerful experimental probes, such as X-ray synchrotron radiation, probe microscopies, and photoelectron and infrared spectroscopies. The work presented here helps in identifying some of the major challenges and direct future activities in this exciting area of research involving atomic and molecular layer manipulation and fabrication.

  1. Thin films of In2O3 by atomic layer deposition using In(acac)3

    International Nuclear Information System (INIS)

    Nilsen, O.; Balasundaraprabhu, R.; Monakhov, E.V.; Muthukumarasamy, N.; Fjellvag, H.; Svensson, B.G.

    2009-01-01

    Thin films of indium oxide have been deposited using the atomic layer deposition (ALD) technique using In(acac) 3 (acac = acetylacetonate, pentane-2,4-dione) and either H 2 O or O 3 as precursors. Successful growth using In(acac) 3 is contradictory to what has been reported previously in the literature [J.W. Elam, A.B.F. Martinson, M.J. Pellin, J.T. Hupp, Chem. Mater. 18 (2006) 3571.]. Investigation of the dependence of temperature on the deposition shows windows where the growth rates are relatively unaffected by temperature in the ranges 165-200 o C for In(acac) 3 and H 2 O, 165-225 o C for In(acac) 3 and O 3 . The growth rates obtained are of the order 20 pm/cycle for In(acac) 3 and H 2 O, 12 pm/cycle for In(acac) 3 .

  2. One-Minute Room-Temperature Transfer-Free Production of Mono- and Few-Layer Polycrystalline Graphene on Various Substrates.

    Science.gov (United States)

    Jiang, Shenglin; Zeng, Yike; Zhou, Wenli; Miao, Xiangshui; Yu, Yan

    2016-01-14

    Graphene deposited on various substrates has attracted the attention of the scientific and technical communities for use in a wide range of applications. Graphene on substrates is commonly produced by two types of methods, namely, methods that require a transfer step and transfer-free methods. Compared with methods that require a transfer step, transfer-free methods have a simpler procedure and a lower cost. Thus, transfer-free methods have considerable potential to meet the industrial and commercial demands of production methods. However, some limitations of the current transfer-free methods must be overcome, such as the high temperatures encountered during production, the relatively long manufacturing times, incompatibilities for both rigid and flexible substrates, and an inability to extend the process to other two-dimensional (2-D) atomic crystals. In this work, a room-temperature rubbing method is developed for the rapid transfer-free production of defect-free polycrystalline graphene on rigid and flexible substrates. Starting with inexpensive commercially obtained graphite powder, mono- and few-layer graphene can be fabricated directly on various substrates, with an average production time of less than one minute (from raw graphite to graphene on the substrate). Importantly, this method can be extended to other 2-D atomic crystals.

  3. Designing high-Performance layered thermoelectric materials through orbital engineering

    DEFF Research Database (Denmark)

    Zhang, Jiawei; Song, Lirong; Madsen, Georg K. H.

    2016-01-01

    Thermoelectric technology, which possesses potential application in recycling industrial waste heat as energy, calls for novel high-performance materials. The systematic exploration of novel thermoelectric materials with excellent electronic transport properties is severely hindered by limited...... insight into the underlying bonding orbitals of atomic structures. Here we propose a simple yet successful strategy to discover and design high-performance layered thermoelectric materials through minimizing the crystal field splitting energy of orbitals to realize high orbital degeneracy. The approach...... naturally leads to design maps for optimizing the thermoelectric power factor through forming solid solutions and biaxial strain. Using this approach, we predict a series of potential thermoelectric candidates from layered CaAl2Si2-type Zintl compounds. Several of them contain nontoxic, low-cost and earth...

  4. Atmospheric spatial atomic layer deposition of in-doped ZnO

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Roozeboom, F.; Poodt, P.

    2014-01-01

    Indium-doped zinc oxide (ZnO:In) has been grown by spatial atomic layer deposition at atmospheric pressure (spatial-ALD). Trimethyl indium (TMIn), diethyl zinc (DEZ) and deionized water have been used as In, Zn and O precursor, respectively. The metal content of the films is controlled in the range

  5. Atomic-scale structure of single-layer MoS2 nanoclusters

    DEFF Research Database (Denmark)

    Helveg, S.; Lauritsen, J. V.; Lægsgaard, E.

    2000-01-01

    We have studied using scanning tunneling microscopy (STM) the atomic-scale realm of molybdenum disulfide (MoS2) nanoclusters, which are of interest as a model system in hydrodesulfurization catalysis. The STM gives the first real space images of the shape and edge structure of single-layer MoS2...

  6. Impact of Atomic Layer Deposition to NanoPhotonic Structures and Devices: A Review

    Directory of Open Access Journals (Sweden)

    Muhammad Rizwan eSaleem

    2014-10-01

    Full Text Available We review the significance of optical thin films by Atomic Layer Deposition (ALD method to fabricate nanophotonic devices and structures. ALD is a versatile technique to deposit functional coatings on reactive surfaces with conformal growth of compound materials, precise thickness control capable of angstrom resolution and coverage of high aspect ratio nanostructures using wide range of materials. ALD has explored great potential in the emerging fields of photonics, plasmonics, nano-biotechnology, and microelectronics. ALD technique uses sequential reactive chemical reactions to saturate a surface with a monolayer by pulsing of a first precursor (metal alkoxides or covalent halides, followed by reaction with second precursor molecules such as water to form the desired compound coatings. The targeted thickness of the desired compound material is controlled by the number of ALD cycles of precursor molecules that ensures the self limiting nature of reactions. The conformal growth and filling of TiO2 and Al2O3 optical material on nanostructures and their resulting optical properties have been described. The low temperature ALD-growth on various replicated sub-wavelength polymeric gratings is discussed.

  7. Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Wei-Cheng; Tsai, Meng-Chen; Yang, Jason; Hsu, Chuck; Chen, Miin-Jang

    2015-05-20

    In this study, efficient nanotextured black silicon (NBSi) solar cells composed of silicon nanowire arrays and an Al2O3/TiO2 dual-layer passivation stack on the n(+) emitter were fabricated. The highly conformal Al2O3 and TiO2 surface passivation layers were deposited on the high-aspect-ratio surface of the NBSi wafers using atomic layer deposition. Instead of the single Al2O3 passivation layer with a negative oxide charge density, the Al2O3/TiO2 dual-layer passivation stack treated with forming gas annealing provides a high positive oxide charge density and a low interfacial state density, which are essential for the effective field-effect and chemical passivation of the n(+) emitter. In addition, the Al2O3/TiO2 dual-layer passivation stack suppresses the total reflectance over a broad range of wavelengths (400-1000 nm). Therefore, with the Al2O3/TiO2 dual-layer passivation stack, the short-circuit current density and efficiency of the NBSi solar cell were increased by 11% and 20%, respectively. In conclusion, a high efficiency of 18.5% was achieved with the NBSi solar cells by using the n(+)-emitter/p-base structure passivated with the Al2O3/TiO2 stack.

  8. Integrated high-transition temperature magnetometer with only two superconducting layers

    DEFF Research Database (Denmark)

    Kromann, R.; Kingston, J.J.; Miklich, A.H.

    1993-01-01

    We describe the fabrication and testing of an integrated YBa2Cu3O7-x thin-film magnetometer consisting of a dc superconducting quantum interference device (SQUID), with biepitaxial grain boundary junctions, integrated with a flux transformer on a single substrate. Only two superconducting layers...... are required, the SQUID body serving as the crossunder that completes the multiturn flux transformer. The highest temperature at which any of the magnetometers functioned was 76 K. At 60 K the magnetic field gain of this device was 63, and the magnetic field noise was 160 fT Hz-1/2 at 2 kHz, increasing to 3...

  9. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  10. A possibility of local measurements of ion temperature in a high-temperature plasma by laser induced ionization

    International Nuclear Information System (INIS)

    Kantor, M

    2012-01-01

    A new diagnostic for local measurements of ion temperature and drift velocity in fusion plasmas is proposed in the paper. The diagnostic is based on laser induced ionization of excited hydrogen and deuterium atoms from the levels which ionization energy less than the laser photon energy. A high intensive laser beam ionizes nearly all the excited atoms in the beam region resulting in a quench of spontaneous line emission of the appropriate optical transitions. The measurements of the quenching emission have been used in the past for local measurements of hydrogen atom density in tokamak plasma. The idea of the new diagnostic is spectral resolution of the quenching emission. The measured spectrum relates directly to the velocity distribution of the excited atoms. This distribution is strongly coupled to the distribution of the hydrogen atoms at the ground state. So, the spectral resolution of quenching emission is a way of local measurements of the temperature and drift velocity of hydrogen atoms in plasma. The temperature of hydrogen atoms is well coupled to the local ion temperature as long as the mean free path of the atoms is shorter than the ion gradient length in plasma. In this case the new diagnostic can provide local measurements of ion temperature in plasma. The paper considers technical capabilities of the diagnostic, physical restrictions of its application and interpretation of the measurements.

  11. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  12. A pressure tuned stop-flow atomic layer deposition process for MoS2 on high porous nanostructure and fabrication of TiO2/MoS2 core/shell inverse opal structure

    Science.gov (United States)

    Li, Xianglin; Puttaswamy, Manjunath; Wang, Zhiwei; Kei Tan, Chiew; Grimsdale, Andrew C.; Kherani, Nazir P.; Tok, Alfred Iing Yoong

    2017-11-01

    MoS2 thin films are obtained by atomic layer deposition (ALD) in the temperature range of 120-150 °C using Mo(CO)6 and dimethyl disulfide (DMDS) as precursors. A pressure tuned stop-flow ALD process facilitates the precursor adsorption and enables the deposition of MoS2 on high porous three dimensional (3D) nanostructures. As a demonstration, a TiO2/MoS2 core/shell inverse opal (TiO2/MoS2-IO) structure has been fabricated through ALD of TiO2 and MoS2 on a self-assembled multilayer polystyrene (PS) structure template. Due to the self-limiting surface reaction mechanism of ALD and the utilization of pressure tuned stop-flow ALD processes, the as fabricated TiO2/MoS2-IO structure has a high uniformity, reflected by FESEM and FIB-SEM characterization. A crystallized TiO2/MoS2-IO structure can be obtained through a post annealing process. As a 3D photonic crystal, the TiO2/MoS2-IO exhibits obvious stopband reflecting peaks, which can be adjusted through changing the opal diameters as well as the thickness of MoS2 layer.

  13. Molecular dynamics simulation of chemical sputtering of hydrogen atom on layer structured graphite

    International Nuclear Information System (INIS)

    Ito, A.; Wang, Y.; Irle, S.; Morokuma, K.; Nakamura, H.

    2008-10-01

    Chemical sputtering of hydrogen atom on graphite was simulated using molecular dynamics. Especially, the layer structure of the graphite was maintained by interlayer intermolecular interaction. Three kinds of graphite surfaces, flat (0 0 0 1) surface, armchair (1 1 2-bar 0) surface and zigzag (1 0 1-bar 0) surface, are dealt with as targets of hydrogen atom bombardment. In the case of the flat surface, graphene layers were peeled off one by one and yielded molecules had chain structures. On the other hand, C 2 H 2 and H 2 are dominant yielded molecules on the armchair and zigzag surfaces, respectively. In addition, the interaction of a single hydrogen isotope on a single graphene is investigated. Adsorption, reflection and penetration rates are obtained as functions of incident energy and explain hydrogen retention on layered graphite. (author)

  14. Synthesis of Functional Ceramic Supports by Ice Templating and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michaela Klotz

    2018-05-01

    Full Text Available In this work, we report an innovative route for the manufacturing of functional ceramic supports, by combining ice templating of yttria stabilized zirconia (YSZ and atomic layer deposition (ALD of Al2O3 processes. Ceramic YSZ monoliths are prepared using the ice-templating process, which is based on the controlled crystallization of water following a thermal gradient. Sublimation of the ice and the sintering of the material reveal the straight micrometer sized pores shaped by the ice crystal growth. The high temperature sintering allows for the ceramic materials to present excellent mechanical strength and porosities of 67%. Next, the conformality benefit of ALD is used to deposit an alumina coating at the surface of the YSZ pores, in order to obtain a functional material. The Al2O3 thin films obtained by ALD are 100 nm thick and conformally deposited within the macroporous ceramic supports, as shown by SEM and EDS analysis. Mercury intrusion experiments revealed a reduction of the entrance pore diameter, in line with the growth per cycle of 2 Å of the ALD process. In addition to the manufacture of the innovative ceramic nanomaterials, this article also describes the fine characterization of the coatings obtained using mercury intrusion, SEM and XRD analysis.

  15. Non-equilibrium effects in high temperature chemical reactions

    Science.gov (United States)

    Johnson, Richard E.

    1987-01-01

    Reaction rate data were collected for chemical reactions occurring at high temperatures during reentry of space vehicles. The principle of detailed balancing is used in modeling kinetics of chemical reactions at high temperatures. Although this principle does not hold for certain transient or incubation times in the initial phase of the reaction, it does seem to be valid for the rates of internal energy transitions that occur within molecules and atoms. That is, for every rate of transition within the internal energy states of atoms or molecules, there is an inverse rate that is related through an equilibrium expression involving the energy difference of the transition.

  16. Effects of Co layer thickness and annealing temperature on the magnetic properties of inverted [Pt/Co] multilayers

    International Nuclear Information System (INIS)

    Lee, Tae Young; Chan Won, Young; Su Son, Dong; Lee, Seong-Rae; Ho Lim, Sang

    2013-01-01

    The effects of Co layer thickness and annealing temperature on the perpendicular magnetic anisotropy (PMA) properties of inverted [Pt (0.2 nm)/Co (t Co )] 6 multilayers (where t Co indicates the thickness of the Co layer) have been investigated. The cross-sectional microstructure, as observed from the high-resolution transmission electron microscope images, shows a clear layered structure with atomically flat interfaces both in the as-deposited state as well as after annealing, indicating the interface effects for PMA. The effective PMA energy density (K eff ) increases significantly with an increase in t Co from 0.2 to 0.28 nm and then becomes almost saturated with further increases in t Co , followed by a slight reduction at the highest Co thickness, t Co  = 0.6 nm. In order to explain the t Co dependence on K eff , the intrinsic PMA energy density (K i ) is calculated by additionally measuring a similar set of results for the saturation magnetization. The K i value increases nearly linearly with the increase in t Co from 0.2 to 0.5 nm, followed by saturation at a higher t Co value of 0.6 nm. Owing to a close relationship between K i and the quality of the interfaces, these results indicate a similar t Co dependence on the quality of the interfaces. This is further supported from the magnetic measurements of the samples annealed at the highest temperature of 500 °C, where a second phase is formed, which show a similar t Co dependence on the amount of the second phase. The K i value is nearly independent of the annealing temperature at t Co  ≤ 0.4 nm, above which a substantial reduction is observed, when the annealing temperature exceeds 500 °C

  17. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  18. Quasispin model of itinerant magnetism: High-temperature theory

    International Nuclear Information System (INIS)

    Liu, S.H.

    1977-01-01

    The high-temperature properties of itinerant magnetic systems are examined by using the coherent-potential approximation. We assume a local moment on each atom so that at elevated temperatures there is a number of reversed spins. The coherent potential is solved, and from that the moment on each atom is determined self-consistently. It is found that when the condition for ferromagnetic ordering is satisfied, the local moments persist even above the critical temperature. Conversely, if local moments do not exist at high temperatures, the system can at most condense into a spin-density-wave state. Furthermore, spin-flip scatterings of the conduction electrons from the local moments give rise to additional correlation not treated in the coherent-potential approximation. This correlation energy is an important part of the coupling energy of the local moments. The relations between our work and the theories of Friedel, Hubbard, and others are discussed

  19. Atomic layer deposition of ruthenium surface-coating on porous platinum catalysts for high-performance direct ethanol solid oxide fuel cells

    Science.gov (United States)

    Jeong, Heon Jae; Kim, Jun Woo; Jang, Dong Young; Shim, Joon Hyung

    2015-09-01

    Pt-Ru bi-metallic catalysts are synthesized by atomic layer deposition (ALD) of Ru surface-coating on sputtered Pt mesh. The catalysts are evaluated in direct ethanol solid oxide fuel cells (DESOFCs) in the temperature range of 300-500 °C. Island-growth of the ALD Ru coating is confirmed by transmission electron microscopy and X-ray photoelectron spectroscopy (XPS) analyses. The performance of the DESOFCs is evaluated based on the current-voltage output and electrochemical impedance spectroscopy. Genuine reduction of the polarization impedance, and enhanced power output with improved surface kinetics are achieved with the optimized ALD Ru surface-coating compared to bare Pt. The chemical composition of the Pt/ALD Ru electrode surface after fuel cell operation is analyzed via XPS. Enhanced cell performance is clearly achieved, attributed to the effective Pt/ALD Ru bi-metallic catalysis, including oxidation of Cdbnd O by Ru, and de-protonation of ethanol and cleavage of C-C bonds by Pt, as supported by surface morphology analysis which confirms formation of a large amount of carbon on bare Pt after the ethanol-fuel-cell test.

  20. High temperature facility for atomic physics studies. Final report

    International Nuclear Information System (INIS)

    1978-01-01

    The results of a program designed to develop a laser heated plasma sample for atomic physics studies in the 30 to 100 eV range of electron temperature and the 3 x 10 17 to 10 18 cm -3 range in electron density are presented. The approach used was discussed in detail in Mathematical Sciences Northwest, Inc., (MSNW) Proposal 1660, that is, the laser breakdown mode of heating in a slow solenoid. An extensive rework of the plasma sample facility was done in order to use this mode of heating. Specifically, a new solenoid magnet was constructed to allow higher field operation and the plasma chamber was modified to allow the use of puff filling orifices and small bore tube liners. The vacuum system and focussing optics were changed to allow the use of an on-axis Cassagranian system capable of focussing the laser radiation to a nearly diffraction limited spot as is necessary when heating through a small aperture. The 10 liter CO 2 laser optics were charged to an unstable oscillator configuration and additional windows were provided into the optical cavity for alignment purposes