WorldWideScience

Sample records for high-quality a-si films

  1. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  2. High quality β-FeSi2 thin films prepared on silicon (100) by using pulsed laser ablation of Fe target

    International Nuclear Information System (INIS)

    Xu, S.C.; Yang, C.; Liu, M.; Jiang, S.Z.; Ma, Y.Y.; Chen, C.S.; Gao, X.G.; Sun, Z.C.; Hu, B.; Wang, C.C.; Man, B.Y.

    2012-01-01

    High quality β-FeSi 2 thin films have been fabricated on silicon (100) substrate by the pulsed laser deposition (PLD) technique with the Fe and sintered FeSi 2 targets. The crystalline quality and surface morphology of the samples were characterized by X-ray diffraction (XRD), scanning electron microscope (SEM), atomic force microscope (AFM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared (FTIR) spectroscopy. These results indicate that the samples prepared with a Fe target can acquire a better crystalline quality and a smoother surface than those with a sintered FeSi 2 target. The reasons were discussed with subsurface superheating mechanism. The intrinsic PL spectrum attributed to the interband transition of β-FeSi 2 for all the samples was compared, showing that the film prepared with Fe target can acquire a good PL property by optimizing experimental parameters. It is suggested that sputtering Fe on Si substrate by the pulsed laser offers a cheap and convenient way to prepare the β-FeSi 2 thin films. -- Highlights: ► β-FeSi 2 films were fabricated by PLD technique with the Fe and FeSi 2 targets. ► The films prepared with Fe target have good crystalline quality and smooth surface. ► The Fe target prepared film acquired a high PL intensity. ► Sputtering Fe on Si substrate offers a convenient way to prepare the β-FeSi 2 films.

  3. Improvement of the quality of a-SiGe:H films

    Energy Technology Data Exchange (ETDEWEB)

    Sadamoto, M.; Saitoh, K.; Ishiguro, N.; Yanagawa, N.; Tanaka, H.; Fukuda, S.; Ashida, Y.; Fukuda, N. [Central Research Institute, Mitsui Toatsu Chemicals Inc., Sakae-ku, Yokohama (Japan)

    1996-05-15

    The ADHT (alternately repeating deposition and hydrogen plasma treatment) method and the DLE (deposition of low emission) method were developed for the formation of high quality a-SiGe:H (hydrogenated amorphous silicon germanium) films. High photosensitivity was obtained by the ADHT and DLE methods, with a wide range of optical bandgaps between 1.3 eV and 1.7 eV, higher than those of films obtained by the hydrogen dilution method. It was also proved that these films were solar cell device-grade. A conversion efficiency of 8.9% was obtained with a bandgap of 1.6 eV by the ADHT method, and a conversion efficiency of 8.2% and a short circuit current of 20.4 mA/cm{sup 2} were obtained with a bandgap of 1.47 eV by the DLE method

  4. High-rate synthesis of microcrystalline silicon films using high-density SiH4/H2 microwave plasma

    International Nuclear Information System (INIS)

    Jia, Haijun; Saha, Jhantu K.; Ohse, Naoyuki; Shirai, Hajime

    2007-01-01

    A high electron density (> 10 11 cm -3 ) and low electron temperature (1-2 eV) plasma is produced by using a microwave plasma source utilizing a spoke antenna, and is applied for the high-rate synthesis of high quality microcrystalline silicon (μc-Si) films. A very fast deposition rate of ∼ 65 A/s is achieved at a substrate temperature of 150 deg. C with a high Raman crystallinity and a low defect density of (1-2) x 10 16 cm -3 . Optical emission spectroscopy measurements reveal that emission intensity of SiH and intensity ratio of H α /SiH are good monitors for film deposition rate and film crystallinity, respectively. A high flux of film deposition precursor and atomic hydrogen under a moderate substrate temperature condition is effective for the fast deposition of highly crystallized μc-Si films without creating additional defects as well as for the improvement of film homogeneity

  5. Temperature variation of non-radiative recombination rate in a-Si:H films

    Energy Technology Data Exchange (ETDEWEB)

    Ogihara, C. [Department of Applied Science, Yamaguchi University, Ube 755-8611 (Japan); Morigaki, K. [Department of Electrical and Digital-System Engineering, Hiroshima Institute of Technology, Miyake, Saeki-ku, Hiroshima 731-5193 (Japan); resent address: C-305, 2-12 Wakabadai, Inagi, Tokyo 206-0824 (Japan)

    2012-12-15

    Temperature variation of the recombination rates has been investigated for the electron-hole pairs responsible for defect PL in a defective a-Si:H film as grown. The results are compared with those obtained for a high-quality a-Si:H film after illumination. The results of the nonradiative recombination rate are fitted by a theoretical prediction for the case of strong electron-phonon coupling in the case of the defective a-Si:H film similarly to the case of the illuminated high-quality a-Si:H film. Difference between the frequency of the phonon associated with the non-radiative recombination process in the defective a-Si:H film and that in the illuminated highquality a-Si:H film is discussed by considering the influence of the amorphous network in the a-Si:H films affected by the preparation conditions and the nature of the native and photo-created defects. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Heteroepitaxial growth of SiC films by carbonization of polyimide Langmuir-Blodgett films on Si

    Directory of Open Access Journals (Sweden)

    Goloudina S.I.

    2017-01-01

    Full Text Available High quality single crystal SiC films were prepared by carbonization of polyimide Langmuir-Blodgett films on Si substrate. The films formed after annealing of the polyimide films at 1000°C, 1100°C, 1200°C were studied by Fourier transform-infrared (FTIR spectroscopy, X-ray diffraction (XRD, Raman spectroscopy, transmission electon microscopy (TEM, transmission electron diffraction (TED, and scanning electron microscopy (SEM. XRD study and HRTEM cross-section revealed that the crystalline SiC film begins to grow on Si (111 substrate at 1000°C. According to the HRTEM cross-section image five planes in 3C-SiC (111 film are aligned with four Si(111 planes at the SiC/Si interface. It was shown the SiC films (35 nm grown on Si(111 at 1200°C have mainly cubic 3C-SiC structure with a little presence of hexagonal polytypes. Only 3C-SiC films (30 nm were formed on Si (100 substrate at the same temperature. It was shown the SiC films (30-35 nm are able to cover the voids in Si substrate with size up to 10 μm.

  7. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  8. Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films

    International Nuclear Information System (INIS)

    Ohdaira, Keisuke; Tomura, Naohito; Ishii, Shohei; Matsumura, Hideki

    2011-01-01

    We investigate residual forms of hydrogen (H) atoms such as bonding configuration in poly-crystalline silicon (poly-Si) films formed by the flash-lamp-induced crystallization of catalytic chemical vapor deposited (Cat-CVD) a-Si films. Raman spectroscopy reveals that at least part of H atoms in flash-lamp-crystallized (FLC) poly-Si films form Si-H 2 bonds as well as Si-H bonds with Si atoms even using Si-H-rich Cat-CVD a-Si films, which indicates the rearrangement of H atoms during crystallization. The peak desorption temperature during thermal desorption spectroscopy (TDS) is as high as 900 o C, similar to the reported value for bulk poly-Si.

  9. Low-temperature growth of high quality AlN films on carbon face 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Myunghee [Department of General Systems Studies, The University of Tokyo, 3-8-1 Komaba, Meguro-ku, Tokyo 153-8902 (Japan); Ohta, Jitsuo; Fujioka, Hiroshi [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Tokyo 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Kawasaki 213-0012 (Japan); Kobayashi, Atsushi [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Tokyo 153-8505 (Japan); Oshima, Masaharu [Department of General Systems Studies, The University of Tokyo, 3-8-1 Komaba, Meguro-ku, Tokyo 153-8902 (Japan); Department of Applied Chemistry, The University of Tokyo, 4-3-1 Hongo, Tokyo 113-8656 (Japan); Core Research for Evolutional Science and Technology (CREST), Japan Science and Technology Agency (JST), Chiyoda-ku, Tokyo 102-0075 (Japan)

    2008-01-15

    AlN films have been grown on atomically flat carbon face 6H-SiC (000 anti 1) substrates by pulsed laser deposition and their structural properties have been investigated. In-situ reflection high-energy electron diffraction observations have revealed that growth of AlN at 710 C proceeds in a Stranski-Krastanov mode, while typical layer-by-layer growth occurs at room temperature (RT) with atomically flat surfaces. It has been revealed that the crystalline quality of the AlN film is dramatically improved by the reduction in growth temperature down to RT and the full width at half maximum values in the X-ray rocking curves for 0004 and 10 anti 12 diffractions of the RT-grown AlN film are 0.05 and 0.07 , respectively. X-ray reciprocal space mapping has revealed that the introduction of misfit dislocations is suppressed in the case of RT growth, which is probably responsible for the improvement in crystalline quality. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  11. Research and development of photovoltaic power system. Study on growth mechanism of a-Si:H and preparation of the stable, high quality films; Taiyoko hatsuden system no kenkyu kaihatsu. Amorphous silicon no seimaku kiko to kohinshitsuka

    Energy Technology Data Exchange (ETDEWEB)

    Hirose, M [Hiroshima University, Hiroshima (Japan). Faculty of Engineering

    1994-12-01

    This paper reports the result obtained during fiscal 1994 on research on a film forming mechanism for amorphous silicon for solar cells and its quality improvement. In in-situ observation on plasma CVD surface reaction by using the total reflection infrared absorbing spectroscopy, an observation on a real time basis was performed on the reaction process of an a-Si:H surface in contact with gas mixture plasma composed of SiH4 + CH4. In microscopic observation on initial processes of amorphous silicon growth, surface morphological change before and after a-Si:H deposition at 200{degree}C was observed by using an inter-atomic force microscope. The observation verified that a-Si:H has grown to an atomic layer. In research on defect density in a-Si:H fabricated under high-speed film forming conditions, analysis was made on correlation between the film forming speed at 250{degree}C and defect density in the film. Other research works include those on a high-quality a-SiGe:H film fabricated by using the nanometer film forming/hydrogen plasma annealing method, modulated doping into multi-layer films of a-Si:H/a-Ge:H, and thin film transistor using very thin multi layer films of a-Si:H/a-Ge:H. 5 refs., 12 figs.

  12. Effect of hydrogen on passivation quality of SiNx/Si-rich SiNx stacked layers deposited by catalytic chemical vapor deposition on c-Si wafers

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2015-01-01

    We investigate the role of hydrogen content and fixed charges of catalytic chemical vapor deposited (Cat-CVD) SiN x /Si-rich SiN x stacked layers on the quality of crystalline silicon (c-Si) surface passivation. Calculated density of fixed charges is on the order of 10 12 cm −2 , which is high enough for effective field effect passivation. Hydrogen content in the films is also found to contribute significantly to improvement in passivation quality of the stacked layers. Furthermore, Si-rich SiN x films deposited with H 2 dilution show better passivation quality of SiN x /Si-rich SiN x stacked layers than those prepared without H 2 dilution. Effective minority carrier lifetime (τ eff ) in c-Si passivated by SiN x /Si-rich SiN x stacked layers is as high as 5.1 ms when H 2 is added during Si-rich SiN x deposition, which is much higher than the case of using Si-rich SiN x films prepared without H 2 dilution showing τ eff of 3.3 ms. - Highlights: • Passivation mechanism of Si-rich SiN x /SiN x stacked layers is investigated. • H atoms play important role in passivation quality of the stacked layer. • Addition of H 2 gas during Si-rich SiN x film deposition greatly enhances effective minority carrier lifetime (τ eff ). • For a Si-rich SiN x film with refractive index of 2.92, τ eff improves from 3.3 to 5.1 ms by H 2 addition

  13. Highly stable carbon-doped Cu films on barrierless Si

    International Nuclear Information System (INIS)

    Zhang, X.Y.; Li, X.N.; Nie, L.F.; Chu, J.P.; Wang, Q.; Lin, C.H.; Dong, C.

    2011-01-01

    Electrical resistivities and thermal stabilities of carbon-doped Cu films on silicon have been investigated. The films were prepared by magnetron sputtering using a Cu-C alloy target. After annealing at 400 deg. C for 1 h, the resistivity maintains a low level at 2.7 μΩ-cm and no Cu-Si reaction is detected in the film by X-ray diffraction (XRD) and transmission electron microscopy (TEM) observations. According to the secondary ion mass spectroscopy (SIMS) results, carbon is enriched near the interfacial region of Cu(C)/Si, and is considered responsible for the growth of an amorphous Cu(C)/Si interlayer that inhibits the Cu-Si inter-diffusion. Fine Cu grains, less than 100 nm, were present in the Cu(C) films after long-term and high-temperature annealings. The effect of C shows a combination of forming a self-passivated interface barrier layer and maintaining a fine-grained structure of Cu. A low current leakage measured on this Cu(C) film also provides further evidence for the carbon-induced diffusion barrier interlayer performance.

  14. Microstructure and high-temperature tribological properties of Si-doped hydrogenated diamond-like carbon films

    Science.gov (United States)

    Zhang, Teng Fei; Wan, Zhi Xin; Ding, Ji Cheng; Zhang, Shihong; Wang, Qi Min; Kim, Kwang Ho

    2018-03-01

    Si-doped DLC films have attracted great attention for use in tribological applications. However, their high-temperature tribological properties remain less investigated, especially in harsh oxidative working conditions. In this study, Si-doped hydrogenated DLC films with various Si content were synthesized and the effects of the addition of Si on the microstructural, mechanical and high-temperature tribological properties of the films were investigated. The results indicate that Si doping leads to an obvious increase in the sp3/sp2 ratio of DLC films, likely due to the silicon atoms preferentially substitute the sp2-hybridized carbon atoms and augment the number of sp3 sites. With Si doping, the mechanical properties, including hardness and adhesion strength, were improved, while the residual stress of the DLC films was reduced. The addition of Si leads to higher thermal and mechanical stability of DLC films because the Si atoms inhibit the graphitization of the films at an elevated temperature. Better high-temperature tribological properties of the Si-DLC films under oxidative conditions were observed, which can be attributed to the enhanced thermal stability and formation of a Si-containing lubricant layer on the surfaces of the wear tracks. The nano-wear resistance of the DLC films was also improved by Si doping.

  15. Ultra-high current density thin-film Si diode

    Science.gov (United States)

    Wang, Qi [Littleton, CO

    2008-04-22

    A combination of a thin-film .mu.c-Si and a-Si:H containing diode structure characterized by an ultra-high current density that exceeds 1000 A/cm.sup.2, comprising: a substrate; a bottom metal layer disposed on the substrate; an n-layer of .mu.c-Si deposited the bottom metal layer; an i-layer of .mu.c-Si deposited on the n-layer; a buffer layer of a-Si:H deposited on the i-layer, a p-layer of .mu.c-Si deposited on the buffer layer; and a top metal layer deposited on the p-layer.

  16. Marbled texture of sputtered Al/Si alloy thin film on Si

    Energy Technology Data Exchange (ETDEWEB)

    Gentile, M.G. [Physics Department and NIS Interdepartmental Center, University of Torino, via P. Giuria 1, 10125 Torino (Italy); Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Muñoz-Tabares, J.A.; Chiodoni, A. [Istituto Italiano di Tecnologia, Center for Space Human Robotics, Corso Trento 21, 10129 Torino (Italy); Sgorlon, C. [Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Para, I. [Department of Applied Science and Technology (DISAT), Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Torino (Italy); Carta, R.; Richieri, G. [Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Bejtka, K. [Istituto Italiano di Tecnologia, Center for Space Human Robotics, Corso Trento 21, 10129 Torino (Italy); Merlin, L. [Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Vittone, E. [Physics Department and NIS Interdepartmental Center, University of Torino, via P. Giuria 1, 10125 Torino (Italy)

    2016-08-01

    DC magnetron sputtering is a commonly used technique for the fabrication of silicon based electronic devices, since it provides high deposition rates and uniform large area metallization. However, in addition to the thickness uniformity, coating optical uniformity is a crucial need for semiconductor industrial processes, due to the wide use of optical recognition tools. In the silicon-based technology, aluminum is one of the most used materials for the metal contact. Both the pre-deposition substrate cleaning and the sputtering conditions determine the quality and the crystalline properties of the final Al deposited film. In this paper is shown that not all the mentioned conditions lead to good quality and uniform Al films. In particular, it is shown that under certain standard process conditions, Al/Si alloy (1% Si) metallization on a [100] Si presents a non-uniform reflectivity, with a marbled texture caused by flakes with milky appearance. This optical inhomogeneity is found to be caused by the coexistence of randomly orient Al/Si crystal, with heteroepitaxial Al/Si crystals, both grown on Si substrate. Based on the microstructural analysis, some strategies to mitigate or suppress this marbled texture of the Al thin film are proposed and discussed. - Highlights: • Sputtered Al/Si layers deposited on Si present evident optical non-uniformity • It could be an issue for optical recognition tools used in semiconductor industries • Optical non-uniformity is due to randomly oriented growth of Al grains. • Substrate misorientation and process temperature can mitigate the problem.

  17. Growth and characterization of high quality ZnS thin films by RF sputtering

    Science.gov (United States)

    Mukherjee, C.; Rajiv, K.; Gupta, P.; Sinha, A. K.; Abhinandan, L.

    2012-06-01

    High optical quality ZnS films are deposited on glass and Si wafer by RF sputtering from pure ZnS target. Optical transmittance, reflectance, ellipsometry, FTIR and AFM measurements are carried out. Effect of substrate temperature and chamber baking for long duration on film properties have been studied. Roughness of the films as measured by AFM are low (1-2Å).

  18. Interdiffusion studies on high-Tc superconducting YBa2Cu3O7-δ thin films on Si(111) with a NiSi2/ZrO2 buffer layer

    DEFF Research Database (Denmark)

    Aarnink, W.A.M.; Blank, D.H.A.; Adelerhof, D.J.

    1991-01-01

    Interdiffusion studies on high-T(c) superconducting YBa2Cu3O7-delta thin films with thickness in the range of 2000-3000 angstrom, on a Si(111) substrate with a buffer layer have been performed. The buffer layer consists of a 400 angstrom thick epitaxial NiSi2 layer covered with 1200 angstrom...... of polycrystalline ZrO2. YBa2Cu3O7-delta films were prepared using laser ablation. The YBa2Cu3O7-delta films on the Si/NiSi2/ZrO2 substrates are of good quality; their critical temperatures T(c,zero) and T(c,onset) have typical values of 85 and 89 K, respectively. The critical current density j(c) at 77 K equaled 4...... x 10(4) A/cm2. With X-ray analysis (XRD), only c-axis orientation has been observed. The interdiffusion studies, using Rutherford backscattering spectrometry (RBS) and scanning Auger microscopy (SAM) show that the ZrO2 buffer layer prevents severe Si diffusion to the YBa2Cu3O7-delta layer, the Si...

  19. Photoluminescence of Mg_2Si films fabricated by magnetron sputtering

    International Nuclear Information System (INIS)

    Liao, Yang-Fang; Xie, Quan; Xiao, Qing-Quan; Chen, Qian; Fan, Meng-Hui; Xie, Jing; Huang, Jin; Zhang, Jin-Min; Ma, Rui; Wang, Shan-Lan; Wu, Hong-Xian; Fang, Di

    2017-01-01

    Highlights: • High quality Mg_2Si films were grown on Si (111) and glass substrates with magnetron sputtering, respectively. • The first observation of Photoluminescence (PL) of Mg_2Si films was reported. • The Mg_2Si PL emission wavelengths are almost independence on temperature in the range of 77–300 K. • The strongest PL emissions may be attributed to interstitial Mg donor level to valence band transitions. • The activation energy of Mg_2Si is determined from the quenching of major luminescence peaks. - Abstract: To understand the photoluminescence mechanisms and optimize the design of Mg_2Si-based light-emitting devices, Mg_2Si films were fabricated on silicon (111) and glass substrates by magnetron sputtering technique, and the influences of different substrates on the photoelectric properties of Mg_2Si films were investigated systematically. The crystal structure, cross-sectional morphology, composition ratios and temperature-dependent photoluminescence (PL) of the Mg_2Si films were examined using X-ray diffraction (XRD), Scanning electron microscope (SEM), energy dispersive X-ray spectroscopy (EDS) and PL measurement system, respectively. XRD results indicate that the Mg_2Si film on Si (111) displays polycrystalline structure, whereas Mg_2Si film on glass substrate is of like-monocrystalline structure.SEM results show that Mg_2Si film on glass substrate is very compact with a typical dense columnar structure, and the film on Si substrate represents slight delamination phenomenon. EDS results suggest that the stoichiometry of Mg and Si is approximately 2:1. Photoluminescence (PL) of Mg_2Si films was observed for the first time. The PL emission wavelengths of Mg_2Si are almost independence on temperature in the range of 77–300 K. The PL intensity decreases gradually with increasing temperature. The PL intensity of Mg_2Si films on glass substrate is much larger than that of Mg_2Si film on Si (111) substrate. The activation energy of 18 meV is

  20. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  1. β-FeSi2 films prepared on 6H-SiC substrates by magnetron sputtering

    Science.gov (United States)

    Hong, Li; Hongbin, Pu; Chunlei, Zheng; Zhiming, Chen

    2015-06-01

    β-FeSi2 thin films have been successfully prepared by magnetron sputtering and post rapid thermal annealing method on 6H-SiC (0001) substrates using a FeSi2 target and a Si target. X-ray diffraction (XRD) and Raman spectroscopy are applied to analyze the formation of β-FeSi2 films. XRD spectra reveal that the amorphous FeSi2 films are transformed to β-FeSi2 phase as the annealing temperature is increased from 500 to 900 °C for 5 min and the optimal annealing temperature is 900 °C. The formation of β-FeSi2 is also confirmed by Raman spectroscopy. Scanning electron microscope (SEM) observations indicate that the film is flat, relatively compact and the interface between β-FeSi2 and 6H-SiC is clear. Atomic force microscope (AFM) measurements demonstrate that the surface roughness confirmed by the root mean square (RMS) of the β-FeSi2 film is 0.87 nm. Near-infrared spectrophotometer observation shows that the absorption coefficient is of the order of 105 cm-1 and the optical band-gap of the β-FeSi2 film is 0.88 eV. The β-FeSi2 film with high crystal quality is fabricated by co-sputtering a FeSi2 target and a Si target for 60 min and annealing at 900 °C for 5 min. Project supported by the National Natural Science Foundation of China (No. 51177134) and the Natural Science Basic Research Plan in Shaanxi Province of China (No. 2015JM6286).

  2. High performance a-Si solar cells and new fabrication methods for a-Si solar cells

    Science.gov (United States)

    Nakano, S.; Kuwano, Y.; Ohnishi, M.

    1986-12-01

    The super chamber, a separated UHV reaction-chamber system has been developed. A conversion efficiency of 11.7% was obtained for an a-Si solar cell using a high-quality i-layer deposited by the super chamber, and a p-layer fabricated by a photo-CVD method. As a new material, amorphous superlattice-structure films were fabricated by the photo-CVD method for the first time. Superlattice structure p-layer a-Si solar cells were fabricated, and a conversion efficiency of 10.5% was obtained. For the fabrication of integrated type a-Si solar cell modules, a laser pattering method was investigated. A thermal analysis of the multilayer structure was done. It was confirmed that selective scribing for a-Si, TCO and metal film is possible by controlling the laser power density. Recently developed a-Si solar power generation systems and a-Si solar cell roofing tiles are also described.

  3. Transport and stability studies on high band gap a-Si:H films ...

    Indian Academy of Sciences (India)

    which are responsible for light-induced degradation by strong Si–Si bonds. This results in ... The films reported have very high deposition rate (4–5 Å/s) compared to that reported .... Room temperature dark conductivity of the SC films ranges from ~10−10 to ... dilution increases σd considerably with smaller activation energy.

  4. Preparation of SiC thin films by ion beam technology and PECVD

    International Nuclear Information System (INIS)

    Chen Changqing; Ren Congxin; Yang Lixin; Yan Jinlong; Zheng Zhihong; Zhou Zuyao; Chen Ping; Liu Xianghuai; Chen Xueliang

    1998-01-01

    The formation of β-SiC buried layers in p-type Si by ion beam methods is reported and a comparison of the results obtained under different experimental conditions is made. The preparation of amorphous SiC thin films by IBED is presented and the enhanced deposition of Xe + is found superior to that of Ar + . The work of synthesizing hydrogenated amorphous SiC films by RIBS and RIBAD is described with a discussion on the dependence of some physical parameters on the partial pressure ratio pCH 4 /pAr. Finally given is a brief introduction to a high quality α-SiC:H film which is prepared by PECVD and can exhibit green luminescence at room temperature

  5. High-energy ion-beam-induced phase separation in SiOx films

    International Nuclear Information System (INIS)

    Arnoldbik, W.M.; Tomozeiu, N.; Hattum, E.D. van; Lof, R.W.; Vredenberg, A.M.; Habraken, F.H.P.M.

    2005-01-01

    The modification of the nanostructure of silicon suboxide (SiO x ) films as a result of high-energy heavy-ion irradiation has been studied for the entire range 0.1≤x x films have been obtained by radio-frequency magnetron sputter deposition. For 50 MeV 63 Cu 8+ ions and an angle of incidence of 20 deg. with the plane of the surface, and for x≥0.5, it takes a fluence of about 10 14 /cm 2 to reach a Si-O-Si infrared absorption spectrum, which is supposed to be characteristic for a Si-SiO 2 composite film structure. For smaller x values, it takes a much larger fluence. The interpretation of the IR spectra is corroborated for the surface region by results from x-ray photoelectron spectroscopy. The results present evidence for a mechanism, in which the phase separation takes place in the thermal spike, initiated by the energy deposited in many overlapping independent ion tracks. Such a process is possible since the suboxides fulfill the conditions for spinodal decomposition

  6. Photoluminescence of Mg{sub 2}Si films fabricated by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Yang-Fang [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China); School of Physics and Electronic Science of Guizhou Normal University, Guiyang 550001 (China); Xie, Quan, E-mail: qxie@gzu.edu.cn [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China); Xiao, Qing-Quan [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China); Engineering Center for Avionics Electrical and Information Network of Guizhou Provincial Colleges and Universities, Anshun 561000 (China); Chen, Qian; Fan, Meng-Hui [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China); Xie, Jing [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China); School of Physics and Electronic Science of Guizhou Normal University, Guiyang 550001 (China); Huang, Jin; Zhang, Jin-Min; Ma, Rui; Wang, Shan-Lan; Wu, Hong-Xian; Fang, Di [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China)

    2017-05-01

    Highlights: • High quality Mg{sub 2}Si films were grown on Si (111) and glass substrates with magnetron sputtering, respectively. • The first observation of Photoluminescence (PL) of Mg{sub 2}Si films was reported. • The Mg{sub 2}Si PL emission wavelengths are almost independence on temperature in the range of 77–300 K. • The strongest PL emissions may be attributed to interstitial Mg donor level to valence band transitions. • The activation energy of Mg{sub 2}Si is determined from the quenching of major luminescence peaks. - Abstract: To understand the photoluminescence mechanisms and optimize the design of Mg{sub 2}Si-based light-emitting devices, Mg{sub 2}Si films were fabricated on silicon (111) and glass substrates by magnetron sputtering technique, and the influences of different substrates on the photoelectric properties of Mg{sub 2}Si films were investigated systematically. The crystal structure, cross-sectional morphology, composition ratios and temperature-dependent photoluminescence (PL) of the Mg{sub 2}Si films were examined using X-ray diffraction (XRD), Scanning electron microscope (SEM), energy dispersive X-ray spectroscopy (EDS) and PL measurement system, respectively. XRD results indicate that the Mg{sub 2}Si film on Si (111) displays polycrystalline structure, whereas Mg{sub 2}Si film on glass substrate is of like-monocrystalline structure.SEM results show that Mg{sub 2}Si film on glass substrate is very compact with a typical dense columnar structure, and the film on Si substrate represents slight delamination phenomenon. EDS results suggest that the stoichiometry of Mg and Si is approximately 2:1. Photoluminescence (PL) of Mg{sub 2}Si films was observed for the first time. The PL emission wavelengths of Mg{sub 2}Si are almost independence on temperature in the range of 77–300 K. The PL intensity decreases gradually with increasing temperature. The PL intensity of Mg{sub 2}Si films on glass substrate is much larger than that of Mg

  7. High-resolution ellipsometric study of an n-alkane film, dotriacontane, adsorbed on a SiO2 surface

    DEFF Research Database (Denmark)

    Volkmann, U.G.; Pino, M.; Altamirano, L.A.

    2002-01-01

    -crystal substrates. Our results suggest a model of a solid dotriacontane film that has a phase closest to the SiO2 surface in which the long-axis of the molecules is oriented parallel to the interface. Above this "parallel film" phase, a solid monolayer adsorbs in which the molecules are oriented perpendicular...... at higher coverages. In addition, we have performed high-resolution ellipsometry and stray-light measurements on dotriacontane films deposited from solution onto highly oriented pyrolytic graphite substrates. After film deposition, these substrates proved to be less stable in air than SiO2....

  8. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  9. Highly efficient transparent Zn2SiO4:Mn2+ phosphor film on quartz glass

    International Nuclear Information System (INIS)

    Seo, K.I.; Park, J.H.; Kim, J.S.; Kim, G.C.; Yoo, J.H.

    2009-01-01

    Highly efficient transparent Zn 2 SiO 4 :Mn 2+ film phosphors on quartz substrates were deposited by the thermal diffusion of sputtered ZnO:Mn film. They show a textured structure with some preferred orientations. Our film phosphor shows, for the best photoluminescence (PL) brightness, a green PL brightness of about 20% of a commercial Zn 2 SiO 4 :Mn 2+ powder phosphor screen. The film shows a high transmittance of more than 10% at the red-color region. The excellence in PL brightness and transmittance can be explained in terms of the textured crystal growth with a continuous gradient of Zn 2 SiO 4 : Mn 2+ crystals.

  10. Growth of BaSi2 film on Ge(100) by vacuum evaporation and its photoresponse properties

    Science.gov (United States)

    Trinh, Cham Thi; Nakagawa, Yoshihiko; Hara, Kosuke O.; Kurokawa, Yasuyoshi; Takabe, Ryota; Suemasu, Takashi; Usami, Noritaka

    2017-05-01

    We have successfully grown a polycrystalline orthorhombic BaSi2 film on a Ge(100) substrate by an evaporation method. Deposition of an amorphous Si (a-Si) film on the Ge substrate prior to BaSi2 evaporation plays a critical role in obtaining a high-quality BaSi2 film. By controlling substrate temperature and the thickness of the a-Si film, a crack-free and single-phase polycrystalline orthorhombic BaSi2 film with a long carrier lifetime of 1.5 µs was obtained on Ge substrates. The photoresponse property of the ITO/BaSi2/Ge/Al structure was clearly observed, and photoresponsivity was found to increase with increasing substrate temperature during deposition of a-Si. Furthermore, the BaSi2 film grown on Ge showed a higher photoresponsivity than that grown on Si, indicating the potential application of evaporated BaSi2 on Ge to thin-film solar cells.

  11. Heteroepitaxial Growth of Vacuum-Evaporated Si-Ge Films on Nano structured Silicon Substrates

    International Nuclear Information System (INIS)

    Ayu Wazira Azhari; Ayu Wazira Azhari; Kamaruzzaman Sopian; Saleem Hussain Zaidi

    2015-01-01

    In this study, a low-cost vacuum-evaporated technique is used in the heteroepitaxial growth of Si-Ge films. Three different surface variations are employed: for example polished Si, Si micro pyramids and Si nano pillars profiles. A simple metal-assisted chemical etching method is used to fabricate the Si nano pillars, with Ag acting as a catalyst. Following deposition, substrates are subjected to post-deposition thermal annealing at 1000 degree Celsius to improve the crystallinity of the Ge layer. Optical and morphological studies of surface area are conducted using field emission scanning electron microscopy (FE-SEM), Energy Dispersive X-ray (EDX), Raman spectroscopy and infrared spectroscopy. From the infrared spectroscopy analysis, the energy bandgap for Si-Ge films is estimated to be around 0.94 eV. This high-quality Si-Ge film is most favourable for optics, optoelectronics and high-efficiency solar cell applications. (author)

  12. Characterization of β-FeSi II films as a novel solar cell semiconductor

    Science.gov (United States)

    Fukuzawa, Yasuhiro; Ootsuka, Teruhisa; Otogawa, Naotaka; Abe, Hironori; Nakayama, Yasuhiko; Makita, Yunosuke

    2006-04-01

    β-FeSi II is an attractive semiconductor owing to its extremely high optical absorption coefficient (α>10 5 cm -1), and is expected to be an ideal semiconductor as a thin film solar cell. For solar cell use, to prepare high quality β-FeSi II films holding a desired Fe/Si ratio, we chose two methods; one is a molecular beam epitaxy (MBE) method in which Fe and Si were evaporated by using normal Knudsen cells, and occasionally by e-gun for Si. Another one is the facing-target sputtering (FTS) method in which deposition of β-FeSi II films is made on Si substrate that is placed out of gas plasma cloud. In both methods to obtain β-FeSi II films with a tuned Fe/Si ratio, Fe/Si super lattice was fabricated by varying Fe and Si deposition thickness. Results showed significant in- and out-diffusion of host Fe and Si atoms at the interface of Si substrates into β-FeSi II layers. It was experimentally demonstrated that this diffusion can be suppressed by the formation of template layer between the epitaxial β-FeSi II layer and the substrate. The template layer was prepared by reactive deposition epitaxy (RDE) method. By fixing the Fe/Si ratio as precisely as possible at 1/2, systematic doping experiments of acceptor (Ga and B) and donor (As) impurities into β-FeSi II were carried out. Systematical changes of electron and hole carrier concentration in these samples along variation of incorporated impurities were observed through Hall effect measurements. Residual carrier concentrations can be ascribed to not only the remaining undesired impurities contained in source materials but also to a variety of point defects mainly produced by the uncontrolled stoichiometry. A preliminary structure of n-β-FeSi II/p-Si used as a solar cell indicated a conversion efficiency of 3.7%.

  13. Soft Magnetic Properties of High-Entropy Fe-Co-Ni-Cr-Al-Si Thin Films

    Directory of Open Access Journals (Sweden)

    Pei-Chung Lin

    2016-08-01

    Full Text Available Soft magnetic properties of Fe-Co-Ni-Al-Cr-Si thin films were studied. As-deposited Fe-Co-Ni-Al-Cr-Si nano-grained thin films showing no magnetic anisotropy were subjected to field-annealing at different temperatures to induce magnetic anisotropy. Optimized magnetic and electrical properties of Fe-Co-Ni-Al-Cr-Si films annealed at 200 °C are saturation magnetization 9.13 × 105 A/m, coercivity 79.6 A/m, out-of-plane uniaxial anisotropy field 1.59 × 103 A/m, and electrical resistivity 3.75 μΩ·m. Based on these excellent properties, we employed such films to fabricate magnetic thin film inductor. The performance of the high entropy alloy thin film inductors is superior to that of air core inductor.

  14. Highly Oriented Growth of Catalytically Active Zeolite ZSM‐5 Films with a Broad Range of Si/Al Ratios

    OpenAIRE

    Fu, Donglong; Schmidt, Joel E.; Ristanović, Zoran; Chowdhury, Abhishek Dutta; Meirer, Florian; Weckhuysen, Bert M.

    2017-01-01

    Abstract Highly b‐oriented zeolite ZSM‐5 films are critical for applications in catalysis and separations and may serve as models to study diffusion and catalytic properties in single zeolite channels. However, the introduction of catalytically active Al3+ usually disrupts the orientation of zeolite films. Herein, using structure‐directing agents with hydroxy groups, we demonstrate a new method to prepare highly b‐oriented zeolite ZSM‐5 films with a broad range of Si/Al ratios (Si/Al=45 to ∞)...

  15. Spin accumulation in Si channels using CoFe/MgO/Si and CoFe/AlOx/Si tunnel contacts with high quality tunnel barriers prepared by radical-oxygen annealing

    International Nuclear Information System (INIS)

    Akushichi, T.; Shuto, Y.; Sugahara, S.; Takamura, Y.

    2015-01-01

    We investigate spin injection into Si channels using three-terminal spin-accumulation (3T-SA) devices with high-quality CoFe/MgO/n-Si and CoFe/AlO x /n-Si tunnel spin-injectors whose tunnel barriers are formed by radical oxidation of Mg and Al thin films deposited on Si(100) substrates and successive annealing under radical-oxygen exposure. When the MgO and AlO x barriers are not treated by the radical-oxygen annealing, the Hanle-effect signals obtained from the 3T-SA devices are closely fitted by a single Lorentz function representing a signal due to trap spins. On the other hand, when the tunnel barriers are annealed under radical-oxygen exposure, the Hanle-effect signals can be accurately fitted by the superposition of a Lorentz function and a non-Lorentz function representing a signal due to accumulated spins in the Si channel. These results suggest that the quality improvement of tunnel barriers treated by radical-oxygen annealing is highly effective for spin-injection into Si channels

  16. Using high haze (> 90%) light-trapping film to enhance the efficiency of a-Si:H solar cells

    Science.gov (United States)

    Chu, Wei-Ping; Lin, Jian-Shian; Lin, Tien-Chai; Tsai, Yu-Sheng; Kuo, Chen-Wei; Chung, Ming-Hua; Hsieh, Tsung-Eong; Liu, Lung-Chang; Juang, Fuh-Shyang; Chen, Nien-Po

    2012-07-01

    The high haze light-trapping (LT) film offers enhanced scattering of light and is applied to a-Si:H solar cells. UV glue was spin coated on glass, and then the LT pattern was imprinted. Finally, a UV lamp was used to cure the UV glue on the glass. The LT film effectively increased the Haze ratio of glass and decreased the reflectance of a-Si:H solar cells. Therefore, the photon path length was increased to obtain maximum absorption by the absorber layer. High Haze LT film is able to enhance short circuit current density and efficiency of the device, as partial composite film generates broader scattering light, thereby causing shorter wave length light to be absorbed by the P layer so that the short circuit current density decreases. In case of lab-made a-Si:H thin film solar cells with v-shaped LT films, superior optoelectronic performances have been found (Voc = 0.74 V, Jsc = 15.62 mA/cm2, F.F. = 70%, and η = 8.09%). We observed ~ 35% enhancement of the short-circuit current density and ~ 31% enhancement of the conversion efficiency.

  17. Hard TiCx/SiC/a-C:H nanocomposite thin films using pulsed high energy density plasma focus device

    International Nuclear Information System (INIS)

    Umar, Z.A.; Rawat, R.S.; Tan, K.S.; Kumar, A.K.; Ahmad, R.; Hussain, T.; Kloc, C.; Chen, Z.; Shen, L.; Zhang, Z.

    2013-01-01

    Highlights: •The energetic ions and electron beams are used to synthesize TiC x /SiC/a-C:H films. •As-deposited crystalline and hard nanocomposite TiC x /SiC/a-C:H films are synthesized. •Very high average deposition rates of 68 nm/shot are achieved using dense plasma focus. •The maximum hardness of 22 GPa is achieved at the surface of the film. -- Abstract: Thin films of TiC x /SiC/a-C:H were synthesized on Si substrates using a complex mix of high energy density plasmas and instability accelerated energetic ions of filling gas species, emanated from hot and dense pinched plasma column, in dense plasma focus device. The conventional hollow copper anode of Mather type plasma focus device was replaced by solid titanium anode for synthesis of TiC x /SiC/a-C:H nanocomposite thin films using CH 4 :Ar admixture of (1:9, 3:7 and 5:5) for fixed 20 focus shots as well as with different number of focus shots with fixed CH 4 :Ar admixture ratio 3:7. XRD results showed the formation of crystalline TiC x /SiC phases for thin film synthesized using different number of focus shots with CH 4 :Ar admixture ratio fixed at 3:7. SEM results showed that the synthesized thin films consist of nanoparticle agglomerates and the size of agglomerates depended on the CH 4 :Ar admixture ratio as well as on the number of focus shots. Raman analysis showed the formation of polycrystalline/amorphous Si, SiC and a-C for different CH 4 :Ar ratio as well as for different number of focus shots. The XPS analysis confirmed the formation of TiC x /SiC/a-C:H composite thin film. Nanoindentation results showed that the hardness and elastic modulus values of composite thin films increased with increasing number of focus shots. Maximum values of hardness and elastic modulus at the surface of the composite thin film were found to be about 22 and 305 GPa, respectively for 30 focus shots confirming the successful synthesis of hard composite TiC x /SiC/a-C:H coatings

  18. A novel ITO/AZO/SiO2/p-Si frame SIS heterojunction fabricated by magnetron sputtering

    International Nuclear Information System (INIS)

    He, Bo; Wang, HongZhi; Li, YaoGang; Ma, ZhongQuan; Xu, Jing; Zhang, QingHong; Wang, ChunRui; Xing, HuaiZhong; Zhao, Lei; Rui, YiChuan

    2013-01-01

    Highlights: •Because the ITO/AZO double films lead to a great decrease of the lateral resistance. •The photon current can easily flow through top film entering the Cu front contact. •High photocurrent is obtained under a reverse bias. -- Abstract: The novel ITO/AZO/SiO 2 /p-Si SIS heterojunction has been fabricated by low temperature thermal oxidation an ultrathin silicon dioxide and RF sputtering deposition ITO/AZO double films on p-Si (1 0 0) polished substrate. The microstructural, optical and electrical properties of the ITO/AZO antireflection films were characterized by XRD, SEM, UV–VIS spectrophotometer, four point probe and Hall effect measurement, respectively. The results show that ITO/AZO films are of good quality. And XPS was carried out on the ultrathin SiO 2 film. The heterojunction shows strong rectifying behavior under a dark condition, which reveals that formation of a diode between AZO and p-Si. The ideality factor and the saturation current of this diode is 2.7 and 8.68 × 10 −5 A, respectively. High photocurrent is obtained under a reverse bias when the crystalline quality of ITO/AZO double films is good enough to transmit the light into p-Si. We can see that under reverse bias conditions the photocurrent of ITO/AZO/SiO 2 /p-Si SIS heterojunction is much higher than the photocurrent of AZO/SiO 2 /p-Si SIS heterojunction. Because the high quality crystallite and the good conductivity of ITO film which prepared by magnetron-sputtering on AZO film lead to a great decrease of the lateral resistance. The photon induced current can easily flow through ITO layer entering the Cu front contact. Thus, high photocurrent is obtained under a reverse bias

  19. Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    Science.gov (United States)

    Niikura, Chisato; Masuda, Atsushi; Matsumura, Hideki

    1999-07-01

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications.

  20. Nanocrystalline-diamond thin films with high pH and penicillin sensitivity prepared on a capacitive Si-SiO2 structure

    International Nuclear Information System (INIS)

    Poghossian, A.; Abouzar, M.H.; Razavi, A.; Baecker, M.; Bijnens, N.; Williams, O.A.; Haenen, K.; Moritz, W.; Wagner, P.; Schoening, M.J.

    2009-01-01

    A capacitive field-effect EDIS (electrolyte-diamond-insulator-semiconductor) sensor with improved pH and penicillin sensitivity has been realised using a nanocrystalline-diamond (NCD) film as sensitive gate material. The NCD growth process on SiO 2 as well as an additional surface treatment in oxidising medium have been optimised to provide high pH-sensitive, non-porous O-terminated films without damage of the underlying SiO 2 layer. The surface morphology of O-terminated NCD thin films and the layer structure of EDIS sensors have been studied by scanning electron microscopy (SEM) and transmission electron microscopy (TEM) methods. To establish the relative coverage of the surface functional groups generated by the oxidation of NCD surfaces, X-ray photoelectron spectroscopy analysis was carried out. The hydrophilicity of NCD thin films has been studied by water contact-angle measurements. A nearly Nernstian pH sensitivity of 54-57 mV/pH has been observed for O-terminated NCD films treated in an oxidising boiling mixture for 80 min and in oxygen plasma. The high pH-sensitive properties of O-terminated NCD have been used to develop an EDIS-based penicillin biosensor. A freshly prepared penicillin biosensor possesses a high sensitivity of 85 mV/decade in the concentration range of 0.1-2.5 mM penicillin G. The lower detection limit is 5 μM.

  1. Growth Al{sub x}Ga{sub 1−x}N films on Si substrates by magnetron sputtering and high ammoniated two-step method

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xuewen, E-mail: wangxuew@nwu.edu.cn [School of Information Science and Technology, Northwest University, Xi' an 710127 (China); Su, Xingxing; Hu, Feng; He, Lin; He, Lewan; Zhang, Zhiyong; Zhao, Wu [School of Information Science and Technology, Northwest University, Xi' an 710127 (China); Wang, Kai-Ge; Wang, Shuang [Institute of Photonics & Photo-Technology, International Joint Research Centre of Photoelectric Technology & Nano-functional Materials and Application, Northwest University, Xi' an 710069 (China)

    2016-05-15

    In this paper, Al{sub x}Ga{sub 1−x}N films on Si substrates were synthesized with adjusting process parameters by magnetron sputtering and high ammoniated two-step method innovatively, while gallium oxide was used as gallium target, and aluminum was used as aluminum target, ammonia gas and nitrogen were used as nitrogen source. The influence of process parameters on the quality of Al{sub x}Ga{sub 1−x}N films was researched with X-ray diffraction (XRD), scanning electron microscope (SEM), and Energy Diffraction Spectrum (EDS) for the prepared samples. The results showed that Al{sub x}Ga{sub 1−x}N film can be grown on the Si substrate by magnetron sputtering and high ammoniated two-step method, and substrate temperature, sputtering power, nitrogen concentration also have a great impact on the quality of Al{sub x}Ga{sub 1−x}N film. The sample was developed along (002) peak preferred with high orientation at 200 °C. High-quality film could be grown when the x is 0.32 in Al{sub x}Ga{sub 1−x}N films grown in 300 °C substrate temperature, 150 W sputtering power and 50% nitrogen concentration conditions, which is used for gas sensitive sensor. And compared stress by the measurement of Raman with an excitation wavelength λ = 532 nm. The samples were tested by photoluminescence (PL), which indicated two light-emitting peaks at 405 nm and 645 nm when the excitation wavelength is 325 nm. The measure in Hall Effect Measurement System showed that the carrier concentration and mobility were changed with different Al components. - Highlights: • Grow Al{sub 0.32}Ga{sub 0.68}N films on Si by RF sputtering and high ammoniated two-step method. • The sample was developed along (002) peak preferred with high orientation at 200 °C. • The growth technics of the film was employed for the preparation of gas sensors. • Carrier concentration and mobility were changed with different Al components.

  2. Characterization of thick and thin film SiCN for pressure sensing at high temperatures.

    Science.gov (United States)

    Leo, Alfin; Andronenko, Sergey; Stiharu, Ion; Bhat, Rama B

    2010-01-01

    Pressure measurement in high temperature environments is important in many applications to provide valuable information for performance studies. Information on pressure patterns is highly desirable for improving performance, condition monitoring and accurate prediction of the remaining life of systems that operate in extremely high temperature environments, such as gas turbine engines. A number of technologies have been recently investigated, however these technologies target specific applications and they are limited by the maximum operating temperature. Thick and thin films of SiCN can withstand high temperatures. SiCN is a polymer-derived ceramic with liquid phase polymer as its starting material. This provides the advantage that it can be molded to any shape. CERASET™ also yields itself for photolithography, with the addition of photo initiator 2, 2-Dimethoxy-2-phenyl-acetophenone (DMPA), thereby enabling photolithographical patterning of the pre-ceramic polymer using UV lithography. SiCN fabrication includes thermosetting, crosslinking and pyrolysis. The technology is still under investigation for stability and improved performance. This work presents the preparation of SiCN films to be used as the body of a sensor for pressure measurements in high temperature environments. The sensor employs the phenomenon of drag effect. The pressure sensor consists of a slender sensitive element and a thick blocking element. The dimensions and thickness of the films depend on the intended application of the sensors. Fabrication methods of SiCN ceramics both as thin (about 40-60 μm) and thick (about 2-3 mm) films for high temperature applications are discussed. In addition, the influence of thermosetting and annealing processes on mechanical properties is investigated.

  3. Characterization of Thick and Thin Film SiCN for Pressure Sensing at High Temperatures

    Directory of Open Access Journals (Sweden)

    Rama B. Bhat

    2010-02-01

    Full Text Available Pressure measurement in high temperature environments is important in many applications to provide valuable information for performance studies. Information on pressure patterns is highly desirable for improving performance, condition monitoring and accurate prediction of the remaining life of systems that operate in extremely high temperature environments, such as gas turbine engines. A number of technologies have been recently investigated, however these technologies target specific applications and they are limited by the maximum operating temperature. Thick and thin films of SiCN can withstand high temperatures. SiCN is a polymer-derived ceramic with liquid phase polymer as its starting material. This provides the advantage that it can be molded to any shape. CERASET™ also yields itself for photolithography, with the addition of photo initiator 2, 2-Dimethoxy-2-phenyl-acetophenone (DMPA, thereby enabling photolithographical patterning of the pre-ceramic polymer using UV lithography. SiCN fabrication includes thermosetting, crosslinking and pyrolysis. The technology is still under investigation for stability and improved performance. This work presents the preparation of SiCN films to be used as the body of a sensor for pressure measurements in high temperature environments. The sensor employs the phenomenon of drag effect. The pressure sensor consists of a slender sensitive element and a thick blocking element. The dimensions and thickness of the films depend on the intended application of the sensors. Fabrication methods of SiCN ceramics both as thin (about 40–60 µm and thick (about 2–3 mm films for high temperature applications are discussed. In addition, the influence of thermosetting and annealing processes on mechanical properties is investigated.

  4. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  5. Deposition of SiC thin films by PECVD

    CERN Document Server

    Cho, N I; Kim, C K

    1999-01-01

    The SiC films were deposited on Si substrate by the decomposition of CH sub 3 SiCl sub 3 (methylthrichlorosilane) molecules in a high frequency discharge field. From the Raman spectra, it is conjectured that the deposited film are formed into the polycrystalline structure. The photon absorption measurement reveal that the band gap of the electron energy state are to be 2.4 eV for SiC, and 2.6 eV for Si sub 0 sub . sub 4 C sub 0 sub . sub 6 , respectively. In the high power density regime, methyl-radicals decompose easily and increases the carbon concentration in plasma and result in the growing films.

  6. Antioxidant migration resistance of SiOx layer in SiOx/PLA coated film.

    Science.gov (United States)

    Huang, Chongxing; Zhao, Yuan; Su, Hongxia; Bei, Ronghua

    2018-02-01

    As novel materials for food contact packaging, inorganic silicon oxide (SiO x ) films are high barrier property materials that have been developed rapidly and have attracted the attention of many manufacturers. For the safe use of SiO x films for food packaging it is vital to study the interaction between SiO x layers and food contaminants, as well as the function of a SiO x barrier layer in antioxidant migration resistance. In this study, we deposited a SiO x layer on polylactic acid (PLA)-based films to prepare SiO x /PLA coated films by plasma-enhanced chemical vapour deposition. Additionally, we compared PLA-based films and SiO x /PLA coated films in terms of the migration of different antioxidants (e.g. t-butylhydroquinone [TBHQ], butylated hydroxyanisole [BHA], and butylated hydroxytoluene [BHT]) via specific migration experiments and then investigated the effects of a SiO x layer on antioxidant migration under different conditions. The results indicate that antioxidant migration from SiO x /PLA coated films is similar to that for PLA-based films: with increase of temperature, decrease of food simulant polarity, and increase of single-sided contact time, the antioxidant migration rate and amount in SiO x /PLA coated films increase. The SiO x barrier layer significantly reduced the amount of migration of antioxidants with small and similar molecular weights and similar physical and chemical properties, while the degree of migration blocking was not significantly different among the studied antioxidants. However, the migration was affected by temperature and food simulant. Depending on the food simulants considered, the migration amount in SiO x /PLA coated films was reduced compared with that in PLA-based films by 42-46%, 44-47%, and 44-46% for TBHQ, BHA, and BHT, respectively.

  7. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    Science.gov (United States)

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  8. High-Pressure Water-Vapor Annealing for Enhancement of a-Si:H Film Passivation of Silicon Surface

    International Nuclear Information System (INIS)

    Guo Chun-Lin; Wang Lei; Zhang Yan-Rong; Zhou Hai-Feng; Liang Feng; Yang Zhen-Hui; Yang De-Ren

    2014-01-01

    We investigate the effect of amorphous hydrogenated silicon (a-Si:H) films passivated on silicon surfaces based on high-pressure water-vapor annealing (HWA). The effective carrier lifetime of samples reaches the maximum value after 210°C, 90min HWA. Capacitance-voltage measurement reveals that the HWA not only greatly reduces the density of interface states (D it ), but also decreases the fixed charges (Q fixed ) mainly caused by bulk defects. The change of hydrogen and oxygen in the film is measured by a spectroscopic ellipsometer and a Fourier-transform infrared (FTIR) spectrometer. All these results show that HWA is a useful method to improve the passivation effect of a-Si:H films deposited on silicon surfaces

  9. Nanocrystalline-diamond thin films with high pH and penicillin sensitivity prepared on a capacitive Si-SiO{sub 2} structure

    Energy Technology Data Exchange (ETDEWEB)

    Poghossian, A. [Institute of Nano- and Biotechnologies (INB), Aachen University of Applied Sciences, Campus Juelich, Juelich (Germany); Institute of Bio- and Nanosystems (IBN-2), Research Centre Juelich GmbH, Juelich (Germany)], E-mail: a.poghossian@fz-juelich.de; Abouzar, M.H.; Razavi, A.; Baecker, M. [Institute of Nano- and Biotechnologies (INB), Aachen University of Applied Sciences, Campus Juelich, Juelich (Germany); Institute of Bio- and Nanosystems (IBN-2), Research Centre Juelich GmbH, Juelich (Germany); Bijnens, N. [Institute for Materials Research, Hasselt University, Diepenbeek (Belgium); Williams, O.A.; Haenen, K. [Institute for Materials Research, Hasselt University, Diepenbeek (Belgium); Division IMOMEC, IMEC vzw., Diepenbeek (Belgium); Moritz, W. [Humboldt University Berlin, Berlin (Germany); Wagner, P. [Institute for Materials Research, Hasselt University, Diepenbeek (Belgium); Schoening, M.J. [Institute of Nano- and Biotechnologies (INB), Aachen University of Applied Sciences, Campus Juelich, Juelich (Germany); Institute of Bio- and Nanosystems (IBN-2), Research Centre Juelich GmbH, Juelich (Germany)

    2009-10-30

    A capacitive field-effect EDIS (electrolyte-diamond-insulator-semiconductor) sensor with improved pH and penicillin sensitivity has been realised using a nanocrystalline-diamond (NCD) film as sensitive gate material. The NCD growth process on SiO{sub 2} as well as an additional surface treatment in oxidising medium have been optimised to provide high pH-sensitive, non-porous O-terminated films without damage of the underlying SiO{sub 2} layer. The surface morphology of O-terminated NCD thin films and the layer structure of EDIS sensors have been studied by scanning electron microscopy (SEM) and transmission electron microscopy (TEM) methods. To establish the relative coverage of the surface functional groups generated by the oxidation of NCD surfaces, X-ray photoelectron spectroscopy analysis was carried out. The hydrophilicity of NCD thin films has been studied by water contact-angle measurements. A nearly Nernstian pH sensitivity of 54-57 mV/pH has been observed for O-terminated NCD films treated in an oxidising boiling mixture for 80 min and in oxygen plasma. The high pH-sensitive properties of O-terminated NCD have been used to develop an EDIS-based penicillin biosensor. A freshly prepared penicillin biosensor possesses a high sensitivity of 85 mV/decade in the concentration range of 0.1-2.5 mM penicillin G. The lower detection limit is 5 {mu}M.

  10. A Study of Thin Film Resistors Prepared Using Ni-Cr-Si-Al-Ta High Entropy Alloy

    Directory of Open Access Journals (Sweden)

    Ruei-Cheng Lin

    2015-01-01

    Full Text Available Ni-Cr-Si-Al-Ta resistive thin films were prepared on glass and Al2O3 substrates by DC magnetron cosputtering from targets of Ni0.35-Cr0.25-Si0.2-Al0.2 casting alloy and Ta metal. Electrical properties and microstructures of Ni-Cr-Si-Al-Ta films under different sputtering powers and annealing temperatures were investigated. The phase evolution, microstructure, and composition of Ni-Cr-Si-Al-Ta films were characterized by X-ray diffraction (XRD, transmission electron microscopy (TEM, and Auger electron spectroscopy (AES. When the annealing temperature was set to 300°C, the Ni-Cr-Si-Al-Ta films with an amorphous structure were observed. When the annealing temperature was at 500°C, the Ni-Cr-Si-Al-Ta films crystallized into Al0.9Ni4.22, Cr2Ta, and Ta5Si3 phases. The Ni-Cr-Si-Al-Ta films deposited at 100 W and annealed at 300°C which exhibited the higher resistivity 2215 μΩ-cm with −10 ppm/°C of temperature coefficient of resistance (TCR.

  11. A comparative study on the direct deposition of μc-Si:H and plasma-induced recrystallization of a-Si:H: Insight into Si crystallization in a high-density plasma

    Science.gov (United States)

    Zhou, H. P.; Xu, M.; Xu, S.; Feng, Y. Y.; Xu, L. X.; Wei, D. Y.; Xiao, S. Q.

    2018-03-01

    Deep insight into the crystallization mechanism of amorphous silicon is of theoretical and technological significance for the preparation of high-quality microcrystalline/polycrystalline silicon. In this work, we intensively compare the present two plasma-involved routes, i.e., the direct deposition and recrystallization of precursor amorphous silicon (a-Si) films, to fabricate microcrystalline silicon. Both the directly deposited and recrystallized samples show multi-layered structures as revealed by electronic microscopy. High-density hydrogen plasma involved recrystallization process, which is mediated by the hydrogen diffusion into the deep region of the precursor a-Si film, displays significantly different nucleation configuration, interface properties, and crystallite shape. The underlying mechanisms are analyzed in combination with the interplay of high-density plasma and growing or treated surface.

  12. High-Rate Fabrication of a-Si-Based Thin-Film Solar Cells Using Large-Area VHF PECVD Processes

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Xunming [University of Toledo; Fan, Qi Hua

    2011-12-31

    The University of Toledo (UT), working in concert with it’s a-Si-based PV industry partner Xunlight Corporation (Xunlight), has conducted a comprehensive study to develop a large-area (3ft x 3ft) VHF PECVD system for high rate uniform fabrication of silicon absorber layers, and the large-area VHF PECVD processes to achieve high performance a-Si/a-SiGe or a-Si/nc-Si tandem junction solar cells during the period of July 1, 2008 to Dec. 31, 2011, under DOE Award No. DE-FG36-08GO18073. The project had two primary goals: (i) to develop and improve a large area (3 ft × 3 ft) VHF PECVD system for high rate fabrication of > = 8 Å/s a-Si and >= 20 Å/s nc-Si or 4 Å/s a-SiGe absorber layers with high uniformity in film thicknesses and in material structures. (ii) to develop and optimize the large-area VHF PECVD processes to achieve high-performance a-Si/nc-Si or a-Si/a-SiGe tandem-junction solar cells with >= 10% stable efficiency. Our work has met the goals and is summarized in “Accomplishments versus goals and objectives”.

  13. Highly Oriented Growth of Catalytically Active Zeolite ZSM-5 Films with a Broad Range of Si/Al Ratios.

    Science.gov (United States)

    Fu, Donglong; Schmidt, Joel E; Ristanović, Zoran; Chowdhury, Abhishek Dutta; Meirer, Florian; Weckhuysen, Bert M

    2017-09-04

    Highly b-oriented zeolite ZSM-5 films are critical for applications in catalysis and separations and may serve as models to study diffusion and catalytic properties in single zeolite channels. However, the introduction of catalytically active Al 3+ usually disrupts the orientation of zeolite films. Herein, using structure-directing agents with hydroxy groups, we demonstrate a new method to prepare highly b-oriented zeolite ZSM-5 films with a broad range of Si/Al ratios (Si/Al=45 to ∞). Fluorescence micro-(spectro)scopy was used to monitor misoriented microstructures, which are invisible to X-ray diffraction, and show Al 3+ framework incorporation and illustrate the differences between misoriented and b-oriented films. The methanol-to-hydrocarbons process was studied by operando UV/Vis diffuse reflectance micro-spectroscopy with on-line mass spectrometry, showing that the b-oriented zeolite ZSM-5 films are active and stable under realistic process conditions. © 2017 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA.

  14. Effect of Atomic Hydrogen on Preparation of Highly Moisture-Resistive SiNx Films at Low Substrate Temperatures

    Science.gov (United States)

    Heya, Akira; Niki, Toshikazu; Takano, Masahiro; Yonezawa, Yasuto; Minamikawa, Toshiharu; Muroi, Susumu; Minami, Shigehira; Izumi, Akira; Masuda, Atsushi; Umemoto, Hironobu; Matsumura, Hideki

    2004-12-01

    Highly moisture-resistive SiNx films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

  15. Strongly nonlinear electronic transport in Cr-Si composite films

    International Nuclear Information System (INIS)

    Burkov, A.T.; Vinzelberg, H.; Schumann, J.; Nakama, T.; Yagasaki, K.

    2004-01-01

    The phase formation, the resistivity and the thermopower of amorphous Cr 0.15 Si 0.85 , and nanocrystalline CrSi 2 -Si thin film composites have been studied. The films were produced by a magnetron sputtering of a composite target onto unheated substrates with subsequent crystallization of the film at high temperatures. As the film composite develops under the heat treatment from the initial amorphous state into the final polycrystalline material, two percolation thresholds were found. At first, the percolating cluster of nanocrystalline CrSi 2 is formed. However, this cluster is destroyed with further annealing due to crystallization and redistribution of Si. The composite films which are close to this insulating threshold reveal a strongly nonlinear conductivity. The conductivity increases with the current by two orders of magnitude

  16. Impacts of doping on epitaxial germanium thin film quality and Si-Ge interdiffusion

    KAUST Repository

    Zhou, Guangnan

    2018-04-03

    Ge-on-Si structures with three different dopants (P, As and B) and those without intentional doping were grown, annealed and characterized by several different material characterization methods. All samples have a smooth surface (roughness < 1.5 nm), and the Ge films are almost entirely relaxed. B doped Ge films have threading dislocations above 1 × 10 cm, while P and As doping can reduce the threading dislocation density to be less than 10 cm without annealing. The interdiffusion of Si and Ge of different films have been investigated experimentally and theoretically. A quantitative model of Si-Ge interdiffusion under extrinsic conditions across the full x range was established including the dislocationmediated diffusion. The Kirkendall effect has been observed. The results are of technical significance for the structure, doping, and process design of Ge-on-Si based devices, especially for photonic applications.

  17. Impacts of doping on epitaxial germanium thin film quality and Si-Ge interdiffusion

    KAUST Repository

    Zhou, Guangnan; Lee, Kwang Hong; Anjum, Dalaver H.; Zhang, Qiang; Zhang, Xixiang; Tan, Chuan Seng; Xia, Guangrui

    2018-01-01

    Ge-on-Si structures with three different dopants (P, As and B) and those without intentional doping were grown, annealed and characterized by several different material characterization methods. All samples have a smooth surface (roughness < 1.5 nm), and the Ge films are almost entirely relaxed. B doped Ge films have threading dislocations above 1 × 10 cm, while P and As doping can reduce the threading dislocation density to be less than 10 cm without annealing. The interdiffusion of Si and Ge of different films have been investigated experimentally and theoretically. A quantitative model of Si-Ge interdiffusion under extrinsic conditions across the full x range was established including the dislocationmediated diffusion. The Kirkendall effect has been observed. The results are of technical significance for the structure, doping, and process design of Ge-on-Si based devices, especially for photonic applications.

  18. Metastability of a-SiO{sub x}:H thin films for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Serenelli, L., E-mail: luca.serenelli@enea.it [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Martini, L. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Imbimbo, L. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Asquini, R. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Menchini, F.; Izzi, M.; Tucci, M. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy)

    2017-01-15

    Highlights: • a-SiO{sub x}:H film deposition by RF-PECVD is optimized from SiH{sub 4}, CO{sub 2} and H{sub 2} gas mixture. • Metastability of a-SiO{sub x}:H/c-Si passivation is investigated under thermal annealing and UV exposure. • A correlation between passivation metastability and Si−H bonds is found by FTIR spectra. • A metastability model is proposed. - Abstract: The adoption of a-SiO{sub x}:H films obtained by PECVD in heterojunction solar cells is a key to further increase their efficiency, because of its transparency in the UV with respect to the commonly used a-Si:H. At the same time this layer must guarantee high surface passivation of the c-Si to be suitable in high efficiency solar cell manufacturing. On the other hand the application of amorphous materials like a-Si:H and SiN{sub x} on the cell frontside expose them to the mostly energetic part of the sun spectrum, leading to a metastability of their passivation properties. Moreover as for amorphous silicon, thermal annealing procedures are considered as valuable steps to enhance and stabilize thin film properties, when performed at opportune temperature. In this work we explored the reliability of a-SiO{sub x}:H thin film layers surface passivation on c-Si substrates under UV exposition, in combination with thermal annealing steps. Both p- and n-type doped c-Si substrates were considered. To understand the effect of UV light soaking we monitored the minority carriers lifetime and Si−H and Si−O bonding, by FTIR spectra, after different exposure times to light coming from a deuterium lamp, filtered to UV-A region, and focused on the sample to obtain a power density of 50 μW/cm{sup 2}. We found a certain lifetime decrease after UV light soaking in both p- and n-type c-Si passivated wafers according to a a-SiO{sub x}:H/c-Si/a-SiO{sub x}:H structure. The role of a thermal annealing, which usually enhances the as-deposited SiO{sub x} passivation properties, was furthermore considered. In

  19. Production and characterization of Si-N films obtained by r.f. magnetron sputtering

    International Nuclear Information System (INIS)

    Oliveira, A.; Cavaleiro, A.; Vieira, M.T.

    1993-01-01

    Si-N films were deposited by sputtering from an Si 3 N 4 target with different deposition pressures and negative substrate bias. The films were amorphous and showed a ''featureless'' morphology. A high oxygen content was detected in unbiased films. For these films the Si/N ratio was very high compared with the target composition, whereas for biased films the opposite was observed. Si-N films presented cohesive failures for loads as high as 21 N and adhesive failure at 45 N when they were analysed by scratch test. Very high hardness (45 GPa) was obtained, particularly for biased films. Unbiased films were softer, which is attributed to the formation of silicon oxide and/or to a lower compressive stress level. (orig.)

  20. Characterization of anodic SiO2 films on P-type 4H-SiC

    International Nuclear Information System (INIS)

    Woon, W.S.; Hutagalung, S.D.; Cheong, K.Y.

    2009-01-01

    The physical and electronic properties of 100-120-nm thick anodic silicon dioxide film grown on p-type 4H-SiC wafer and annealed at different temperatures (500, 600, 700, and 800 deg. C ) have been investigated and reported. Chemical bonding of the films has been analyzed by Fourier transform infra red spectroscopy. Smooth and defect-free film surface has been revealed under field emission scanning electron microscope. Atomic force microscope has been used to study topography and surface roughness of the films. Electronic properties of the film have been investigated by high frequency capacitance-voltage and current-voltage measurements. As the annealing temperature increased, refractive index, dielectric constant, film density, SiC surface roughness, effective oxide charge, and leakage current density have been reduced until 700 deg. C . An increment of these parameters has been observed after this temperature. However, a reversed trend has been demonstrated in porosity of the film and barrier height between conduction band edge of SiO 2 and SiC

  1. High-Temperature Corrosion of AlCrSiN Film in Ar-1%SO2 Gas

    Directory of Open Access Journals (Sweden)

    Poonam Yadav

    2017-03-01

    Full Text Available AlCrSiN film with a composition of 29.1Al-17.1Cr-2.1Si-51.7N in at. % was deposited on a steel substrate by cathodic arc ion plating at a thickness of 1.8 μm. It consisted of nanocrystalline hcp-AlN and fcc-CrN, where a small amount of Si was dissolved. Corrosion tests were carried out at 800 °C for 5–200 h in Ar-1%SO2 gas. The major corrosion reaction was oxidation owing to the high oxygen affinity of Al and Cr in the film. The formed oxide scale consisted primarily of (Al,Cr2O3, within which Fe, Si, and S were dissolved. Even after corrosion for 200 h, the thickness of the scale was about 0.7–1.2 μm, indicating that the film had good corrosion resistance in the SO2-containing atmosphere.

  2. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  3. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  4. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  5. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  6. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  7. Influence of laser fluence in ArF-excimer laser assisted crystallisation of a-SiGe:H films

    International Nuclear Information System (INIS)

    Chiussi, S.; Lopez, E.; Serra, J.; Gonzalez, P.; Serra, C.; Leon, B.; Fabbri, F.; Fornarini, L.; Martelli, S.

    2003-01-01

    Polycrystalline silicon germanium (poly-SiGe) coatings are drawing increasing attention as active layers in solar cells, bolometers and various microelectronic devices. As a consequence, alternative low-cost production techniques, capable to produce such alloys with uniform and controlled grain size, become more and more attractive. Excimer laser assisted crystallisation, already assessed in thin film transistor production, has proved to be a valuable 'low-thermal budget' technique for the crystallisation of amorphous silicon. Main advantages are the high process quality and reproducibility as well as the possibility of tailoring the grain size in both, small selected regions and large areas. The feasibility of this technique for producing poly-SiGe films has been studied irradiating hydrogenated amorphous SiGe films with spatially uniform ArF-laser pulses of different fluences. Surface morphology, structure and chemical composition have been extensively characterised, demonstrating the need of using a 'step-by-step' process and a careful adjustment of both, total number of shots and laser fluence at each 'step' in order to diminish segregation effects and severe damages of the film surface and of segregation effects

  8. High quality digital holographic reconstruction on analog film

    Science.gov (United States)

    Nelsen, B.; Hartmann, P.

    2017-05-01

    High quality real-time digital holographic reconstruction, i.e. at 30 Hz frame rates, has been at the forefront of research and has been hailed as the holy grail of display systems. While these efforts have produced a fascinating array of computer algorithms and technology, many applications of reconstructing high quality digital holograms do not require such high frame rates. In fact, applications such as 3D holographic lithography even require a stationary mask. Typical devices used for digital hologram reconstruction are based on spatial-light-modulator technology and this technology is great for reconstructing arbitrary holograms on the fly; however, it lacks the high spatial resolution achievable by its analog counterpart, holographic film. Analog holographic film is therefore the method of choice for reconstructing highquality static holograms. The challenge lies in taking a static, high-quality digitally calculated hologram and effectively writing it to holographic film. We have developed a theoretical system based on a tunable phase plate, an intensity adjustable high-coherence laser and a slip-stick based piezo rotation stage to effectively produce a digitally calculated hologram on analog film. The configuration reproduces the individual components, both the amplitude and phase, of the hologram in the Fourier domain. These Fourier components are then individually written on the holographic film after interfering with a reference beam. The system is analogous to writing angularly multiplexed plane waves with individual component phase control.

  9. Morphology and electronic transport of polycrystalline silicon films deposited by SiF sub 4 /H sub 2 at a substrate temperature of 200 deg. C

    CERN Document Server

    Hazra, S; Ray, S

    2002-01-01

    Undoped and phosphorous doped polycrystalline silicon (poly-Si) films were deposited using a SiF sub 4 /H sub 2 gas mixture at a substrate temperature of 200 deg. C by radio frequency plasma enhanced chemical vapor deposition (rf-PECVD). Fourier transform infrared (FTIR) spectroscopy and x-ray diffraction (XRD) experiments reveal that the present poly-Si films are equivalent to the poly-Si films deposited at high temperature (>600 deg. C). XRD and scanning electron microscope observations show that the crystalline quality of slightly P-doped film is better compared to that of undoped poly-Si films. Phosphorus atom concentration in the slightly P-doped poly-Si film is 5.0x10 sup 1 sup 6 atoms/cm sup 3. Association of a few phosphorous atoms in the silicon matrix enhances crystallization as eutectic-forming metals do. Dark conductivity of slightly P-doped film is 4 orders of magnitude higher, although mobility-lifetime product (eta mu tau) is 2 orders of magnitude lower than that of undoped film. The presence o...

  10. Heteroepitaxial silicon film growth at 600 oC from an Al-Si eutectic melt

    International Nuclear Information System (INIS)

    Chaudhari, P.; Shim, Heejae; Wacaser, Brent A.; Reuter, Mark C.; Murray, Conal; Reuter, Kathleen B.; Jordan-Sweet, Jean; Ross, Frances M.; Guha, Supratik

    2010-01-01

    A method for growing heteroepitaxial Si films on sapphire was developed using a 6 nm thin Al layer at substrate temperature of 600 o C. Subsequently, the growth of Si nanowires was demonstrated on these films at 490 o C without breaking vacuum. We characterized the properties of the Si films by Raman scattering, X-ray diffraction and transmission electron microscopy and show that the crystal quality and dopant control are promising for photovoltaic applications.

  11. LPCVD homoepitaxy of Si doped β-Ga2O3 thin films on (010) and (001) substrates

    Science.gov (United States)

    Rafique, Subrina; Karim, Md Rezaul; Johnson, Jared M.; Hwang, Jinwoo; Zhao, Hongping

    2018-01-01

    This paper presents the homoepitaxy of Si-doped β-Ga2O3 thin films on semi-insulating (010) and (001) Ga2O3 substrates via low pressure chemical vapor deposition with a growth rate of ≥1 μm/h. Both high resolution scanning transmission electron microscopy and X-ray diffraction measurements demonstrated high crystalline quality homoepitaxial growth of these thin films. Atomic resolution STEM images of the as-grown β-Ga2O3 thin films on (010) and (001) substrates show high quality material without extended defects or dislocations. The charge carrier transport properties of the as-grown Si-doped β-Ga2O3 thin films were characterized by the temperature dependent Hall measurement using van der Pauw patterns. The room temperature carrier concentrations achieved for the (010) and (001) homoepitaxial thin films were ˜1.2 × 1018 cm-3 and ˜9.5 × 1017 cm-3 with mobilities of ˜72 cm2/V s and ˜42 cm2/V s, respectively.

  12. Influence of interdiffusion on the magnetic properties of Co/Si (100) films after high magnetic field annealing

    International Nuclear Information System (INIS)

    Zhao, Yue; Wang, Kai; Wang, Qiang; Li, Guojian; Lou, Changsheng; Pang, Hongxuan; He, Jicheng

    2015-01-01

    The influence of interdiffusion on the magnetic properties of Co/Si (100) films after thermal annealing in the presence of a strong magnetic field was investigated. The interdiffusion coefficients of films that were annealed at temperatures of 380 °C and 420 °C in the presence of high magnetic fields were not affected. However, the interdiffusion coefficient of films annealed at 400 °C in the presence of a high magnetic field decreased significantly. The change in the interdiffusion coefficient, caused by high magnetic field annealing, increased the content of the magnetic phase. This increase in the magnetic phase improved the saturation magnetization. A new method of high magnetic field annealing is presented that can modulate the diffusion and magnetic properties of thin films. - Highlights: • Interdiffusion of Co/Si (100) films by high magnetic field annealing was studied. • Thickness of the diffusion layer was reduced by magnetic field annealing at 400 °C. • Interdiffusion coefficient decreased following magnetic field annealing at 400 °C. • Saturation magnetization increased after high magnetic field annealing at 400 °C

  13. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  14. A fast transfer-free synthesis of high-quality monolayer graphene on insulating substrates by a simple rapid thermal treatment.

    Science.gov (United States)

    Wu, Zefei; Guo, Yanqing; Guo, Yuzheng; Huang, Rui; Xu, Shuigang; Song, Jie; Lu, Huanhuan; Lin, Zhenxu; Han, Yu; Li, Hongliang; Han, Tianyi; Lin, Jiangxiazi; Wu, Yingying; Long, Gen; Cai, Yuan; Cheng, Chun; Su, Dangsheng; Robertson, John; Wang, Ning

    2016-02-07

    The transfer-free synthesis of high-quality, large-area graphene on a given dielectric substrate, which is highly desirable for device applications, remains a significant challenge. In this paper, we report on a simple rapid thermal treatment (RTT) method for the fast and direct growth of high-quality, large-scale monolayer graphene on a SiO2/Si substrate from solid carbon sources. The stack structure of a solid carbon layer/copper film/SiO2 is adopted in the RTT process. The inserted copper film does not only act as an active catalyst for the carbon precursor but also serves as a "filter" that prevents premature carbon dissolution, and thus, contributes to graphene growth on SiO2/Si. The produced graphene exhibits a high carrier mobility of up to 3000 cm(2) V(-1) s(-1) at room temperature and standard half-integer quantum oscillations. Our work provides a promising simple transfer-free approach using solid carbon sources to obtain high-quality graphene for practical applications.

  15. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    Science.gov (United States)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  16. Light-emitting Si films formed by neutral cluster deposition in a thin O2 gas

    International Nuclear Information System (INIS)

    Honda, Y.; Takei, M.; Ohno, H.; Shida, S.; Goda, K.

    2005-01-01

    We have fabricated the light-emitting Si-rich and oxygen-rich amorphous SiO 2 (a-SiO 2 ) films using the neutral cluster deposition (NCD) method without and with oxygen gas admitted, respectively, and demonstrate for the first time that these films show a photoluminescent feature. The Si thin films were observed by atomic force microscopy and high-resolution transmission electron microscopy, and analyzed by means of X-ray photoelectron spectroscopy, photoluminescence (PL) and FTIR-attenuated total reflection measurements. All of the PL spectra show mountainous distribution with a peak around 620 nm. It is found that the increase in the oxygen termination in the a-SiO 2 films evidently makes the PL intensity increase. It is demonstrated that NCD technique is one of the hopeful methods to fabricate light-emitting Si thin films

  17. Structure and optical properties of aSiAl and aSiAlHx magnetron sputtered thin films

    Directory of Open Access Journals (Sweden)

    Annett Thøgersen

    2016-03-01

    Full Text Available Thin films of homogeneous mixture of amorphous silicon and aluminum were produced with magnetron sputtering using 2-phase Al–Si targets. The films exhibited variable compositions, with and without the presence of hydrogen, aSi1−xAlx and aSi1−xAlxHy. The structure and optical properties of the films were investigated using transmission electron microscopy, X-ray photoelectron spectroscopy, UV-VisNIR spectrometry, ellipsometry, and atomistic modeling. We studied the effect of alloying aSi with Al (within the range 0–25 at. % on the optical band gap, refractive index, transmission, and absorption. Alloying aSi with Al resulted in a non-transparent film with a low band gap (1 eV. Variations of the Al and hydrogen content allowed for tuning of the optoelectronic properties. The films are stable up to a temperature of 300 °C. At this temperature, we observed Al induced crystallization of the amorphous silicon and the presence of large Al particles in a crystalline Si matrix.

  18. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  19. Evaluation of SiN films for AlGaN/GaN MIS-HEMTs on Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Cordier, Y.; Lecotonnec, A.; Chenot, S. [CRHEA-CNRS, Valbonne (France); Baron, N. [CRHEA-CNRS, Valbonne (France); PICOGIGA International, Courtaboeuf (France); Nacer, F.; Goullet, A.; Besland, M.P. [Institut des Materiaux Jean Rouxel IMN, Universite de Nantes (France); Lhermite, H. [Institut d' Electronique et de Telecommunications de Rennes (IETR), Universite de Rennes 1 (France); El Kazzi, M.; Regreny, P.; Hollinger, G. [Institut des Nanotechnologies de Lyon, Ecole Centrale de Lyon, UMR CNRS, Ecully (France)

    2009-06-15

    In this work, AlGaN/GaN HEMT structures grown on Si(111) substrates were covered with SiN{sub x} dielectric films, in order to realize MIS-HEMT devices. The dielectric films have been deposited by plasma enhanced chemical vapor deposition using deposition conditions previously optimized for InP based devices. X-ray photoelectron spectroscopy was used to control the interface formation and characterize the deposited films. Capacitance-voltage, Hall effect and current-voltage measurements were carried out on the MIS-HEMTs and HEMT reference devices and correlated with the dielectric layer quality. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  1. Effect of doping on structural, optical and electrical properties of nanostructure ZnO films deposited onto a-Si:H/Si heterojunction

    Science.gov (United States)

    Sali, S.; Boumaour, M.; Kermadi, S.; Keffous, A.; Kechouane, M.

    2012-09-01

    We investigated the structural; optical and electrical properties of ZnO thin films as the n-type semiconductor for silicon a-Si:H/Si heterojunction photodiodes. The ZnO film forms the front contact of the super-strata solar cell and has to exhibit good electrical (high conductivity) and optical (high transmittance) properties. In this paper we focused our attention on the influence of doping on device performance. The results show that the X-ray diffraction (XRD) spectra revealed a preferred orientation of the crystallites along c-axis. SEM images show that all films display a granular, polycrystalline morphology and the ZnO:Al exhibits a better grain uniformity. The transmittance of the doped films was found to be higher when compared to undoped ZnO. A low resistivity of the order of 2.8 × 10-4 Ω cm is obtained for ZnO:Al using 0.4 M concentration of zinc acetate. The photoluminescence (PL) spectra exhibit a blue band with two peaks centered at 442 nm (2.80 eV) and 490 nm (2.53 eV). It is noted that after doping the ZnO films a shift of the band by 22 nm (0.15 eV) is recorded and a high luminescence occurs when using Al as a dopant. Dark I-V curves of ZnO/a-Si:H/Si structure showed large difference, which means there is a kind of barrier to current flow between ZnO and a-Si:H layer. Doping films was applied and the turn-on voltages are around 0.6 V. Under reverse bias, the current of the ZnO/a-Si:H/Si heterojunction is larger than that of ZnO:Al/a-Si:H/Si. The improvement with ZnO:Al is attributed to a higher number of generated carriers in the nanostructure (due to the higher transmittance and a higher luminescence) that increases the probability of collisions.

  2. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  3. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  4. Tribochemical interactions of Si-doped DLC film against steel in sliding contact

    International Nuclear Information System (INIS)

    Yoon, Eui Sung; Pham, Duc Cuong; Ahn, Hyo Sok; Oh, Jae Eung

    2007-01-01

    This study concerns the effects of tribochemical interactions at the interface of Si-DLC (silicon-doped diamond-like carbon) film and steel ball in sliding contact on tribological properties of the film. The Si-DLC film was over-coated on pure DLC coating by radio frequency plasma-assisted chemical vapor deposition (r.f. PACVD) with different Si concentration. Friction tests against steel ball using a reciprocating type tribotester were performed in ambient environment. X-Ray photoelectron spectroscopy (XPS) and auger electron spectroscopy (AES) were used to study the chemical characteristics and elemental composition of the films and mating balls after tests. Results showed a darkgray film consisting of carbon, oxygen and silicon on the worn steel ball surface with different thickness. On the contrary, such film was not observed on the surface of the ball slid against pure DLC coating. The oxidation of Si-DLC surface and steel ball was also found at particular regions of contact area. This demonstrates that tribochemical interactions occurred at the contact area of Si-DLC and steel ball during sliding to form a tribofilm (so called transfer film) on the ball specimen. While the pure DLC coating exhibited high coefficient of friction (∼0.06), the Si-DLC film showed a significant lower coefficient of friction (∼0.022) with the presence of tribofilm on mating ball surface. However, the Si-DLC film possesses a very high wear rate in comparison with the pure DLC. It was found that the tribochemical interactions strongly affected tribological properties of the Si-DLC film in sliding against steel

  5. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  6. A surface-mediated siRNA delivery system developed with chitosan/hyaluronic acid-siRNA multilayer films through layer-by-layer self-assembly

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Lijuan [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Wu, Changlin, E-mail: Ph.Dclwu1314@sina.cn [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Liu, Guangwan [Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Liao, Nannan [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Zhao, Fang; Yang, Xuxia; Qu, Hongyuan [Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Peng, Bo [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Chen, Li [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China); Suzhou Novovita Bio-products Co., Ltd., Suzhou 215300 (China); Yang, Guang [Shanghai Key Laboratory of Magnetic Resonance, East China Normal University, Shanghai 200062 (China)

    2016-12-15

    Highlights: • We prepared Chitosan/Hyaluronic acid-siRNA multilayer as carrier to effectively load and protect siRNAs. • The stability and integrity of the siRNA was verified in the siRNA-loaded films. • The siRNA-loaded films showed good cells adhesion and gene silencing effect in eGFP-HEK 293T cells. • This is a new type of surface-mediated non-viral multilayer films. - Abstract: siRNA delivery remains highly challenging because of its hydrophilic and anionic nature and its sensitivity to nuclease degradation. Effective siRNA loading and improved transfection efficiency into cells represents a key problem. In our study, we prepared Chitosan/Hyaluronic acid-siRNA multilayer films through layer-by-layer self-assembly, in which siRNAs can be effectively loaded and protected. The construction process was characterized by FTIR, {sup 13}C NMR (CP/MAS), UV–vis spectroscopy, and atomic force microscopy (AFM). We presented the controlled-release performance of the films during incubation in 1 M NaCl solution for several days through UV–vis spectroscopy and polyacrylamide gel electrophoresis (PAGE). Additionally, we verified the stability and integrity of the siRNA loaded on multilayer films. Finally, the biological efficacy of the siRNA delivery system was evaluated via cells adhesion and gene silencing analyses in eGFP-HEK 293T cells. This new type of surface-mediated non-viral multilayer films may have considerable potential in the localized and controlled-release delivery of siRNA in mucosal tissues, and tissue engineering application.

  7. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  8. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  9. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    Directory of Open Access Journals (Sweden)

    Jung-Hoon Yu

    2016-07-01

    Full Text Available This paper presents the preparation of high-quality vanadium dioxide (VO2 thermochromic thin films with enhanced visible transmittance (Tvis via radio frequency (RF sputtering and plasma enhanced chemical vapor deposition (PECVD. VO2 thin films with high Tvis and excellent optical switching efficiency (Eos were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58% compared with the pristine samples (λ 650 nm, 43%. This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications.

  10. High performance sandwich structured Si thin film anodes with LiPON coating

    Science.gov (United States)

    Luo, Xinyi; Lang, Jialiang; Lv, Shasha; Li, Zhengcao

    2018-04-01

    The sandwich structured silicon thin film anodes with lithium phosphorus oxynitride (LiPON) coating are synthesized via the radio frequency magnetron sputtering method, whereas the thicknesses of both layers are in the nanometer range, i.e. between 50 and 200 nm. In this sandwich structure, the separator simultaneously functions as a flexible substrate, while the LiPON layer is regarded as a protective layer. This sandwich structure combines the advantages of flexible substrate, which can help silicon release the compressive stress, and the LiPON coating, which can provide a stable artificial solidelectrolyte interphase (SEI) film on the electrode. As a result, the silicon anodes are protected well, and the cells exhibit high reversible capacity, excellent cycling stability and good rate capability. All the results demonstrate that this sandwich structure can be a promising option for high performance Si thin film lithium ion batteries.

  11. Formation of thin DLC films on SiO2/Si substrate using FCVAD technique

    International Nuclear Information System (INIS)

    Bootkul, D.; Intarasiri, S.; Aramwit, C.; Tippawan, U.; Yu, L.D.

    2013-01-01

    Diamond-like carbon (DLC) films deposited on SiO 2 /Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO 2 /Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I D /I G ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I D /I G ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp 3 site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp 3 fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO 2 substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC film showed changes in the edge shape

  12. A study on the microstructure of Pt/TaN/Si films by high resolution TEM analysis

    CERN Document Server

    Cho, K N; Oh, J E; Park, C S; Lee, S I; Lee, M Y

    1998-01-01

    The microstructure change of Pt/amorphous TaN/Si films after various heat treatments has been investigated by high resolution transmission electron microscopy (HR-TEM) analysis. TaN thin films are deposited by remote plasma metalorganic chemical vapor deposition (RP-MOCVD) using pentakis-dimethyl-amino-tantalum (PDMATa) and radical sources, hydrogen and ammonia plasma. Deposited TaN thin film shows excellent barrier properties such as good resistance against oxidation after post-heat treatment at high temperature. In the case of hydrogen plasma, however, diffusion of Pt into TaN layer was observed, which was caused by the out-diffusion of carbon through the grain boundaries of Pt. In the case of ammonia plasma, the formation of thin oxide layer at the Pt/TaN interface was observed.

  13. Soft magnetic properties of FeRuGaSi alloy films: SOFMAX

    International Nuclear Information System (INIS)

    Hayashi, K.; Hayakawa, M.; Ishikawa, W.; Ochiai, Y.; Iwasaki, Y.; Aso, K.

    1988-01-01

    To advance new soft magnetic materials of an FeGaSi alloy into the commercial world, improvements on various properties were designed by introducing additive elements without sacrificing its high saturation magnetic induction. The detailed studies on the diversified properties, such as saturation magnetic induction, film internal stress, wear resistivity, and so on, were performed. High-frequency permeability of the laminated structure film was also investigated. As a result, the Ru-added FeRuGaSi alloy films, whose typical compositions are Fe 72 Ru 4 Ga 7 Si 17 and Fe 68 Ru 8 Ga 7 Si 17 (at. %), prove to be excellent soft magnetic materials especially appropriate for the magnetic recording/playback head core use

  14. Enhancement of the light-scattering ability of Ga-doped ZnO thin films using SiO{sub x} nano-films prepared by atmospheric pressure plasma deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Kow-Ming [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Ho, Po-Ching, E-mail: raymondsam.ee98g@nctu.edu.tw [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Ariyarit, Atthaporn [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Yang, Kuo-Hui; Hsu, Jui-Mei; Wu, Chin-Jyi; Chang, Chia-Chiang [Industrial Technology Research Institute, Mechanical and Systems Research Laboratories, Hsinchu 31040, Taiwan, ROC (China)

    2013-12-02

    To enhance the light-trapping qualities of silicon thin-film solar cells, the use of transparent conductive oxide with high haze and high conductivity is essential. This study investigated an eco-friendly technique that used bilayer Ga-doped zinc oxide/SiO{sub x} films prepared with an atmospheric pressure plasma jet to achieve high haze and low resistivity. A minimum resistivity of 6.00 × 10{sup −4} Ω·cm was achieved at 8 at.% gallium doping. Examination of X-ray diffraction spectra showed that increased film thickness led to increased carrier concentration in GZO bilayers. The optimal bilayer GZO film achieved considerably higher haze values in the visible and NIR regions, compared with Asahi U-type fluorine doped tin oxide. - Highlights: • Ga-doped ZnO (GZO) and SiO{sub x} deposited by atmospheric pressure plasma jet (APPJ) • Deposition uses a water-based precursor and low substrate temperature (< 150 °C). • SiO{sub x} buffer layers deposited by APPJ can control haze value of Ga-doped ZnO films. • GZO/SiO{sub x} achieved the resistivity of 6.00 × 10{sup −4} Ω·cm and haze of 21.5% at 550 nm.

  15. Nanocrystalline SiC film thermistors for cryogenic applications

    Science.gov (United States)

    Mitin, V. F.; Kholevchuk, V. V.; Semenov, A. V.; Kozlovskii, A. A.; Boltovets, N. S.; Krivutsa, V. A.; Slepova, A. S.; Novitskii, S. V.

    2018-02-01

    We developed a heat-sensitive material based on nanocrystalline SiC films obtained by direct deposition of carbon and silicon ions onto sapphire substrates. These SiC films can be used for resistance thermometers operating in the 2 K-300 K temperature range. Having high heat sensitivity, they are relatively low sensitive to the magnetic field. The designs of the sensors are presented together with a discussion of their thermometric characteristics and sensitivity to magnetic fields.

  16. Size- and phase-dependent mechanical properties of ultrathin Si films on polyimide substrates

    International Nuclear Information System (INIS)

    Schlich, Franziska F.; Spolenak, Ralph

    2016-01-01

    Ultrathin Si films in the nanometer range are extensively used for electronic and optoelectronic devices. Their mechanical properties have a high impact on the durability of the devices during lifetime. Here, fragmentation and buckling of 8–103 nm thin amorphous and polycrystalline (poly-) Si films on polyimide substrates have been studied by in situ light microscopy, Raman spectroscopy and resistance measurements. Generally, a smaller film thickness and a compressive residual stress delays the fracture of the film. The fracture strength of poly-Si films is larger compared to that of amorphous Si films while the adhesion to the substrate is better for amorphous Si compared to poly-Si. The onset delamination as a function of film thickness differs for the two phases and is described by two different models. Thin-film models for fracture toughness (amorphous Si: K 1C  = 1.49 ± 0.22, poly-Si: K 1C  = 3.36 ± 1.37) are applied, discussed, and found to be consistent with literature values.

  17. Low temperature growth of Co{sub 2}MnSi films on diamond semiconductors by ion-beam assisted sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Nishiwaki, M.; Ueda, K., E-mail: k-ueda@numse.nagoya-u.ac.jp; Asano, H. [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2015-05-07

    High quality Schottky junctions using Co{sub 2}MnSi/diamond heterostructures were fabricated. Low temperature growth at ∼300–400 °C by using ion-beam assisted sputtering (IBAS) was necessary to obtain abrupt Co{sub 2}MnSi/diamond interfaces. Only the Co{sub 2}MnSi films formed at ∼300–400 °C showed both saturation magnetization comparable to the bulk values and large negative anisotropic magnetoresistance, which suggests half-metallic nature of the Co{sub 2}MnSi films, of ∼0.3% at 10 K. Schottky junctions formed using the Co{sub 2}MnSi films showed clear rectification properties with rectification ratio of more than 10{sup 7} with Schottky barrier heights of ∼0.8 eV and ideality factors (n) of ∼1.2. These results indicate that Co{sub 2}MnSi films formed at ∼300–400 °C by IBAS are a promising spin source for spin injection into diamond semiconductors.

  18. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  19. Growth and characterization of yttrium iron garnet films on Si substrates by Chemical Solution Deposition (CSD) technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Xin; Chen, Ying; Wang, Genshui [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Zhang, Yuanyuan [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ge, Jun [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Tang, Xiaodong [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ponchel, Freddy; Rémiens, Denis [Institute of Electronics, Microelectronics and Nanotechnology (IEMN)–DOAE, UMR CNRS 8520, Université des Sciences et Technologies de Lille, 59652 Villeneuve d’Ascq Cedex (France); Dong, Xianlin, E-mail: xldong@mail.sic.ac.cn [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China)

    2016-06-25

    Yttrium Iron Garnet (YIG) films were prepared on Si substrates by Chemical Solution Deposition (CSD) technique using acetic acid and deionized water as solvents. Well-crystallized and crack-free YIG films were obtained when annealed at 750 °C and 850 °C respectively, showing a low surface roughness of several nanometers. When annealed at 750 °C for 30 min, the saturated magnetization (Ms) and coercive field (Hc) of YIG films were 0.121 emu/mm{sup 3} (4πMs = 1.52 kGs) and 7 Oe respectively, which were similar to that prepared by PLD technique. The peak-to-peak linewidth of ferromagnetic resonance (FMR) was 220 Oe at 9.10 GHz. The results demonstrated that CSD was an excellent technique to prepare high quality yttrium iron garnet (YIG) films on silicon, which could provide a lower-cost way for large-scale production on Si-based integrated devices. - Highlights: • The preparation of YIG films by Chemical Solution Deposition are demonstrated. • Well-crystallized and crack-free YIG films can be obtained on Si substrate by CSD. • YIG films can be crystallized in 750 °C with good magnetic performances. • It's beneficial to large-scale production of YIG films on Si integrated devices.

  20. Effect of In_xGa_1_−_xAs interlayer on the properties of In_0_._3Ga_0_._7As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Shuguang; Li, Jingling; Zhang, Xiaona; Li, Guoqiang; Liu, Ying

    2015-01-01

    High-quality In_0_._3Ga_0_._7As films have been epitaxially grown on Si (111) substrate by inserting an In_xGa_1_−_xAs interlayer with various In compositions by molecular beam epitaxy. The effect of In_xGa_1_−_xAs interlayer on the surface morphology and structural properties of In_0_._3Ga_0_._7As films is studied in detail. It reveals that In_0_._3Ga_0_._7As films grown at appropriate In composition in In_xGa_1_−_xAs interlayer exhibit smooth surface with a surface root-mean-square roughness of 1.7 nm; while In_0_._3Ga_0_._7As films grown at different In composition of In_xGa_1_−_xAs interlayer show poorer properties. This work demonstrates a simple but effective method to grow high-quality In_0_._3Ga_0_._7As epilayers on Si substrates, and brings up a broad prospect for the application of InGaAs-based optoelectronic devices on Si substrates. - Highlights: • We provide a simple approach to achieve high-quality In_0_._3Ga_0_._7As films on Si. • An In_0_._2_8Ga_0_._7_2As interlayer can release mismatch strain. • High-quality In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer. • Smooth surface In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer.

  1. High dose-rate brachytherapy source position quality assurance using radiochromic film

    International Nuclear Information System (INIS)

    Evans, M.D.C.; Devic, S.; Podgorsak, E.B.

    2007-01-01

    Traditionally, radiographic film has been used to verify high-dose-rate brachytherapy source position accuracy by co-registering autoradiographic and diagnostic images of the associated applicator. Filmless PACS-based clinics that do not have access to radiographic film and wet developers may have trouble performing this quality assurance test in a simple and practical manner. We describe an alternative method for quality assurance using radiochromic-type film. In addition to being easy and practical to use, radiochromic film has some advantages in comparison with traditional radiographic film when used for HDR brachytherapy quality assurance

  2. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    Science.gov (United States)

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  3. Analysis of the High Conversion Efficiencies β-FeSi2 and BaSi2 n-i-p Thin Film Solar Cells

    International Nuclear Information System (INIS)

    Huang, J.Sh.; Lee, K.W.; Tseng, Y.H.

    2014-01-01

    Both β-FeSi 2 and BaSi 2 are silicides and have large absorption coefficients; thus they are very promising Si-based new materials for solar cell applications. In this paper, the dc I-V characteristics of n-Si/i-βFeSi 2 /p-Si and n-Si/i-BaSi 2 /p-Si thin film solar cells are investigated by solving the charge transport equations with optical generations. The diffusion current densities of free electron and hole are calculated first. Then the drift current density in the depletion regions is obtained. The total current density is the sum of diffusion and drift current densities. The conversion efficiencies are obtained from the calculated I-V curves. The optimum conversion efficiency of n-Si/i-βFeSi 2 /p-Si thin film solar cell is 27.8% and that of n-Si/i-BaSi 2 /p-Si thin film solar cell is 30.4%, both are larger than that of Si n-i-p solar cell (η is 20.6%). These results are consistent with their absorption spectrum. The calculated conversion efficiency of Si n-i-p solar cell is consistent with the reported researches. Therefore, these calculation results are valid in this work.

  4. Analysis of the High Conversion Efficiencies β-FeSi2 and BaSi2 n-i-p Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Jung-Sheng Huang

    2014-01-01

    Full Text Available Both β-FeSi2 and BaSi2 are silicides and have large absorption coefficients; thus they are very promising Si-based new materials for solar cell applications. In this paper, the dc I-V characteristics of n-Si/i-βFeSi2/p-Si and n-Si/i-BaSi2/p-Si thin film solar cells are investigated by solving the charge transport equations with optical generations. The diffusion current densities of free electron and hole are calculated first. Then the drift current density in the depletion regions is obtained. The total current density is the sum of diffusion and drift current densities. The conversion efficiencies are obtained from the calculated I-V curves. The optimum conversion efficiency of n-Si/i-βFeSi2/p-Si thin film solar cell is 27.8% and that of n-Si/i-BaSi2/p-Si thin film solar cell is 30.4%, both are larger than that of Si n-i-p solar cell (η is 20.6%. These results are consistent with their absorption spectrum. The calculated conversion efficiency of Si n-i-p solar cell is consistent with the reported researches. Therefore, these calculation results are valid in this work.

  5. Durability Evaluation of a Thin Film Sensor System With Enhanced Lead Wire Attachments on SiC/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Lei, Jih-Fen; Kiser, J. Douglas; Singh, Mrityunjay; Cuy, Mike; Blaha, Charles A.; Androjna, Drago

    2000-01-01

    An advanced thin film sensor system instrumented on silicon carbide (SiC) fiber reinforced SiC matrix ceramic matrix composites (SiC/SiC CMCs), was evaluated in a Mach 0.3 burner rig in order to determine its durability to monitor material/component surface temperature in harsh environments. The sensor system included thermocouples in a thin film form (5 microns thick), fine lead wires (75 microns diameter), and the bonds between these wires and the thin films. Other critical components of the overall system were the heavy, swaged lead wire cable (500 microns diameter) that contained the fine lead wires and was connected to the temperature readout, and ceramic attachments which were bonded onto the CMCs for the purpose of securing the lead wire cables, The newly developed ceramic attachment features a combination of hoops made of monolithic SiC or SiC/SiC CMC (which are joined to the test article) and high temperature ceramic cement. Two instrumented CMC panels were tested in a burner rig for a total of 40 cycles to 1150 C (2100 F). A cycle consisted of rapid heating to 1150 C (2100 F), a 5 minute hold at 1150 C (2100 F), and then cooling down to room temperature in 2 minutes. The thin film sensor systems provided repeatable temperature measurements for a maximum of 25 thermal cycles. Two of the monolithic SiC hoops debonded during the sensor fabrication process and two of the SiC/SiC CMC hoops failed during testing. The hoops filled with ceramic cement, however, showed no sign of detachment after 40 thermal cycle test. The primary failure mechanism of this sensor system was the loss of the fine lead wire-to-thin film connection, which either due to detachment of the fine lead wires from the thin film thermocouples or breakage of the fine wire.

  6. Separation of stress-free AlN/SiC thin films from Si substrate

    International Nuclear Information System (INIS)

    Redkov, A V; Osipov, A V; Mukhin, I S; Kukushkin, S A

    2016-01-01

    We separated AlN/SiC film from Si substrate by chemical etching of the AlN/SiC/Si heterostructure. The film fully repeats the size and geometry of the original sample and separated without destroying. It is demonstrated that a buffer layer of silicon carbide grown by a method of substitution of atoms may have an extensive hollow subsurface structure, which makes it easier to overcome the differences in the coefficients of thermal expansion during the growth of thin films. It is shown that after the separation of the film from the silicon substrate, mechanical stresses therein are almost absent. (paper)

  7. Improvement in performance of Si-based thin film solar cells with a nanocrystalline SiO{sub 2}–TiO{sub 2} layer

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yang-Shih [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 40227, Taiwan, ROC (China); Lien, Shui-Yang [Department of Materials Science and Engineering, Da-Yeh University, Changhua 51591, Taiwan, ROC (China); Wuu, Dong-Sing, E-mail: dsw@dragon.nchu.edu.tw [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 40227, Taiwan, ROC (China); Department of Materials Science and Engineering, Da-Yeh University, Changhua 51591, Taiwan, ROC (China); Huang, Yu-Xuan; Kung, Chung-Yuan [Graduate Institute of Optoelectronic Engineering, National Chung Hsing University, Taichung 40227, Taiwan, ROC (China)

    2014-11-03

    In this paper, titanium dioxide (TiO{sub 2}) solution with grain sizes of 1–5 nm is prepared by microwave hydrothermal synthesis, and then mixed with silicon dioxide (SiO{sub 2}) solution to yield different SiO{sub 2}/TiO{sub 2} ratios. The mixed solution is then sol–gel spin-coated on glass as an anti-reflecting and self-cleaning bi-functional layer. The experimental results show that the transmittance is optimized not only by minimizing the reflectance by reflective index matching at the glass/air interface, but also by improving the film/glass interface adhesion. Adding SiO{sub 2} into TiO{sub 2} in a weight ratio of 5 leads to the highest average transmittance of 93.6% which is 3% higher than that of glass. All of the SiO{sub 2}–TiO{sub 2} films exhibit a remarkable inherent hydrophilicity even when not illuminated by ultra-violet light. Using the optimized SiO{sub 2}–TiO{sub 2} film in a hydrogenated amorphous silicon/microcrystalline silicon tandem, solar cell increases its conversion efficiency by 5.2%. Two months of outdoor testing revealed that cells with the SiO{sub 2}–TiO{sub 2} film avoid 1.7% of the degradation loss that is caused by dust and dirt in the environment. - Highlights: • High-transmittance and self-cleaning nano-sized SiO{sub 2}–TiO{sub 2} films are prepared. • Using SiO{sub 2}–TiO{sub 2} film can increase average transmittance from 90.5% (glass) to 93.6%. • The SiO{sub 2}–TiO{sub 2} films have naturally hydrophilicity with water contact angles < 13°. • Cells with the film have a 4.9% higher photocurrent than cells without the film.

  8. InAs film grown on Si(111) by metal organic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Caroff, P; Jeppsson, M; Mandl, B; Wernersson, L-E; Wheeler, D; Seabaugh, A; Keplinger, M; Stangl, J; Bauer, G

    2008-01-01

    We report the successful growth of high quality InAs films directly on Si(111) by Metal Organic Vapor Phase Epitaxy. A nearly mirror-like and uniform InAs film is obtained at 580 0 C for a thickness of 2 μm. We measured a high value of the electron mobility of 5100 cm 2 /Vs at room temperature. The growth is performed using a standard two-step procedure. The influence of the nucleation layer, group V flow rate, and layer thickness on the electrical and morphological properties of the InAs film have been investigated. We present results of our studies by Atomic Force Microscopy, Scanning Electron Microscopy, electrical Hall/van der Pauw and structural X-Ray Diffraction characterization

  9. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Xiangbin, E-mail: eexbzeng@mail.hust.edu.cn; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-04-30

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B{sub 2}H{sub 6} flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10{sup −3} Ω cm, mobility of 16.5–25.5 cm{sup 2}/Vs, and carrier concentration of 2.2–2.7 × 10{sup 20} cm{sup −3} were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n{sup +}-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm{sup 2} and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm{sup 2} and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  10. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    International Nuclear Information System (INIS)

    Zeng, Xiangbin; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-01-01

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B_2H_6 flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10"−"3 Ω cm, mobility of 16.5–25.5 cm"2/Vs, and carrier concentration of 2.2–2.7 × 10"2"0 cm"−"3 were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n"+-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm"2 and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm"2 and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  11. A Regrowth Method for the Fabrication of High-Quality ZnO Films and Their Application in Fast-Response UV Sensors

    Energy Technology Data Exchange (ETDEWEB)

    Nam, Giwoong; Kim, Sungsu; Jo, Euije; Kim, Gyeongjae; Leem, Jae-Young [Inje University, Gimhae (Korea, Republic of); Son, Jeong-Sik [Kyungwoon University, Gumi (Korea, Republic of); Kim, Sung-O [Kansas State University, Manhattan (United States)

    2017-07-15

    In this study, we fabricated high-quality ZnO films using hydrothermally grown ZnO nanorods and a spin-coated Al-doped ZnO film by using regrowth method. The photoluminescence (PL) intensity ratios of the near-band-edge (NBE) to deep-level (DL) emission peaks (I{sub NBE}/I{sub DL}) for ZnO nanorods (samples 1) and ZnO film (sample 2) were 2.13 and 24.3, respectively. The redshift from 3.288 (sample 2) to 3.278 eV (sample 1) and low I{sub NBE}/I{sub DL} ratio in PL spectra were attributed to large mismatch between ZnO and Si substrate, resulting in a residual stress and the low optical properties. In case of sample 2, the photocurrent was sharply increased without the exponential rise because of enhanced optical properties of ZnO film by regrowth. The regrowth method is expected to represent a possible route for fast-response ultraviolet sensors.

  12. Silicate formation at the interface of Pr-oxide as a high-K dielectric and Si(001) surfaces

    International Nuclear Information System (INIS)

    Schmeisser, D.; Zheng, F.; Perez-Dieste, V.; Himpsel, F.J.; LoNigro, R.; Toro, R.G.; Malandrino, G.; Fragala, I.L.

    2006-01-01

    The composition and chemical bonding of the first atoms across the interface between Si(001) and the dielectric determine the quality of dielectric gate stacks. An analysis of that hidden interface is a challenge as it requires both, high sensitivity and elemental and chemical state information. We used X-ray absorption spectroscopy in total electron yield and total fluorescence yield at the Si2p and the O1s edges to address that issue. We report on results of Pr 2 O 3 /Si(001) as prepared by both, epitaxial growth and metal organic chemical vapor deposition (MOCVD), and compare to the SiO 2 /Si(001) system as a reference. We find evidence for the silicate formation at the interface as derived from the characteristic features at the Si2p and the O1s edges. The results are in line with model experiments in which films of increasing film thickness are deposited in situ on bare Si(001) surfaces

  13. Film Cooled Recession of SiC/SiC Ceramic Matrix Composites: Test Development, CFD Modeling and Experimental Observations

    Science.gov (United States)

    Zhu, Dongming; Sakowski, Barbara A.; Fisher, Caleb

    2014-01-01

    SiCSiC ceramic matrix composites (CMCs) systems will play a crucial role in next generation turbine engines for hot-section component applications because of their ability to significantly increase engine operating temperatures, reduce engine weight and cooling requirements. However, the environmental stability of Si-based ceramics in high pressure, high velocity turbine engine combustion environment is of major concern. The water vapor containing combustion gas leads to accelerated oxidation and corrosion of the SiC based ceramics due to the water vapor reactions with silica (SiO2) scales forming non-protective volatile hydroxide species, resulting in recession of the ceramic components. Although environmental barrier coatings are being developed to help protect the CMC components, there is a need to better understand the fundamental recession behavior of in more realistic cooled engine component environments.In this paper, we describe a comprehensive film cooled high pressure burner rig based testing approach, by using standardized film cooled SiCSiC disc test specimen configurations. The SiCSiC specimens were designed for implementing the burner rig testing in turbine engine relevant combustion environments, obtaining generic film cooled recession rate data under the combustion water vapor conditions, and helping developing the Computational Fluid Dynamics (CFD) film cooled models and performing model validation. Factors affecting the film cooled recession such as temperature, water vapor concentration, combustion gas velocity, and pressure are particularly investigated and modeled, and compared with impingement cooling only recession data in similar combustion flow environments. The experimental and modeling work will help predict the SiCSiC CMC recession behavior, and developing durable CMC systems in complex turbine engine operating conditions.

  14. Single-crystal-like GdNdOx thin films on silicon substrates by magnetron sputtering and high-temperature annealing for crystal seed layer application

    Directory of Open Access Journals (Sweden)

    Ziwei Wang

    2016-06-01

    Full Text Available Single-crystal-like rare earth oxide thin films on silicon (Si substrates were fabricated by magnetron sputtering and high-temperature annealing processes. A 30-nm-thick high-quality GdNdOx (GNO film was deposited using a high-temperature sputtering process at 500°C. A Gd2O3 and Nd2O3 mixture was used as the sputtering target, in which the proportions of Gd2O3 and Nd2O3 were controlled to make the GNO’s lattice parameter match that of the Si substrate. To further improve the quality of the GNO film, a post-deposition annealing process was performed at a temperature of 1000°C. The GNO films exhibited a strong preferred orientation on the Si substrate. In addition, an Al/GNO/Si capacitor was fabricated to evaluate the dielectric constant and leakage current of the GNO films. It was determined that the single-crystal-like GNO films on the Si substrates have potential for use as an insulator layer for semiconductor-on-insulator and semiconductor/insulator multilayer applications.

  15. Enhanced field emission from Si doped nanocrystalline AlN thin films

    International Nuclear Information System (INIS)

    Thapa, R.; Saha, B.; Chattopadhyay, K.K.

    2009-01-01

    Si doped and undoped nanocrystalline aluminum nitride thin films were deposited on various substrates by direct current sputtering technique. X-ray diffraction analysis confirmed the formation of phase pure hexagonal aluminum nitride with a single peak corresponding to (1 0 0) reflection of AlN with lattice constants, a = 0.3114 nm and c = 0.4986 nm. Energy dispersive analysis of X-rays confirmed the presence of Si in the doped AlN films. Atomic force microscopic studies showed that the average particle size of the film prepared at substrate temperature 200 deg. C was 9.5 nm, but when 5 at.% Si was incorporated the average particle size increased to ∼21 nm. Field emission study indicated that, with increasing Si doping concentration, the emission characteristics have been improved. The turn-on field (E to ) was 15.0 (±0.7) V/μm, 8.0 (±0.4) V/μm and 7.8 (±0.5) V/μm for undoped, 3 at.% and 5 at.% Si doped AlN films respectively and the maximum current density of 0.27 μA/cm 2 has been observed for 5 at.% Si doped nanocrystalline AlN film. It was also found that the dielectric properties were highly dependent on Si doping.

  16. Influence of sputtering gas pressure on properties of transparent conducting Si-doped zinc oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Qin, Hua; Liu, Hunfa; Lei, Chengxin [Shandong Univ. of Technology, Zibo (China). Dept. of Sciences

    2013-10-15

    Si-doped zinc oxide (SZO, Si 3%) thin films were deposited on glass substrates by means of direct current magnetron sputtering under different pressures. The influence of sputtering pressure on structure, morphology, optical and electrical properties of SZO thin films was investigated. The results reveal that the sputtering pressures have a significant impact on the growth rate, crystal quality and electrical properties of the films, but have little impact on the optical properties of the films. SZO thin film samples grown on glasses are polycrystalline with a hexagonal wurtzite structure and have a preferred orientation along the c-axis perpendicular to the substrate. When the sputtering pressure increases from 2 to 8 Pa, the film surface becomes compact and smooth, the degree of crystallization of the films increases, and the resistivity of films decreases. However, when the sputtering pressure continues to increase from 8 to 10 Pa, the degree of crystallization of the films decreases, the grain size decreases, and the resistivity of the films increases. SZO(3%) thin film deposited at a sputtering pressure of 8 Pa shows the largest carrier concentration, the largest mobility, the lowest resistivity of 3.0 x 10{sup -4} {Omega} cm and a high overall transmission of 93.3% in the visible range. (orig.)

  17. Fabrication of high-quality single-crystal Cu thin films using radio-frequency sputtering.

    Science.gov (United States)

    Lee, Seunghun; Kim, Ji Young; Lee, Tae-Woo; Kim, Won-Kyung; Kim, Bum-Su; Park, Ji Hun; Bae, Jong-Seong; Cho, Yong Chan; Kim, Jungdae; Oh, Min-Wook; Hwang, Cheol Seong; Jeong, Se-Young

    2014-08-29

    Copper (Cu) thin films have been widely used as electrodes and interconnection wires in integrated electronic circuits, and more recently as substrates for the synthesis of graphene. However, the ultra-high vacuum processes required for high-quality Cu film fabrication, such as molecular beam epitaxy (MBE), restricts mass production with low cost. In this work, we demonstrated high-quality Cu thin films using a single-crystal Cu target and radio-frequency (RF) sputtering technique; the resulting film quality was comparable to that produced using MBE, even under unfavorable conditions for pure Cu film growth. The Cu thin film was epitaxially grown on an Al2O3 (sapphire) (0001) substrate, and had high crystalline orientation along the (111) direction. Despite the 10(-3) Pa vacuum conditions, the resulting thin film was oxygen free due to the high chemical stability of the sputtered specimen from a single-crystal target; moreover, the deposited film had >5× higher adhesion force than that produced using a polycrystalline target. This fabrication method enabled Cu films to be obtained using a simple, manufacturing-friendly process on a large-area substrate, making our findings relevant for industrial applications.

  18. Effects of germane flow rate in electrical properties of a-SiGe:H films for ambipolar thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Dominguez, Miguel, E-mail: madominguezj@gmail.com [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Rosales, Pedro, E-mail: prosales@inaoep.mx [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Torres, Alfonso [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Flores, Francisco [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Molina, Joel; Moreno, Mario [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Luna, Jose [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Orduña, Abdu [Centro de Investigación en Biotecnología Aplicada (CIBA), IPN, Tlaxcala, Tlaxcala 72197 (Mexico)

    2014-07-01

    In this work, the study of germane flow rate in electrical properties of a-SiGe:H films is presented. The a-SiGe:H films deposited by low frequency plasma-enhanced chemical vapor deposition at 300 °C were characterized by Fourier transform infrared spectroscopy, measurements of temperature dependence of conductivity and UV–visible spectroscopic ellipsometry. After finding the optimum germane flow rate conditions, a-SiGe:H films were deposited at 200 °C and analyzed. The use of a-SiGe:H films at 200 °C as active layer of low-temperature ambipolar thin-film transistors (TFTs) was demonstrated. The inverted staggered a-SiGe:H TFTs with Spin-On Glass as gate insulator were fabricated. These results suggest that there is an optimal Ge content in the a-SiGe:H films that improves its electrical properties. - Highlights: • As the GeH{sub 4} flow rate increases the content of oxygen decreases. • Ge-H bonds show the highest value in a-SiGe:H films with GeH{sub 4} flow of 105 sccm. • Films with GeH{sub 4} flow of 105 sccm show the highest activation energy. • An optimum incorporation of germanium is obtained with GeH{sub 4} flow rate of 105 sccm. • At 200 °C the optimum condition of the a-SiGe:H films remain with no changes.

  19. Enhancing Light-Trapping Properties of Amorphous Si Thin-Film Solar Cells Containing High-Reflective Silver Conductors Fabricated Using a Nonvacuum Process

    Directory of Open Access Journals (Sweden)

    Jun-Chin Liu

    2014-01-01

    Full Text Available We proposed a low-cost and highly reflective liquid organic sheet silver conductor using back contact reflectors in amorphous silicon (a-Si single junction superstrate configuration thin-film solar cells produced using a nonvacuum screen printing process. A comparison of silver conductor samples with vacuum-system-sputtered silver samples indicated that the short-circuit current density (Jsc of sheet silver conductor cells was higher than 1.25 mA/cm2. Using external quantum efficiency measurements, the sheet silver conductor using back contact reflectors in cells was observed to effectively enhance the light-trapping ability in a long wavelength region (between 600 nm and 800 nm. Consequently, we achieved an optimal initial active area efficiency and module conversion efficiency of 9.02% and 6.55%, respectively, for the a-Si solar cells. The results indicated that the highly reflective sheet silver conductor back contact reflector layer prepared using a nonvacuum process is a suitable candidate for high-performance a-Si thin-film solar cells.

  20. Preparation of ZnO film on p-Si and I-V characteristics of p-Si/n-ZnO

    Directory of Open Access Journals (Sweden)

    Shampa Mondal

    2012-01-01

    Full Text Available Zinc oxide (ZnO thin films were deposited on p-silicon (Si substrate from ammonium zincate bath following a chemical dipping technique called SILAR. Films in the thickness range 0.5-4.5 µm could be prepared by varying the number of dipping for a fixed concentration (0.125 M of zincate bath and fixed pH (11.00-11.10. Higher values of dipping produced nonadherent and poor quality films. Structural characterization by X-ray diffraction (XRD indicates the formation of polycrystalline single phase ZnO with strong c-axis orientation. The structural characteristics of the films were found to be a sensitive function of film thickness. The degree of orientation was found to be a function of film thickness and a maximum was found at around 2.2 µm. Scanning electron microscopy (SEM reveals the formation of sub-micrometer crystallites on silicon substrate. The coverage of crystallites (grains on substrate surface increases with number of dipping. Dense film containing grains distributed throughout the surface is obtained at large thicknesses. The ohmic nature of silver (Ag on ZnO and Aluminum (Al on p-Si was confirmed by I-V measurements. I-V characteristic of the p-Si/n-ZnO heterojunction was studied and rectification was observed. The maximum value of forward to reverse current ratio was ~15 at 3.0 V.

  1. Preparation of ZnO film on p-Si and I-V characteristics of p-Si/n-ZnO

    Directory of Open Access Journals (Sweden)

    Shampa Mondal

    2013-02-01

    Full Text Available Zinc oxide (ZnO thin films were deposited on p-silicon (Si substrate from ammonium zincate bath following a chemical dipping technique called SILAR. Films in the thickness range 0.5-4.5 µm could be prepared by varying the number of dipping for a fixed concentration (0.125 M of zincate bath and fixed pH (11.00-11.10. Higher values of dipping produced nonadherent and poor quality films. Structural characterization by X-ray diffraction (XRD indicates the formation of polycrystalline single phase ZnO with strong c-axis orientation. The structural characteristics of the films were found to be a sensitive function of film thickness. The degree of orientation was found to be a function of film thickness and a maximum was found at around 2.2 µm. Scanning electron microscopy (SEM reveals the formation of sub-micrometer crystallites on silicon substrate. The coverage of crystallites (grains on substrate surface increases with number of dipping. Dense film containing grains distributed throughout the surface is obtained at large thicknesses. The ohmic nature of silver (Ag on ZnO and Aluminum (Al on p-Si was confirmed by I-V measurements. I-V characteristic of the p-Si/n-ZnO heterojunction was studied and rectification was observed. The maximum value of forward to reverse current ratio was ~15 at 3.0 V.

  2. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  3. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  4. Formation of thin DLC films on SiO{sub 2}/Si substrate using FCVAD technique

    Energy Technology Data Exchange (ETDEWEB)

    Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Aramwit, C.; Tippawan, U. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Diamond-like carbon (DLC) films deposited on SiO{sub 2}/Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO{sub 2}/Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I{sub D}/I{sub G} ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I{sub D}/I{sub G} ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp{sup 3} site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp{sup 3} fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO{sub 2} substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC

  5. Fabrication of bright and thin Zn₂SiO₄ luminescent film for electron beam excitation-assisted optical microscope.

    Science.gov (United States)

    Furukawa, Taichi; Kanamori, Satoshi; Fukuta, Masahiro; Nawa, Yasunori; Kominami, Hiroko; Nakanishi, Yoichiro; Sugita, Atsushi; Inami, Wataru; Kawata, Yoshimasa

    2015-07-13

    We fabricated a bright and thin Zn₂SiO₄ luminescent film to serve as a nanometric light source for high-spatial-resolution optical microscopy based on electron beam excitation. The Zn₂SiO₄ luminescent thin film was fabricated by annealing a ZnO film on a Si₃N₄ substrate at 1000 °C in N₂. The annealed film emitted bright cathodoluminescence compared with the as-deposited film. The film is promising for nano-imaging with electron beam excitation-assisted optical microscopy. We evaluated the spatial resolution of a microscope developed using this Zn₂SiO₄ luminescent thin film. This is the first report of the investigation and application of ZnO/Si₃N₄ annealed at a high temperature (1000 °C). The fabricated Zn₂SiO₄ film is expected to enable high-frame-rate dynamic observation with ultra-high resolution using our electron beam excitation-assisted optical microscopy.

  6. Composition, structure and properties of SiN x films fabricated by pulsed reactive closed-field unbalanced magnetron sputtering

    International Nuclear Information System (INIS)

    Yao, Zh.Q.; Yang, P.; Huang, N.; Sun, H.; Wan, G.J.; Leng, Y.X.; Chen, J.Y.

    2005-01-01

    Silicon nitride (SiN x ) thin films are of special interest in both scientific research and industrial applications due to their remarkable properties such as high thermal stability, chemical inertness, high hardness and good dielectric properties. In this work, SiN x films were fabricated by pulsed reactive closed-field unbalanced magnetron sputtering of high purity single crystal silicon targets in an Ar-N 2 mixture. The effect of N 2 partial pressure on the film composition, chemical bonding configurations, surface morphology, surface free energy, optical and mechanical properties were investigated. We showed that with increased N 2 partial pressure, the N to Si ratio (N/Si) in the film increased and N atoms are preferentially incorporated in the NSi 3 stoichiometric configuration. It leads the Si-N network a tendency to chemical order. Films deposited at a high N 2 fraction were consistently N-rich. The film surface transformed from a loose granular structure with microporosity to a homogeneous, continuous, smooth and dense structure. A progressive densification of the film microstructure occurs as the N 2 fraction is increased. The reduced surface roughness and the increased N incorporation in the film give rise to the increased contact angle with double-distilled water from 24 o to 49.6 o . To some extent, the SiN x films deposited by pulsed magnetron sputtering are hydrophilic in nature. The as-deposited SiN x films exhibit good optical transparency in the visible region and the optical band gap E opt can be varied from 1.68 eV for a-Si to 3.62 eV for SiN x films, depending on the synthesis parameters. With the increase of the N/Si atomic ratio, wear resistance of the SiN x films was improved, a consequence of increased hardness and elastic modulus. The SiN x films have lower friction coefficient and better wear resistance than 316L stainless steel under dry sliding friction, where the SiN x films experienced only fatigue wear

  7. Mechanical Properties of ZTO, ITO, and a-Si:H Multilayer Films for Flexible Thin Film Solar Cells.

    Science.gov (United States)

    Hengst, Claudia; Menzel, Siegfried B; Rane, Gayatri K; Smirnov, Vladimir; Wilken, Karen; Leszczynska, Barbara; Fischer, Dustin; Prager, Nicole

    2017-03-01

    The behavior of bi- and trilayer coating systems for flexible a-Si:H based solar cells consisting of a barrier, an electrode, and an absorption layer is studied under mechanical load. First, the film morphology, stress, Young's modulus, and crack onset strain (COS) were analyzed for single film coatings of various thickness on polyethylene terephthalate (PET) substrates. In order to demonstrate the role of the microstructure of a single film on the mechanical behavior of the whole multilayer coating, two sets of InSnOx (indium tin oxide, ITO) conductive coatings were prepared. Whereas a characteristic grain-subgrain structure was observed in ITO-1 films, grain growth was suppressed in ITO-2 films. ITO-1 bilayer coatings showed two-step failure under tensile load with cracks propagating along the ITO-1/a-Si:H-interface, whereas channeling cracks in comparable bi- and trilayers based on amorphous ITO-2 run through all constituent layers. A two-step failure is preferable from an application point of view, as it may lead to only a degradation of the performance instead of the ultimate failure of the device. Hence, the results demonstrate the importance of a fine-tuning of film microstructure not only for excellent electrical properties, but also for a high mechanical performance of flexible devices (e.g., a-Si:H based solar cells) during fabrication in a roll-to-roll process or under service.

  8. Mechanical Properties of ZTO, ITO, and a-Si:H Multilayer Films for Flexible Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Claudia Hengst

    2017-03-01

    Full Text Available The behavior of bi- and trilayer coating systems for flexible a-Si:H based solar cells consisting of a barrier, an electrode, and an absorption layer is studied under mechanical load. First, the film morphology, stress, Young’s modulus, and crack onset strain (COS were analyzed for single film coatings of various thickness on polyethylene terephthalate (PET substrates. In order to demonstrate the role of the microstructure of a single film on the mechanical behavior of the whole multilayer coating, two sets of InSnOx (indium tin oxide, ITO conductive coatings were prepared. Whereas a characteristic grain–subgrain structure was observed in ITO-1 films, grain growth was suppressed in ITO-2 films. ITO-1 bilayer coatings showed two-step failure under tensile load with cracks propagating along the ITO-1/a-Si:H-interface, whereas channeling cracks in comparable bi- and trilayers based on amorphous ITO-2 run through all constituent layers. A two-step failure is preferable from an application point of view, as it may lead to only a degradation of the performance instead of the ultimate failure of the device. Hence, the results demonstrate the importance of a fine-tuning of film microstructure not only for excellent electrical properties, but also for a high mechanical performance of flexible devices (e.g., a-Si:H based solar cells during fabrication in a roll-to-roll process or under service.

  9. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  10. Performance of RF sputtered p-Si/n-ZnO nanoparticle thin film heterojunction diodes in high temperature environment

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Satyendra Kumar, E-mail: satyndra.singh.eee09@itbhu.ac.in [Department of Electronics and Communication Engineering, Model Institute of Engineering and Technology, Jammu, 181122 (India); Department of Electronics and Communication Engineering, Motilal Nehru National Institute of Technology, Allahabad, Uttar Pradesh, 211004 (India); Hazra, Purnima, E-mail: purnima.hazra@smvdu.ac.in [Department of Electronics and Communication Engineering, Shri Mata Vaishno Devi University, Katra, Jammu and Kashmir, 182320 (India)

    2017-04-01

    Highlights: • Synthesize ZnO nanoparticle thin film on p-Si substrate using RF sputtering method. • I–V and C–V characteristics of Si/ZnO heterojunction diode are studied. • High temperature performance is analyzed accounting barrier height inhomogeneities. • Gaussian distribution of BH inhomogeneities is considered to modify Richardson plot. • Modified R constant is 33.06 Acm{sup −2}K{sup −2}, i.e. nearer to theoretical value 32 Acm{sup −2}K{sup −2}. - Abstract: In this article, temperature-dependent current-voltage characteristics of n-ZnO/p-Si nanoparticle thin film heterojunction diode grown by RF sputtering technique are analyzed in the temperature range of 300–433 k to investigate the performance of the device in high temperature environment. The microstructural, morphological, optical and temptrature dependent electrical properties of as-grown nanoparticle thin film were characterized by X-ray diffractometer (XRD), atomic force microscopy (AFM), field emmision scanning electron microscopy (FESEM), energy-dispersive X-ray spectroscopy (EDX), variable angle ellipsometer and semiconductor device analyzer. XRD spectra of as-grown ZnO films are exhibited that highly c-axis oriented ZnO nanostructures are grown on p- Si〈100〉 substrate whereas AFM and FESEM images confirm the homogeneous deposition of ZnO nanoparticles on surface of Si substratewith minimum roughness.The optical propertiesof as-grown ZnO nanoparticles have been measured in the spectral range of 300–800 nm using variable angle ellipsometer.To measure electrical parameters of the device prototype in the temperature range of room temperature (300 K) to 433 K, large area ohmic contacts were fabricated on both side of the ZnO/Si heterostructure. From the current-voltage charcteristics of ZnO/Si heterojunction device, it is observed that the device exhibits rectifing nature at room temperature. However, with increase in temperature, reverse saturation current and barrier

  11. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  12. High Efficiency Thin Film CdTe and a-Si Based Solar Cells: Final Technical Report, 4 March 1998--15 October 2001

    Energy Technology Data Exchange (ETDEWEB)

    Compaan, A. D.; Deng, X.; Bohn, R. G.

    2003-10-01

    This is the final report covering about 42 months of this subcontract for research on high-efficiency CdTe-based thin-film solar cells and on high-efficiency a-Si-based thin-film solar cells. Phases I and II have been extensively covered in two Annual Reports. For this Final Report, highlights of the first two Phases will be provided and then detail will be given on the last year and a half of Phase III. The effort on CdTe-based materials is led by Prof. Compaan and emphasizes the use of sputter deposition of the semiconductor layers in the fabrication of CdS/CdTe cells. The effort on high-efficiency a-Si materials is led by Prof. Deng and emphasizes plasma-enhanced chemical vapor deposition for cell fabrication with major efforts on triple-junction devices.

  13. Stress impedance effects in flexible amorphous FeCoSiB magnetoelastic films

    International Nuclear Information System (INIS)

    Zhang Wanli; Peng Bin; Su Ding; Tang Rujun; Jiang Hongchuan

    2008-01-01

    Amorphous FeCoSiB films were deposited on the flexible polyimide substrates (Kapton type (VN)) by DC magnetron sputtering. Stress impedance (SI) effects of the flexible amorphous FeCoSiB magnetoelastic films were investigated in details. The results show that a large stress impedance effect can be observed in the flexible amorphous FeCoSiB magnetoelastic films. And the results also show a bias magnetic field plays an important role in the stress impedance of FeCoSiB films. Applied a bias magnetic field during depositing can induce obvious in-plane anisotropy in the FeCoSiB films, and a larger SI effect can be obtained with a stronger anisotropy in FeCoSiB films. Argon pressure has a significant effect on the SI effect of the FeCoSiB films. The SI of the FeCoSiB films reaches a maximum of 7.6% at argon pressure of 1.5 Pa, which can be explained by the change of residual stress in FeCoSiB films

  14. Hydrogen kinetics in a-Si:H and a-SiC:H thin films investigated by real-time ERD

    Energy Technology Data Exchange (ETDEWEB)

    Halindintwali, S., E-mail: shalindintwali@uwc.ac.za [Physics Department, University of the Western Cape, Private Bag X17, Bellville 7535 (South Africa); Khoele, J. [Physics Department, University of the Western Cape, Private Bag X17, Bellville 7535 (South Africa); Nemroaui, O. [Department of Mechatronics, Cape Peninsula University of Technology, P.O. Box 1906, Bellville 7535 (South Africa); Comrie, C.M. [Department of Physics, University of Cape Town, Rondebosch 7700 (South Africa); Materials Research Department, iThemba LABS, P.O. Box 722, Somerset West 7129 (South Africa); Theron, C.C. [Physics Department, University of Pretoria, Private Bag X20, Hatfield 0028 (South Africa)

    2015-04-15

    Hydrogen effusion from hydrogenated amorphous silicon (a-Si:H) and amorphous silicon carbide (a-Si{sub 1−x}C{sub x}:H) thin films during a temperature ramp between RT and 600 °C was studied by in situ real-time elastic recoil detection analysis. Point to point contour maps show the hydrogen depth profile and its evolution with the ramped temperature. This paper proposes a diffusion limited evolution model to study H kinetic properties from total retained H contents recorded in a single ramp. In a compact a-Si:H layer where H predominantly effuses at high temperatures between 500 and 600 °C, an activation energy value of ∼1.50 eV and a diffusion pre-factor of 0.41 × 10{sup −4} cm{sup 2}/s were obtained. Applied to an non-stoichiometric a-Si{sub 1−x}C{sub x}:H film in the same range of temperature, the model led to reduced values of activation energy and diffusion prefactor of ∼0.33 eV and 0.59 × 10{sup −11} cm{sup 2}/s, respectively.

  15. The Effects of Film Thickness and Evaporation Rate on Si-Cu Thin Films for Lithium Ion Batteries.

    Science.gov (United States)

    Polat, B Deniz; Keles, Ozgul

    2015-12-01

    The reversible cyclability of Si based composite anodes is greatly improved by optimizing the atomic ratio of Si/Cu, the thickness and the evaporation rates of films fabricated by electron beam deposition method. The galvanostatic test results show that 500 nm thick flim, having 10%at. Cu-90%at. Si, deposited with a moderate evaporation rate (10 and 0.9 Å/s for Si and Cu respectively) delivers 2642.37 mAh g(-1) as the first discharge capacity with 76% Coulombic efficiency. 99% of its initial capacity is retained after 20 cycles. The electron conductive pathway and high mechanical tolerance induced by Cu atoms, the low electrical resistivity of the film due to Cu3Si particles, and the homogeneously distributed nano-sized/amorphous particles in the composite thin film could explain this outstanding electrochemical performance of the anode.

  16. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  17. Microstructure and mechanical properties of Ti–B–C–N–Si nanocomposite films deposited by unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Jang, Jaeho; An, Eunsol; Park, In-Wook; Nam, Dae-Geun [Korea Institute of Industrial Technology (KITECH), Busan, 618-230 (Korea, Republic of); Jo, Ilguk; Lin, Jianliang; Moore, John J. [Advanced Coatings and Surface Engineering Laboratory (ACSEL), Colorado School of Mines, 1500 Illinois St., Golden, Colorado 80401 (United States); Ho Kim, Kwang; Park, Ikmin [School of Materials Science and Engineering, Pusan National University, Busan, 609-735 (Korea, Republic of)

    2013-11-15

    Quinary Ti–B–C–N–Si nanocomposite thin films were deposited on AISI 304 stainless steel substrates by d.c. unbalanced magnetron sputtering from a TiB{sub 2}–TiC compound target and a pure Si target. The relationship between microstructure and mechanical properties of the films was investigated in terms of the nanosized crystallites/amorphous system. The synthesized Ti–B–C–N–Si films were characterized using x-ray diffraction, x-ray photoelectron spectroscopy, atomic force microscopy, and high resolution transmission electron microscopy. The results showed that the Ti–B–C–N–Si films were nanocomposites composed of nanosized TiB{sub 2}, TiC, and TiSi{sub 2} crystallites (2-3 nm in size) embedded in an amorphous matrix. The addition of Si to the Ti–B–C–N film led to precipitation of nanosized crystalline TiSi{sub 2} and percolation of amorphous SiC phases. The Ti–B–C–N–Si films with up to 7 at. % Si content presented high hardness (≥35 GPa), H/E (≥0.0095), and W{sub e} (>50%) with compressive residual stress (∼0.5 GPa). A systematic investigation on the microstructure and mechanical properties of Ti–B–C–N–Si films containing different Si contents is reported.

  18. Formation, structure, and phonon confinement effect of nanocrystalline Si1-xGex in SiO2-Si-Ge cosputtered films

    International Nuclear Information System (INIS)

    Yang, Y.M.; Wu, X.L.; Siu, G.G.; Huang, G.S.; Shen, J.C.; Hu, D.S.

    2004-01-01

    Using magnetron cosputtering of SiO 2 , Ge, and Si targets, Si-based SiO 2 :Ge:Si films were fabricated for exploring the influence of Si target proportion (P Si ) and annealing temperature (Ta) on formation, local structure, and phonon properties of nanocrystalline Si 1-x Ge x (nc-Si 1-x Ge x ). At low P Si and Ta higher than 800 deg. C, no nc-Si 1-x Ge x but a kind of composite nanocrystal consisting of a Ge core, GeSi shell, and amorphous Si outer shell is formed in the SiO 2 matrix. At moderate P Si , nc-Si 1-x Ge x begins to be formed at Ta=800 deg. C and coexists with nc-Ge at Ta=1100 deg. C. At high P Si , it was disclosed that both optical phonon frequency and lattice spacing of nc-Si 1-x Ge x increase with raising Ta. The possible origin of this phenomenon is discussed by considering three factors, the phonon confinement, strain effect, and composition variation of nc-Si 1-x Ge x . This work will be helpful in understanding the growth process of ternary GeSiO films and beneficial to further investigations on optical properties of nc-Ge 1-x Si x in the ternary matrix

  19. The effects of H sub 2 addition on the enhanced deposition rate and high quality Cu films by MOCVD

    CERN Document Server

    Lee, J H; Park, S J; Choi, S Y

    1998-01-01

    High-quality Cu thin films were deposited on the TiN/Si substrate from the hexafluoroacetylacetonate Copper thrmethylvinylsilane [Cu (hfac) (tmvs)] source using a metal organic chemical vapor deposition (MOCVD) technique. The optimum deposition condition is with a substrate temperature of 200 .deg. C and the hydrogen flow rate of 80 sccm. The deposition rate, electrical resistivity, surface morphology, grain size, and optical properties of the deposited Cu films were investigated by the AES, four-point probe, SEM, XRD, and the visible spectrophotometer as a function of hydrogen gas flow rate, The results indicated that additional hydrogen gas affects the CVD hydrogen reduction reaction improving the purity, deposition rate, and electrical resistivity of Cu thin films. A prospective idea will be discussed for the preparation of Cu thin films showing a more enhanced electromigration resistance applicable to the next-generation interconnection.

  20. Thin film solar modules: the low cost, high throughput and versatile alternative to Si wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hegedus, S. [Delaware Univ., Inst. of Energy Conversion, Newark, DE (United States)

    2006-07-01

    Thin film solar cells (TFSC) have passed adolescence and are ready to make a substantial contribution to the world's electricity generation. They can have advantages over c-Si solar modules in ease of large area, lower cost manufacturing and in several types of applications. Factors which limit TFSC module performance relative to champion cell performance are discussed along with the importance of increased throughput and yield. The consensus of several studies is that all TFSC can achieve costs below 1 $/W if manufactured at sufficiently large scale >100 MW using parallel lines of cloned equipment with high material utilization and spray-on encapsulants. There is significant new commercial interest in TFSC from small investors and large corporations, validating the thin film approach. Unique characteristics are discussed which give TFSC an advantage over c-Si in two specific markets: small rural solar home systems and building integrated photovoltaic installations. TFSC have outperformed c-Si in annual energy production (kWhrs/kW), have demonstrated outdoor durability comparable to c-Si and are being used in MW scale installations worldwide. The merits of the thin film approach cannot be judged on the basis of efficiency alone but must also account for module performance and potential for low cost. TFSC advocates should promote their unique virtues compared to c-Si: lower cost, higher kWhr/kW output, higher battery charging current, attractive visual appearance, flexible substrates, long-term stability comparable to c-Si, and multiple pathways for deposition with room for innovation and evolutionary improvement. There is a huge market for TFSC even at today's efficiency if costs can be reduced. A brief window of opportunity exists for TFSC over the next few years due the Si shortage. The demonstrated capabilities and advantages of TFSC must be proclaimed more persistently to funding decision-makers and customers without minimizing the remaining

  1. Characterization of SiC in DLC/a-Si films prepared by pulsed filtered cathodic arc using Raman spectroscopy and XPS

    International Nuclear Information System (INIS)

    Srisang, C.; Asanithi, P.; Siangchaew, K.; Pokaipisit, A.; Limsuwan, P.

    2012-01-01

    DLC/a-Si films were deposited on germanium substrates. a-Si film was initially deposited as a seed layer on the substrate using DC magnetron sputtering. DLC film was then deposited on the a-Si layer via a pulsed filtered cathodic arc (PFCA) system. In situ ellipsometry was used to monitor the thicknesses of the growth films, allowing a precise control over the a-Si and DLC thicknesses of 6 and 9 nm, respectively. It was found that carbon atoms implanting on a-Si layer act not only as a carbon source for DLC formation, but also as a source for SiC formation. The Raman peak positions at 796 cm -1 and 972 cm -1 corresponded to the LO and TO phonon modes of SiC, respectively, were observed. The results were also confirmed using TEM, XPS binding energy and XPS depth profile analysis.

  2. Epitaxial growth of 3C-SiC by using C{sub 60} as a carbon source; Untersuchungen zum epitaktischen Wachstum von 3C-SiC bei Verwendung einer C{sub 60}-Kohlenstoffquelle

    Energy Technology Data Exchange (ETDEWEB)

    Schreiber, Sascha

    2006-01-15

    Within this work epitaxial 3C-SiC-films were grown on Si(001) substrates and on ion beam synthesized 3C-SiC(001) pseudo substrates. A rather new process was used which is based on the simultaneous deposition of C60 and Si. In order to set up the necessary experimental conditions an ultra-high vacuum chamber has been designed and built. A RHEED system was used to examine SiC film growth in-situ. Using the described technique 3C-SiC films were grown void-free on Si(001) substrates. Deposition rates of C60 and Si were chosen adequately to maintain a Si:C ratio of approximately one during the deposition process. It was shown that stoichiometric and epitaxial 3C-SiC growth with the characteristic relationship (001)[110]Si(001)[110]3C-SiC could be achieved. TEM investigations revealed that the grown 3C-SiC films consist of individual grains that extend from the Si substrate to the film surface. Two characteristic grain types could be identified. The correlation between structure and texture of void-free grown 3C-SiC films and film thickness was studied by X-ray diffraction (XRD). Pole figure measurements showed that thin films only contain first-order 3C-SiC twins. With higher film thickness also second-order twins are found which are located as twin lamellae in grain type 2. Improvement of polar texture with increasing film thickness couldn't be observed in the investigated range of up to 550 nm. On ion beam synthesized 3C-SiC pseudo substrates homoepitaxial 3C-SiC growth could be demonstrated for the first time by using a C{sub 60} carbon source. In respect to the crystalline quality of the grown films the surface quality of the used substrates was identified as a crucial factor. Furthermore a correlation between the ratio of deposition rates of C{sub 60} and Si and 3C-SiC film quality could be found. Under silicon-rich conditions, i.e. with a Si:C ratio of slightly greater one, homoepitaxial 3C-SiC layer-by-layer growth can be achieved. Films grown under these

  3. Preparation of ZnO film on p-Si and I-V characteristics of p-Si/n-ZnO

    OpenAIRE

    Mondal, Shampa; Kanta, Kalyani Prasad; Mitra, Partha

    2012-01-01

    Zinc oxide (ZnO) thin films were deposited on p-silicon (Si) substrate from ammonium zincate bath following a chemical dipping technique called SILAR. Films in the thickness range 0.5-4.5 µm could be prepared by varying the number of dipping for a fixed concentration (0.125 M) of zincate bath and fixed pH (11.00-11.10). Higher values of dipping produced nonadherent and poor quality films. Structural characterization by X-ray diffraction (XRD) indicates the formation of polycrystalline single ...

  4. Investigation of Fe-Si-N films as magnetic overcoat for high density recording disk drives

    International Nuclear Information System (INIS)

    Gauvin, M.; Talke, F. E.; Fullerton, E. E.

    2010-01-01

    A 50-nm-thick Fe-Si-N films were deposited via reactive magnetron cosputtering of independent Fe and Si targets, in Ar/N 2 gas mixture, under different dc Fe target power conditions. Magnetic properties, mechanical hardness and tribological properties were characterized as a function of the Fe target power by magnetometry, nanoindentation, and nanoscratch testing, respectively. Deposited samples were found to be ferromagnetic with a coercivity of approximately 20 Oe and a saturation magnetization increasing from 200 to 1100 emu/cm 3 as a function of Fe sputter power, i.e., values typical of soft magnetic materials. The mechanical hardness was found to be between 50% and 70% of the hardness of a pure SiN x film. Nanotribological properties of films deposited with a Fe target power ≥80 W degraded rapidly.

  5. Compositional and optical properties of SiO x films and (SiO x /SiO y ) junctions deposited by HFCVD

    Science.gov (United States)

    2014-01-01

    In this work, non-stoichiometric silicon oxide (SiO x ) films and (SiO x /SiO y ) junctions, as-grown and after further annealing, are characterized by different techniques. The SiO x films and (SiO x /SiO y ) junctions are obtained by hot filament chemical vapor deposition technique in the range of temperatures from 900°C to 1,150°C. Transmittance spectra of the SiO x films showed a wavelength shift of the absorption edge thus indicating an increase in the optical energy band gap, when the growth temperature decreases; a similar behavior is observed in the (SiO x /SiO y ) structures, which in turn indicates a decrease in the Si excess, as Fourier transform infrared spectroscopy (FTIR) reveals, so that, the film and junction composition changes with the growth temperature. The analysis of the photoluminescence (PL) results using the quantum confinement model suggests the presence of silicon nanocrystal (Si-nc) embedded in a SiO x matrix. For the case of the as-grown SiO x films, the absorption and emission properties are correlated with quantum effects in Si-nc and defects. For the case of the as-grown (SiO x /SiO y ) junctions, only the emission mechanism related to some kinds of defects was considered, but silicon nanocrystal embedded in a SiO x matrix is present. After thermal annealing, a phase separation into Si and SiO2 occurs, as the FTIR spectra illustrates, which has repercussions in the absorption and emission properties of the films and junctions, as shown by the change in the A and B band positions on the PL spectra. These results lead to good possibilities for proposed novel applications in optoelectronic devices. PACS 61.05.-a; 68.37.Og; 61.05.cp; 78.55.-m; 68.37.Ps; 81.15.Gh PMID:25342935

  6. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  7. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Science.gov (United States)

    Budak, S.; Heidary, K.; Johnson, R. B.; Colon, T.; Muntele, C.; Ila, D.

    2014-08-01

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S2σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  8. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Heidary, K. [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Johnson, R.B.; Colon, T. [Department of Physics, Alabama A and M University, Huntsville, AL (United States); Muntele, C. [Cygnus Scientific Services, Huntsville, AL (United States); Ila, D. [Department of Physics, Fayetteville St. University, Fayetteville, NC (United States)

    2014-08-15

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S{sup 2}σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  9. Surface passivation by Al2O3 and a-SiNx: H films deposited on wet-chemically conditioned Si surfaces

    NARCIS (Netherlands)

    Bordihn, S.; Mertens, V.; Engelhart, P.; Kersten, K.; Mandoc, M.M.; Müller, J.W.; Kessels, W.M.M.

    2012-01-01

    The surface passivation of p- and n-type silicon by different chemically grown SiO2 films (prepared by HNO3, H2SO4/H2O2 and HCl/H2O2 treatments) was investigated after PECVD of a-SiNx:H and ALD of Al2O3 capping films. The wet chemically grown SiO2 films were compared to thermally grown SiO2 and the

  10. Raman Spectroscopy of DLC/a-Si Bilayer Film Prepared by Pulsed Filtered Cathodic Arc

    Directory of Open Access Journals (Sweden)

    C. Srisang

    2012-01-01

    Full Text Available DLC/a-Si bilayer film was deposited on germanium substrate. The a-Si layer, a seed layer, was firstly deposited on the substrate using DC magnetron sputtering and DLC layer was then deposited on the a-Si layer using pulsed filtered cathodic arc method. The bilayer films were deposited with different DLC/a-Si thickness ratios, including 2/2, 2/6, 4/4, 6/2, and 9/6. The effect of DLC/a-Si thickness ratios on the sp3 content of DLC was analyzed by Raman spectroscopy. The results show that a-Si layer has no effect on the structure of DLC film. Furthermore, the upper shift in G wavenumber and the decrease in ID/IG inform that sp3 content of the film is directly proportional to DLC thickness. The plot modified from the three-stage model informed that the structural characteristics of DLC/a-Si bilayer films are located close to the tetrahedral amorphous carbon. This information may be important for analyzing and developing bilayer protective films for future hard disk drive.

  11. Recent progress in Si thin film technology for solar cells

    Science.gov (United States)

    Kuwano, Yukinori; Nakano, Shoichi; Tsuda, Shinya

    1991-11-01

    Progress in Si thin film technology 'specifically amorphous Si (a-Si) and polycrystalline Si (poly-Si) thin film' for solar cells is summarized here from fabrication method, material, and structural viewpoints. In addition to a-Si, primary results on poly-Si thin film research are discussed. Various applications for a-Si solar cells are mentioned, and consumer applications and a-Si solar cell photovoltaic systems are introduced. New product developments include see-through solar cells, solar cell roofing tiles, and ultra-light flexible solar cells. As for new systems, air conditioning equipment powered by solar cells is described. Looking to the future, the proposed GENESIS project is discussed.

  12. Fast Batch Production of High-Quality Graphene Films in a Sealed Thermal Molecular Movement System.

    Science.gov (United States)

    Xu, Jianbao; Hu, Junxiong; Li, Qi; Wang, Rubing; Li, Weiwei; Guo, Yufen; Zhu, Yongbo; Liu, Fengkui; Ullah, Zaka; Dong, Guocai; Zeng, Zhongming; Liu, Liwei

    2017-07-01

    Chemical vapor deposition (CVD) growth of high-quality graphene has emerged as the most promising technique in terms of its integrated manufacturing. However, there lacks a controllable growth method for producing high-quality and a large-quantity graphene films, simultaneously, at a fast growth rate, regardless of roll-to-roll (R2R) or batch-to-batch (B2B) methods. Here, a stationary-atmospheric-pressure CVD (SAPCVD) system based on thermal molecular movement, which enables fast B2B growth of continuous and uniform graphene films on tens of stacked Cu(111) foils, with a growth rate of 1.5 µm s -1 , is demonstrated. The monolayer graphene of batch production is found to nucleate from arrays of well-aligned domains, and the films possess few defects and exhibit high carrier mobility up to 6944 cm 2 V -1 s -1 at room temperature. The results indicate that the SAPCVD system combined with single-domain Cu(111) substrates makes it possible to realize fast batch-growth of high-quality graphene films, which opens up enormous opportunities to use this unique 2D material for industrial device applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. A comparative study on omnidirectional anti-reflection SiO2 nanostructure films coating by glancing angle deposition

    Science.gov (United States)

    Prachachet, R.; Samransuksamer, B.; Horprathum, M.; Eiamchai, P.; Limwichean, S.; Chananonnawathorn, C.; Lertvanithphol, T.; Muthitamongkol, P.; Boonruang, S.; Buranasiri, P.

    2018-02-01

    Fabricated omnidirectional anti-reflection nanostructure films as a one of the promising alternative solar cell applications have attracted enormous scientific and industrial research benefits to their broadband, effective over a wide range of incident angles, lithography-free and high-throughput process. Recently, the nanostructure SiO2 film was the most inclusive study on anti-reflection with omnidirectional and broadband characteristics. In this work, the three-dimensional silicon dioxide (SiO2) nanostructured thin film with different morphologies including vertical align, slant, spiral and thin films were fabricated by electron beam evaporation with glancing angle deposition (GLAD) on the glass slide and silicon wafer substrate. The morphological of the prepared samples were characterized by field-emission scanning electron microscope (FE-SEM) and high-resolution transmission electron microscope (HRTEM). The transmission, omnidirectional and birefringence property of the nanostructure SiO2 films were investigated by UV-Vis-NIR spectrophotometer and variable angle spectroscopic ellipsometer (VASE). The spectrophotometer measurement was performed at normal incident angle and a full spectral range of 200 - 2000 nm. The angle dependent transmission measurements were investigated by rotating the specimen, with incidence angle defined relative to the surface normal of the prepared samples. This study demonstrates that the obtained SiO2 nanostructure film coated on glass slide substrate exhibits a higher transmission was 93% at normal incident angle. In addition, transmission measurement in visible wavelength and wide incident angles -80 to 80 were increased in comparison with the SiO2 thin film and glass slide substrate due to the transition in the refractive index profile from air to the nanostructure layer that improve the antireflection characteristics. The results clearly showed the enhanced omnidirectional and broadband characteristic of the three dimensional Si

  14. Au/SiO2 nanocomposite film substrates with a high number density of Au nanoparticles for molecular conductance measurement

    International Nuclear Information System (INIS)

    Kim, Dae-Gun; Koyama, Emiko; Kikkawa, Yoshihiro; Kirihara, Kazuhiro; Naitoh, Yasuhisa; Kim, Deok-Soo; Tokuhisa, Hideo; Kanesato, Masatoshi; Koshizaki, Naoto

    2007-01-01

    Au/SiO 2 nanocomposite films consisting of an extremely high number density of Au nanoparticles dispersed in a SiO 2 matrix a few nanometres thick were deposited by a co-sputtering method, and employed for molecular conductance measurement by immobilizing and bridging conjugated biphenyl molecules on dispersed Au nanoparticles. The number density of Au nanoparticles in the insulating SiO 2 matrix was approximately 14 000 μm 2 , and the average interparticle distance from their neighbours was about 8 nm. The current increased considerably up to the range of nanoamperes after the immobilization of the conjugated biphenyl molecules, 10 5 times larger than without molecules before immobilization. Although the Au nanoparticles can be connected to only 30% of all combinations of neighbouring Au nanoparticles by biphenyl molecules 2.4 nm long from the topological analysis, the biphenyl molecules can bridge most of the Au nanoparticles, and their bridging continuity is over 100 nm in length. Thus the measured current is suggested to come from the continuously bridged molecules between the Au nanoparticles. Furthermore the I-V data of the whole Au/SiO 2 nanocomposite film immobilized with conjugated molecules are confirmed to be in a reasonable range in comparison with the scanning tunnelling spectroscopy data of similar conjugated molecules

  15. Temperature stability of c-axis oriented LiNbO3/SiO2/Si thin film layered structures

    International Nuclear Information System (INIS)

    Tomar, Monika; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K.

    2001-01-01

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO 3 thin film layered structures on passivated silicon (SiO 2 /Si) substrate with and without a non-piezoelectric SiO 2 overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO 2 overlayer on LiNbO 3 film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K 2 =3.45% and a zero TCD can be obtained in the SiO 2 /LiNbO 3 /SiO 2 /Si structure with a 0.235λ thick LiNbO 3 layer sandwiched between 0.1λ thick SiO 2 layers. (author)

  16. Me-Si-C (Me= Nb, Ti or Zr) : Nanocomposite and Amorphous Thin Films

    OpenAIRE

    Tengstrand, Olof

    2012-01-01

    This thesis investigates thin films of the transition metal carbide systems Ti-Si-C, Nb-Si-C, and Zr-Si-C, deposited at a low substrate temperature (350 °C) with dc magnetron sputtering in an Ar discharge. Both the electrical and mechanical properties of these systems are highly affected by their structure. For Nb-Si-C, both the ternary Nb-Si-C and the binary Nb-C are studied. I show pure NbC films to consist of crystalline NbC grains embedded in a matrix of amorphous carbon. The best combina...

  17. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  18. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    Science.gov (United States)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  19. In-situ laser processing and microstructural characteristics of YBa2Cu3O7-δ thin films on Si with TiN buffer layer

    International Nuclear Information System (INIS)

    Tiwari, P.; Zheleva, T.; Narayan, J.

    1993-01-01

    The authors have prepared high-quality superconducting YBa 2 Cu 3 O 7 -δ (YBCO) thin films on Si(100) with TiN as a buffer layer using in-situ multitarget deposition system. Both TiN and YBCO thin films were deposited sequentially by KrF excimer laser ( | = 248 nm ) at substrate temperature of 650 C . Thin films were characterized using X-ray diffraction (XRD), four-point-probe ac resistivity, scanning electron microscopy (S E M), transmission electron microscopy (TEM), and Rutherford backscattering (RBS). The TiN buffer layer was epitaxial and the epitaxial relationship was found to be cube on cube with TiN parallel Si. YBCO thin films on Si with TiN buffer layer showed the transition temperature of 90-92K with T co (zero resistance temperature) of 84K. The authors have found that the quality of the buffer layer is very important in determining the superconducting transition temperature of the thin film. The effects of processing parameters and the correlation of microstructural features with superconducting properties are discussed in detail

  20. Single-crystal-like GdNdO{sub x} thin films on silicon substrates by magnetron sputtering and high-temperature annealing for crystal seed layer application

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Ziwei; Xiao, Lei; Liang, Renrong, E-mail: wang-j@tsinghua.edu.cn, E-mail: liangrr@tsinghua.edu.cn; Shen, Shanshan; Xu, Jun; Wang, Jing, E-mail: wang-j@tsinghua.edu.cn, E-mail: liangrr@tsinghua.edu.cn [Tsinghua National Laboratory for Information Science and Technology, Institute of Microelectronics, Tsinghua University, Beijing 100084 (China)

    2016-06-15

    Single-crystal-like rare earth oxide thin films on silicon (Si) substrates were fabricated by magnetron sputtering and high-temperature annealing processes. A 30-nm-thick high-quality GdNdO{sub x} (GNO) film was deposited using a high-temperature sputtering process at 500°C. A Gd{sub 2}O{sub 3} and Nd{sub 2}O{sub 3} mixture was used as the sputtering target, in which the proportions of Gd{sub 2}O{sub 3} and Nd{sub 2}O{sub 3} were controlled to make the GNO’s lattice parameter match that of the Si substrate. To further improve the quality of the GNO film, a post-deposition annealing process was performed at a temperature of 1000°C. The GNO films exhibited a strong preferred orientation on the Si substrate. In addition, an Al/GNO/Si capacitor was fabricated to evaluate the dielectric constant and leakage current of the GNO films. It was determined that the single-crystal-like GNO films on the Si substrates have potential for use as an insulator layer for semiconductor-on-insulator and semiconductor/insulator multilayer applications.

  1. A review of basic phenomena and techniques for sputter-deposition of high temperature superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Auciello, O. (Microelectronics Center of North Carolina, Research Triangle Park, NC (USA) North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Ameen, M.S.; Kingon, A.I.; Lichtenwalner, D.J. (North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Krauss, A.R. (Argonne National Lab., IL (USA))

    1990-01-01

    The processes involved in plasma and ion beam sputter-deposition of high temperature superconducting thin films are critically reviewed. Recent advances in the development of these techniques are discussed in relation to basic physical phenomena, specific to each technique, which must be understood before high quality films can be produced. Control of film composition is a major issue in sputter-deposition of multicomponent materials. Low temperature processing of films is a common goal for each technique, particularly in relation to integrating high temperature superconducting films with the current microelectronics technology. It has been understood for some time that for Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} deposition, the most intensely studied high-{Tc} compound, incorporation of sufficient oxygen into the film during deposition is necessary to produce as-deposited superconducting films at relatively substrate temperatures. Recent results have shown that with the use of suitable buffer layers, high quality Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} sputtered films can be obtained on Si substrates without the need for post-deposition anneal processing. This review is mainly focussed on issues related to sputter-deposition of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} thin films, although representative results concerning the bismuth and thallium based compounds are included. 143 refs., 11 figs.

  2. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng, E-mail: rschen@ust.hk; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-08-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm{sup 2}/Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10{sup 6}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress.

  3. High-performance SERS substrate based on hybrid structure of graphene oxide/AgNPs/Cu film@pyramid Si

    Science.gov (United States)

    Li, Zhe; Xu, Shi Cai; Zhang, Chao; Liu, Xiao Yun; Gao, Sai Sai; Hu, Li Tao; Guo, Jia; Ma, Yong; Jiang, Shou Zhen; Si, Hai Peng

    2016-12-01

    We present a novel surface-enhanced Raman scattering (SERS) substrate based on graphene oxide/silver nanoparticles/copper film covered silicon pyramid arrays (GO/AgNPs/PCu@Si) by a low-cost and simple method. The GO/AgNPs/PCu@Si substrate presents high sensitivity, good homogeneity and well stability with R6G molecules as a probe. The detected concentration of Rhodamine 6 G (R6G) is as low as 10-15 M. These sensitive SERS behaviors are also confirmed in theory via a commercial COMSOL software, the electric field enhancement is not only formed between the AgNPs, but also formed between the AgNPs and Cu film. And the GO/AgNPs/PCu@Si substrates also present good property on practical application for the detection of methylene blue (MB) and crystal violet (CV). This work may offer a novel and practical method to facilitate the SERS applications in areas of medicine, food safety and biotechnology.

  4. Fabrication and characterization of Al2O3 /Si composite nanodome structures for high efficiency crystalline Si thin film solar cells

    Directory of Open Access Journals (Sweden)

    Ruiying Zhang

    2015-12-01

    Full Text Available We report on our fabrication and characterization of Al2O3/Si composite nanodome (CND structures, which is composed of Si nanodome structures with a conformal cladding Al2O3 layer to evaluate its optical and electrical performance when it is applied to thin film solar cells. It has been observed that by application of Al2O3thin film coating using atomic layer deposition (ALD to the Si nanodome structures, both optical and electrical performances are greatly improved. The reflectivity of less than 3% over the wavelength range of from 200 nm to 2000 nm at an incident angle from 0° to 45° is achieved when the Al2O3 film is 90 nm thick. The ultimate efficiency of around 27% is obtained on the CND textured 2 μm-thick Si solar cells, which is compared to the efficiency of around 25.75% and 15% for the 2 μm-thick Si nanodome surface-decorated and planar samples respectively. Electrical characterization was made by using CND-decorated MOS devices to measure device’s leakage current and capacitance dispersion. It is found the electrical performance is sensitive to the thickness of the Al2O3 film, and the performance is remarkably improved when the dielectric layer thickness is 90 nm thick. The leakage current, which is less than 4x10−9 A/cm2 over voltage range of from -3 V to 3 V, is reduced by several orders of magnitude. C-V measurements also shows as small as 0.3% of variation in the capacitance over the frequency range from 10 kHz to 500 kHz, which is a strong indication of surface states being fully passivated. TEM examination of CND-decorated samples also reveals the occurrence of SiOx layer formed between the interface of Si and the Al2O3 film, which is thin enough that ensures the presence of field-effect passivation, From our theoretical and experimental study, we believe Al2O3 coated CND structures is a truly viable approach to achieving higher device efficiency.

  5. Growth, morphology, and conductivity in semimetallic/metallic films on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Jnawali, Giriraj

    2009-06-09

    This dissertation deals with the study of epitaxial growth of semimetallic (Bi) and metallic (Ag) films on Si(001) as well as in situ electrical transport study of those films via surface manipulation. The focus of the transport measurements is to study the influence of the surface morphology or structure on the resistance of the film. In spite of the large lattice mismatch and different lattice geometry, it is possible to grow epitaxial Bi(111) films on Si(001) substrates, which are surprisingly smooth, relaxed and almost free of defects. Due to the two-fold symmetry of the substrates, the Bi(111) film is composed of crystallites rotated by 90 with respect to each other. Annealing of 6 nm film from 150 K to 450 K enables the formation of a periodic interfacial misfit dislocations, which accommodates a remaining lattice mismatch of 2.3 %. The surface/interface roughness and the bulk defect density of the film found to be extremely low, indicating the high crystalline quality of the film with atomically smooth surface and abrupt interface. Similar to the Bi films, Ag grows in a (111) orientation on Si(001) with two 90 rotated domains. The remaining strain of 2.2 % (tensile) is accommodated by the formation of an ordered network of dislocations. The Ag film exhibits atomically smooth surface. Those Bi films and Ag films were used as model systems to study the influence of the surface morphology on the electrical resistance. Surprisingly, all the Bi films (3-170 nm thicknesses) have shown an anomalous behavior of conductance with temperature and thickness. As in the case of doped semiconductor, the conductance increases exponentially from 150 K to 300 K and saturates at 350 K before finally decreasing with temperature. In situ measurements of the resistance during additional Bi deposition on the smooth Bi(111) films exhibit a square root dependent with coverage after a linear increase at very low coverage (1 % of a BL). During additional deposition of Bi, carriers are

  6. PEALD grown high-k ZrO{sub 2} thin films on SiC group IV compound semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Khairnar, A. G., E-mail: agkhairnar@gmail.com; Patil, V. S.; Agrawal, K. S.; Salunke, R. S.; Mahajan, A. M., E-mail: ammahajan@nmu.ac.in [North Maharashtra University, Department of Electronics, School of Physical Sciences (India)

    2017-01-15

    The study of ZrO{sub 2} thin films on SiC group IV compound semiconductor has been studied as a high mobility substrates. The ZrO{sub 2} thin films were deposited using the Plasma Enhanced Atomic Layer Deposition System. The thickness of the thin films were measured using ellipsometer and found to be 5.47 nm. The deposited ZrO{sub 2} thin films were post deposition annealed in rapid thermal annealing chamber at temperature of 400°Ð¡. The atomic force microscopy and X-гау photoelectron spectroscopy has been carried out to study the surface topography, roughness and chemical composition of thin film, respectively.

  7. Epitaxial growth of Sc{sub 2}O{sub 3} films on Gd{sub 2}O{sub 3}-buffered Si substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Paulraj, Joseph; Wang, Rongping; Sellars, Matthew; Luther-Davies, Barry [Australian National University, Laser Physics Centre, Research School of Physics and Engineering, Acton, Canberra, ACT (Australia)

    2016-04-15

    We investigated the optimal conditions to prepare high-quality Sc{sub 2}O{sub 3} films on Gd{sub 2}O{sub 3}-buffered Si wafers using pulsed laser deposition technique with an aim at developing waveguide devices that can transform the performance of the gradient echo quantum memory based on bulk crystals. Under the optimal conditions, only oxide and Si (2 2 2) peaks appeared in the X-ray diffraction pattern. The Sc{sub 2}O{sub 3} (2 2 2) diffraction peak was located at 2θ=31.5 with a full width at half maxima (FWHM) of 0.16 , and its rocking curve had a FWHM of 0.10 . In-plane epitaxial relationship was confirmed by X-ray pole figure where Sc{sub 2}O{sub 3} (1 1 1) was parallel to Si (1 1 1). High-resolution TEM images indicated clear interfaces and perfect lattice images with sharp electron diffraction dots. All these results confirm that the oxide films on Si were single crystalline with high quality. (orig.)

  8. BaSi2 formation mechanism in thermally evaporated films and its application to reducing oxygen impurity concentration

    Science.gov (United States)

    Hara, Kosuke O.; Yamamoto, Chiaya; Yamanaka, Junji; Arimoto, Keisuke; Nakagawa, Kiyokazu; Usami, Noritaka

    2018-04-01

    Thermal evaporation is a simple and rapid method to fabricate semiconducting BaSi2 films. In this study, to elucidate the BaSi2 formation mechanism, the microstructure of a BaSi2 epitaxial film fabricated by thermal evaporation has been investigated by transmission electron microscopy. The BaSi2 film is found to consist of three layers with different microstructural characteristics, which is well explained by assuming two stages of film deposition. In the first stage, BaSi2 forms through the diffusion of Ba atoms from the deposited Ba-rich film to the Si substrate while in the second stage, the mutual diffusion of Ba and Si atoms in the film leads to BaSi2 formation. On the basis of the BaSi2 formation mechanism, two issues are addressed. One is the as-yet unclarified reason for epitaxial growth. It is found important to quickly form BaSi2 in the first stage for the epitaxial growth of upper layers. The other issue is the high oxygen concentration in BaSi2 films around the BaSi2-Si interface. Two routes of oxygen incorporation, i.e., oxidation of the Si substrate surface and initially deposited Ba-rich layer by the residual gas, are identified. On the basis of this knowledge, oxygen concentration is decreased by reducing the holding time of the substrate at high temperatures and by premelting of the source. In addition, X-ray diffraction results show that the decrease in oxygen concentration can lead to an increased proportion of a-axis-oriented grains.

  9. Physical and dispersive optical characteristics of ZrON/Si thin-film system

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Yew Hoong [University of Malaya, Centre of Advanced Materials, Department of Mechanical Engineering, Faculty of Engineering, Kuala Lumpur (Malaysia); University of Malaya, Centre of Advanced Manufacturing and Material Processing, Kuala Lumpur (Malaysia); Atuchin, V.V. [Institute of Semiconductor Physics, SB RAS, Laboratory of Optical Materials and Structures, Novosibirsk (Russian Federation); Kruchinin, V.N. [Institute of Semiconductor Physics, SB RAS, Laboratory for Ellipsometry of Semiconductor Materials and Structures, Novosibirsk (Russian Federation); Cheong, Kuan Yew [Universiti Sains Malaysia, Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Seberang Perai Selatan, Penang (Malaysia)

    2014-06-15

    To date, the complex evaluation of physical and dispersive optical characteristics of the ZrON/Si film system has yet been reported. Hence, ZrON thin films have been formed on Si(100) substrates through oxidation/nitridation of sputtered metallic Zr in N{sub 2}O environment at 500, 700, and 900 C. Physical properties of the deposited films have been characterized by X-ray diffractometry (XRD), Fourier transform infrared (FTIR) spectroscopy, reflection high-energy electron diffraction (RHEED), and spectroscopic ellipsometry (SE). It has been shown that ZrON/Si thin films without optical absorption can be prepared by oxidation/nitridation reaction in N{sub 2}O environment at 700-900 C. (orig.)

  10. Electro-physical properties of a Si-based MIS structure with a low-k SiOC(-H) film

    Energy Technology Data Exchange (ETDEWEB)

    Zakirov, Anvar Sagatovich; Navamathavan, Rangaswamy; Kim, Seung Hyun; Jang, Yong Jun; Jung, An Soo; Choi, Chi Kyu [Cheju National University, Jeju (Korea, Republic of)

    2006-09-15

    SiOC(-H) films with low dielectric constants have been prepared by using plasma enhanced chemical vapor deposition with a mixture of methyltriethoxysilane and oxygen precursors. The C-V characteristics of the structures, Al/SiOC(-H)/p-Si(100), were studied in the forward and the reverse directions by applying a polarizing potential. We found that the ratio of the maximum to the minimum capacitance (C{sub ma}x{sub /}C{sub min}) depended on the [MTES/(MTES+O{sub 2})] flow rate ratio. Annealed samples exhibited even greater reductions of the maximum capacitance and the dielectric constant of the SiOC(-H) samples. After annealing at 400 .deg. C, the measurement in the reverse direction revealed an interesting behavior in the form of strongly pronounced 'steps'. The bonds between Si-O and the -CH{sub 3} group reduced the surface charge density, and the distribution of the surface charge density depended on [MTES/(MTES+O{sub 2})] flow rate ratio and the annealing temperature because the fixed positive (Si-CH{sub 3}){sup +} and negative (Si-O){sup -} changed the configuration at the SiOC(-H)/p-Si(100) interface. The SiOC(-H) film had donor (O{sub 2}) and acceptor (Si-CH{sub 3} -groups) levels, and the electronic process at the SiOC(-H)/p-Si(100) interface was defined by the (Si-CH{sub 3}){sup +} and the (Si-O){sup -} bonds.

  11. Identification and quality assessment of beverages using a long period grating fibre-optic sensor modified with a mesoporous thin film

    Directory of Open Access Journals (Sweden)

    Sergiy Korposh

    2014-08-01

    Full Text Available In this study, an optical fibre long period grating (LPG sensor functionalised with a mesoporous thin film was employed for the identification and quality assessment of beverages. The principle of the discrimination of beverages using an LPG sensor is based on the measurement of the change in refractive index of a sensitive film, induced by the binding of the chemical compounds present in the beverage. The sensitive film deposited onto the LPG consisted of poly(allylamine hydrochloride (PAH and silica nanospheres (SiO2 NPs with diameters ranging from 40 nm to 50 nm. PAH imparts selectivity, while the SiO2 NPs endow the film with high porosity and enhanced sensitivity. In this study, five different types of beverages, red and white wines, brandy, nihonshyu (sake, a Japanese rice wine, and shochu (a Japanese distilled beverage, prepared via distillation and fermentation, were used to assess the capability of the sensor to identify the origin of the beverages. In addition, a selection of red wines was used to evaluate the use of the sensor in the assessment of the quality of beverages. The results obtained were benchmarked against those obtained using gas chromatography–mass spectrometry for the determination of volatile compounds contributing to the flavours of a set of red wines. Principal component analysis (PCA was employed for data analysis. This approach enabled both quality assessment of beverages and identification of the methods and materials used for their preparation. Keywords: Long period grating, Mesoporous thin film, Layer-by-layer, Quality assessment, Beverages

  12. High growth rate of a-SiC:H films using ethane carbon source by HW

    Indian Academy of Sciences (India)

    Hydrogenated amorphous silicon carbide (a-SiC:H) thin films were prepared ... Total hydrogen content drops from 22.6 to 14.4 at.% when deposition pressure is increased. Raman spectra show increase in structural disorder with increase in ...

  13. Co-sputtered ZnO:Si thin films as transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Faure, C. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Clatot, J. [LRCS, 33 Rue St Leu, F-80039 Amiens (France); Teule-Gay, L.; Campet, G. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Labrugere, C. [CeCaMA, Universite de Bordeaux, ICMCB, 87 avenue du Dr. A. Schweitzer, Pessac, F-33608 (France); Nistor, M. [National Institute for Lasers, Plasmas and Radiation Physics, L22, PO Box MG-36, 77125 Bucharest-Magurele (Romania); Rougier, A., E-mail: rougier@icmcb-bordeaux.cnrs.fr [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France)

    2012-12-01

    Silicon doped Zinc Oxide thin films, so-called SZO, were deposited at room temperature on glass and plastic substrates by co-sputtering of ZnO and SiO{sub 2} targets. The influence of the SiO{sub 2} target power supply (from 30 to 75 W) on the SZO thin film composition and crystallinity is discussed. Si/Zn atomic ratio, determined by X-ray microprobe, increases from 1.2 to 8.2 at.%. For Si/Zn ratio equal and lower than 3.9%, SZO (S{sub 3.9}ZO) thin films exhibit the Wurzite structure with the (0 0 2) preferred orientation. Larger Si content leads to a decrease in crystallinity. With Si addition, the resistivity decreases down to 3.5 Multiplication-Sign 10{sup -3} Ohm-Sign {center_dot}cm for SZO thin film containing 3.9 at.% of Si prior to an increase. The mean transmittance of S{sub 3.9}ZO thin film on glass substrate approaches 80% (it is about 90% for the film itself) in the visible range (from 400 to 750 nm). Co-sputtered SZO thin films are suitable candidates for large area transparent conductive oxides. - Highlights: Black-Right-Pointing-Pointer Si doped ZnO thin films by co-sputtering of ZnO and SiO{sub 2} targets. Black-Right-Pointing-Pointer Minimum of resistivity for Si doped ZnO thin films containing 3.9% of Si. Black-Right-Pointing-Pointer Si and O environments by X-ray Photoelectron Spectroscopy.

  14. Gas-temperature control in VHF- PECVD process for high-rate (>5 nm/s) growth of microcrystalline silicon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sobajima, Yasushi; Higuchi, Takuya; Chantana, Jakapan; Toyama, Toshihiko; Sada, Chitose; Matsuda, Akihisa; Okamoto, Hiroaki [Graduate School of Engineering Science, Osaka University, Toyonaka City (Japan)

    2010-04-15

    Surface-heating phenomenon by the radiation from high density plasma during growth of microcrystalline silicon ({mu}c-Si:H) thin films at high rate (> 5 nm/sec) is one of the crucial issues to be solved for obtaining high quality intrinsic-layer material for solar cells. We have utilized an optical emission spectroscopy (OES) in the plasma to observe the time evolution of gas temperature during film growth as well as the film-growth rate under {mu}c-Si:H deposition conditions at high rate. Gas temperature has been successfully controlled by changing total flow rate of monosilane (SiH{sub 4})/hydrogen (H{sub 2}) gas mixture, leading to a drastic improvement of optoelectronic properties in the resulting {mu}c-Si:H. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  16. High-pressure condition of SiH{sub 4}+Ar+H{sub 2} plasma for deposition of hydrogenated nanocrystalline silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Parashar, A.; Kumar, Sushil; Dixit, P.N.; Gope, Jhuma; Rauthan, C.M.S. [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi 110012 (India); Hashmi, S.A. [Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India)

    2008-10-15

    The characteristics of 13.56-MHz discharged SiH{sub 4}+Ar+H{sub 2} plasma at high pressure (2-8 Torr), used for the deposition of hydrogenated nanocrystalline silicon (nc-Si:H) films in a capacitively coupled symmetric PECVD system, has been investigated. Plasma parameters such as average electron density, sheath field and bulk field are extracted from equivalent circuit model of the plasma using outputs (current, voltage and phase) of RF V-I probe under different pressure conditions. The conditions of growth in terms of plasma parameters are correlated with properties of the hydrogenated nanocrystalline silicon films characterized by Raman, AFM and dc conductivity. The film deposited at 4 Torr of pressure, where relatively low sheath/bulk field ratio is observed, exhibits high crystallinity and conductivity. The crystalline volume fraction of the films estimated from the Raman spectra is found to vary from 23% to 79%, and the trend of variation is similar to the RF real plasma impedance data. (author)

  17. Ultrahigh broadband photoresponse of SnO2 nanoparticle thin film/SiO2/p-Si heterojunction.

    Science.gov (United States)

    Ling, Cuicui; Guo, Tianchao; Lu, Wenbo; Xiong, Ya; Zhu, Lei; Xue, Qingzhong

    2017-06-29

    The SnO 2 /Si heterojunction possesses a large band offset and it is easy to control the transportation of carriers in the SnO 2 /Si heterojunction to realize high-response broadband detection. Therefore, we investigated the potential of the SnO 2 nanoparticle thin film/SiO 2 /p-Si heterojunction for photodetectors. It is demonstrated that this heterojunction shows a stable, repeatable and broadband photoresponse from 365 nm to 980 nm. Meanwhile, the responsivity of the device approaches a high value in the range of 0.285-0.355 A W -1 with the outstanding detectivity of ∼2.66 × 10 12 cm H 1/2 W -1 and excellent sensitivity of ∼1.8 × 10 6 cm 2 W -1 , and its response and recovery times are extremely short (oxide or oxide/Si based photodetectors. In fact, the photosensitivity and detectivity of this heterojunction are an order of magnitude higher than that of 2D material based heterojunctions such as (Bi 2 Te 3 )/Si and MoS 2 /graphene (photosensitivity of 7.5 × 10 5 cm 2 W -1 and detectivity of ∼2.5 × 10 11 cm H 1/2 W -1 ). The excellent device performance is attributed to the large Fermi energy difference between the SnO 2 nanoparticle thin film and Si, SnO 2 nanostructure, oxygen vacancy defects and thin SiO 2 layer. Consequently, practical highly-responsive broadband PDs may be actualized in the future.

  18. Corrosion resistance of sintered NdFeB coated with SiC/Al bilayer thin films by magnetron sputtering

    Science.gov (United States)

    Huang, Yiqin; Li, Heqin; Zuo, Min; Tao, Lei; Wang, Wei; Zhang, Jing; Tang, Qiong; Bai, Peiwen

    2016-07-01

    The poor corrosion resistance of sintered NdFeB imposes a great challenge in industrial applications. In this work, the SiC/Al bilayer thin films with the thickness of 510 nm were deposited on sintered NdFeB by magnetron sputtering to improve the corrosion resistance. A 100 nm Al buffer film was used to reduce the internal stress between SiC and NdFeB and improve the surface roughness of the SiC thin film. The morphologies and structures of SiC/Al bilayer thin films and SiC monolayer film were investigated with FESEM, AFM and X-ray diffraction. The corrosion behaviors of sintered NdFeB coated with SiC monolayer film and SiC/Al bilayer thin films were analyzed by polarization curves. The magnetic properties were measured with an ultra-high coercivity permanent magnet pulse tester. The results show that the surface of SiC/Al bilayer thin films is more compact and uniform than that of SiC monolayer film. The corrosion current densities of SiC/Al bilayer films coated on NdFeB in acid, alkali and salt solutions are much lower than that of SiC monolayer film. The SiC/Al bilayer thin films have little influence to the magnetic properties of NdFeB.

  19. Numerical Optimization of a Bifacial Bi-Glass Thin-Film a-Si:H Solar Cell for Higher Conversion Efficiency

    Science.gov (United States)

    Berrian, Djaber; Fathi, Mohamed; Kechouane, Mohamed

    2018-02-01

    Bifacial solar cells that maximize the energy output per a square meter have become a new fashion in the field of photovoltaic cells. However, the application of thin-film material on bifacial solar cells, viz., thin-film amorphous hydrogenated silicon ( a- Si:H), is extremely rare. Therefore, this paper presents the optimization and influence of the band gap, thickness and doping on the performance of a glass/glass thin-film a- Si:H ( n- i- p) bifacial solar cell, using a computer-aided simulation tool, Automat for simulation of hetero-structures (AFORS-HET). It is worth mentioning that the thickness and the band gap of the i-layer are the key parameters in achieving higher efficiency and hence it has to be handled carefully during the fabrication process. Furthermore, an efficient thin-film a- Si:H bifacial solar cell requires thinner and heavily doped n and p emitter layers. On the other hand, the band gap of the p-layer showed a dramatic reduction of the efficiency at 2.3 eV. Moreover, a high bifaciality factor of more than 92% is attained, and top efficiency of 10.9% is revealed under p side illumination. These optimizations demonstrate significant enhancements of the recent experimental work on thin-film a- Si:H bifacial solar cells and would also be useful for future experimental investigations on an efficient a- Si:H thin-film bifacial solar cell.

  20. Corrosion resistance of sintered NdFeB coated with SiC/Al bilayer thin films by magnetron sputtering

    International Nuclear Information System (INIS)

    Huang, Yiqin; Li, Heqin; Zuo, Min; Tao, Lei; Wang, Wei; Zhang, Jing; Tang, Qiong; Bai, Peiwen

    2016-01-01

    The poor corrosion resistance of sintered NdFeB imposes a great challenge in industrial applications. In this work, the SiC/Al bilayer thin films with the thickness of 510 nm were deposited on sintered NdFeB by magnetron sputtering to improve the corrosion resistance. A 100 nm Al buffer film was used to reduce the internal stress between SiC and NdFeB and improve the surface roughness of the SiC thin film. The morphologies and structures of SiC/Al bilayer thin films and SiC monolayer film were investigated with FESEM, AFM and X-ray diffraction. The corrosion behaviors of sintered NdFeB coated with SiC monolayer film and SiC/Al bilayer thin films were analyzed by polarization curves. The magnetic properties were measured with an ultra-high coercivity permanent magnet pulse tester. The results show that the surface of SiC/Al bilayer thin films is more compact and uniform than that of SiC monolayer film. The corrosion current densities of SiC/Al bilayer films coated on NdFeB in acid, alkali and salt solutions are much lower than that of SiC monolayer film. The SiC/Al bilayer thin films have little influence to the magnetic properties of NdFeB. - Highlights: • The same thick Al, SiC and SiC/Al films are deposited on NdFeB by magnetron sputtering. • 510 nm SiC/Al bilayer films can improve the corrosion resistance of the NdFeB evidently. • Al buffer layer improves effectively the surface roughness of the SiC thin film. • SiC/Al bilayer films do not deteriorate the magnetic properties of NdFeB.

  1. Corrosion resistance of sintered NdFeB coated with SiC/Al bilayer thin films by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Yiqin [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); Li, Heqin, E-mail: lhqjs@hfut.edu.cn [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); Zuo, Min; Tao, Lei; Wang, Wei [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); Zhang, Jing; Tang, Qiong [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei 230009 (China); Bai, Peiwen [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China)

    2016-07-01

    The poor corrosion resistance of sintered NdFeB imposes a great challenge in industrial applications. In this work, the SiC/Al bilayer thin films with the thickness of 510 nm were deposited on sintered NdFeB by magnetron sputtering to improve the corrosion resistance. A 100 nm Al buffer film was used to reduce the internal stress between SiC and NdFeB and improve the surface roughness of the SiC thin film. The morphologies and structures of SiC/Al bilayer thin films and SiC monolayer film were investigated with FESEM, AFM and X-ray diffraction. The corrosion behaviors of sintered NdFeB coated with SiC monolayer film and SiC/Al bilayer thin films were analyzed by polarization curves. The magnetic properties were measured with an ultra-high coercivity permanent magnet pulse tester. The results show that the surface of SiC/Al bilayer thin films is more compact and uniform than that of SiC monolayer film. The corrosion current densities of SiC/Al bilayer films coated on NdFeB in acid, alkali and salt solutions are much lower than that of SiC monolayer film. The SiC/Al bilayer thin films have little influence to the magnetic properties of NdFeB. - Highlights: • The same thick Al, SiC and SiC/Al films are deposited on NdFeB by magnetron sputtering. • 510 nm SiC/Al bilayer films can improve the corrosion resistance of the NdFeB evidently. • Al buffer layer improves effectively the surface roughness of the SiC thin film. • SiC/Al bilayer films do not deteriorate the magnetic properties of NdFeB.

  2. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  3. Engineering of nearly strain-free ZnO films on Si(1 1 1) by tuning AlN buffer thickness

    International Nuclear Information System (INIS)

    Venkatachalapathy, Vishnukanthan; Galeckas, Augustinas; Lee, In-Hwan; Kuznetsov, Andrej Yu.

    2012-01-01

    ZnO properties were investigated as a function of AlN buffer layer thickness (0–100 nm) in ZnO/AlN/Si(1 1 1) structures grown by metal organic vapor phase epitaxy. A significant improvement of ZnO film crystallinity by tuning AlN buffer thickness was confirmed by x-ray diffraction, topography and photoluminescence measurements. An optimal AlN buffer layer thickness of 50 nm is defined, which allows for growth of nearly strain-free ZnO films. The presence of free excitons at 10 K suggests high crystal quality for all ZnO samples grown on AlN/Si(1 1 1) templates. The intensities of neutral and ionized donor bound exciton lines are found to correlate with the in-plane and out-of-plane strain in the films, respectively.

  4. Engineering of nearly strain-free ZnO films on Si(1 1 1) by tuning AlN buffer thickness

    Energy Technology Data Exchange (ETDEWEB)

    Venkatachalapathy, Vishnukanthan, E-mail: vishnukanthan.venkatachalapathy@smn.uio.no [Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048 Blindern, NO-0316 Oslo (Norway); Galeckas, Augustinas [Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048 Blindern, NO-0316 Oslo (Norway); Lee, In-Hwan [School of Advanced Materials Engineering, Research Centre for Advanced Materials Development (RCAMD), Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Kuznetsov, Andrej Yu. [Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048 Blindern, NO-0316 Oslo (Norway)

    2012-05-15

    ZnO properties were investigated as a function of AlN buffer layer thickness (0-100 nm) in ZnO/AlN/Si(1 1 1) structures grown by metal organic vapor phase epitaxy. A significant improvement of ZnO film crystallinity by tuning AlN buffer thickness was confirmed by x-ray diffraction, topography and photoluminescence measurements. An optimal AlN buffer layer thickness of 50 nm is defined, which allows for growth of nearly strain-free ZnO films. The presence of free excitons at 10 K suggests high crystal quality for all ZnO samples grown on AlN/Si(1 1 1) templates. The intensities of neutral and ionized donor bound exciton lines are found to correlate with the in-plane and out-of-plane strain in the films, respectively.

  5. Characterization of Nanocrystalline SiGe Thin Film Solar Cell with Double Graded-Dead Absorption Layer

    Directory of Open Access Journals (Sweden)

    Chao-Chun Wang

    2012-01-01

    Full Text Available The nanocrystalline silicon-germanium (nc-SiGe thin films were deposited by high-frequency (27.12 MHz plasma-enhanced chemical vapor deposition (HF-PECVD. The films were used in a silicon-based thin film solar cell with graded-dead absorption layer. The characterization of the nc-SiGe films are analyzed by scanning electron microscopy, UV-visible spectroscopy, and Fourier transform infrared absorption spectroscopy. The band gap of SiGe alloy can be adjusted between 0.8 and 1.7 eV by varying the gas ratio. For thin film solar cell application, using double graded-dead i-SiGe layers mainly leads to an increase in short-circuit current and therefore cell conversion efficiency. An initial conversion efficiency of 5.06% and the stabilized efficiency of 4.63% for an nc-SiGe solar cell were achieved.

  6. Study on nanocomposite Ti-Al-Si-Cu-N films with various Si contents deposited by cathodic vacuum arc ion plating

    Energy Technology Data Exchange (ETDEWEB)

    Shi, J. [State Key Laboratory of Corrosion and Protection, Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang 110016 (China); Institute of Materials Engineering, University of Siegen, Paul-Bonatz-Strasse 9-11, Siegen 57076 (Germany); Muders, C.M.; Kumar, A. [Institute of Materials Engineering, University of Siegen, Paul-Bonatz-Strasse 9-11, Siegen 57076 (Germany); Jiang, X., E-mail: xin.jiang@uni-siegen.de [Institute of Materials Engineering, University of Siegen, Paul-Bonatz-Strasse 9-11, Siegen 57076 (Germany); Pei, Z.L.; Gong, J. [State Key Laboratory of Corrosion and Protection, Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang 110016 (China); Sun, C., E-mail: csun@imr.ac.cn [State Key Laboratory of Corrosion and Protection, Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang 110016 (China)

    2012-10-01

    Highlights: Black-Right-Pointing-Pointer XRD peaks show a tendency of decreasing intensity with increasing Si content. Black-Right-Pointing-Pointer Ti-Al-Si-Cu-N films present different microstructure with increasing Si content. Black-Right-Pointing-Pointer Films with 6 at.% Si content obtain the highest hardness, elastic modulus and H{sup 3}/E{sup 2}. Black-Right-Pointing-Pointer The wear rate decreases with an increase in hardness. - Abstract: In this study, nanocomposite Ti-Al-Si-Cu-N films were deposited on high speed steel substrates by the vacuum cathode arc ion plating (AIP) technique. By virtue of X-ray diffraction (XRD) analysis, X-ray photoelectron spectroscopy (XPS), and field emission scanning electron microscopy (FESEM), the influence of silicon content on the film microstructure and characteristics was investigated systematically, including the chemical composition, crystalline structure as well as cross-section morphologies. With increasing the silicon content, a deterioration of the preferred orientation and a dense globular structure were detected. In the meanwhile, atomic force microscopy (AFM), nano-indentation, Rockwell indenter and reciprocating test were also utilized to analyze the hardness, elastic modulus, H{sup 3}/E{sup 2}, friction coefficient, adhesive strength and wear rate of the Ti-Al-Si-Cu-N films. The results showed that an optimal silicon content correlated with the best mechanical and tribological properties of the presented Ti-Al-Si-Cu-N films existed. With increasing the silicon content, the hardness, elastic modulus and the ratio H{sup 3}/E{sup 2} first were improved gradually, and then were impaired sharply again. When the silicon content reached to 6 at.%, the film possessed the highest hardness, elastic modulus and ratio H{sup 3}/E{sup 2} of approximately 24 GPa, 218 GPa and 0.31, respectively. Besides, films containing both 6 at.% and 10 at.% Si contents obtained a relatively low friction coefficient and a good adhesive

  7. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    International Nuclear Information System (INIS)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V; Lai, Z; Henry, A; Janzen, E; Pippel, E; Woltersdorf, J

    2011-01-01

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T C ) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T C = 11.3 K and critical current density of about 2.5 MA cm -2 at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  8. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V [Group for Advanced Receiver Development, Department of Earth and Space Sciences, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Lai, Z [Nanofabrication Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Henry, A; Janzen, E [Department of Physics, Chemistry and Biology, Linkoeping University, SE-581 83 Linkoeping (Sweden); Pippel, E; Woltersdorf, J, E-mail: dimitar.dochev@chalmers.se [Max-Planck-Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Germany)

    2011-03-15

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T{sub C}) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T{sub C} = 11.3 K and critical current density of about 2.5 MA cm{sup -2} at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  9. Magnetron-sputter deposition of high-indium-content n-AlInN thin film on p-Si(001) substrate for photovoltaic applications

    International Nuclear Information System (INIS)

    Liu, H. F.; Tan, C. C.; Dalapati, G. K.; Chi, D. Z.

    2012-01-01

    Al 0.278 In 0.722 N thin films have been grown on p-type Si(001) and c-plane sapphire substrates by employing radio-frequency magnetron-sputter deposition at elevated temperatures. High-resolution x-ray diffraction, as well as pole-figure measurements, reveals no phase separation of the thin films. The Al 0.278 In 0.722 N film grown on p-Si(001) substrate is a typical fiber-texture with AlInN(0001)//Si(001) while that on the c-sapphire exhibits the onset of epitaxy. Microscopic studies reveal that the growth is dominated by a columnar mechanism and the average columnar grain diameter is about 31.5 and 50.8 nm on p-Si(001) and c-sapphire substrates, respectively. Photoluminescence at room-temperature exhibits a strong emission peak at 1.875 eV, smaller than the optical absorption edge (2.102 eV) but larger than the theoretical bandgap energy (1.70 eV), which is attributable to the band-filling effect, as is supported by the high electron density of 4.5 × 10 20 cm −3 . The n-Al 0.278 In 0.722 N/p-Si(001) heterostructure is tested for solar cells and the results are discussed based on the I-V characteristics and their fittings.

  10. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  11. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    Energy Technology Data Exchange (ETDEWEB)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe [Université Grenoble-Alpes, CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France)

    2016-05-15

    low defect growth rate of 0.032/cm{sup 2}/h (t > τ) have been measured. At the end of the storage test (2003 h), the defect density remains very low, i.e., only 50/cm{sup 2}. On the other hand, the device with the single PVD-deposited SiO barrier layer shows no significant lag time (τ ∼ 0), and the number of defects grows linearly from initial time with a high occurrence rate of 0.517/cm{sup 2}/h. This is coherent with the pinhole-full nature of fresh, PVD-deposited, SiO films. At intermediate times, a second regime shows a lower defect occurrence rate of 0.062/cm{sup 2}/h. At a longer time span (t > 1200 h), the SiO barrier begins to degrade, and a localized crystallization onto the oxide surface, giving rise to new defects (occurrence rate 0.461/cm{sup 2}/h), could be observed. At the end of the test (2003 h), single SiO films show a very high defect density of 600/cm{sup 2}. Interestingly, the SiO surface in the Al{sub 2}O{sub 3}/SiO device does not appeared crystallized at a high time span, suggesting that the crystallization observed on the SiO surface in the AlQ{sub 3}/SiO device rather originates into the AlQ{sub 3} layer, due to high humidity ingress on the organic layer through SiO pinholes. This has been confirmed by atomic force microscopy surface imaging of the AlQ{sub 3}/SiO surface showing a central hole in the crystallization zone with a 60 nm depth, deeper than SiO thickness (25 nm). Using the organic AlQ{sub 3} sensor, the different observations made in this work give a quantitative comparison of defects' occurrence and growth in ALD-deposited versus PVD-deposited oxide films, as well as in their combination PVD/ALD and ALD/PVD.

  12. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.; Quevedo-Ló pez, Manuel Angel Quevedo; Alshareef, Husam N.; Gnade, Bruce E.; Ramí rez-Bon, Rafael

    2010-01-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  13. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.

    2010-03-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  14. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  15. High quality TmIG films with perpendicular magnetic anisotropy grown by sputtering

    Science.gov (United States)

    Wu, C. N.; Tseng, C. C.; Yeh, S. L.; Lin, K. Y.; Cheng, C. K.; Fanchiang, Y. T.; Hong, M.; Kwo, J.

    Ferrimagnetic thulium iron garnet (TmIG) films grown on gadolinium gallium garnet substrates recently showed stress-induced perpendicular magnetic anisotropy (PMA), attractive for realization of quantum anomalous Hall effect (QAHE) of topological insulator (TI) films via the proximity effect. Moreover, current induced magnetization switching of Pt/TmIG has been demonstrated for the development of room temperature (RT) spintronic devices. In this work, high quality TmIG films (about 25nm) were grown by sputtering at RT followed by post-annealing. We showed that the film composition is tunable by varying the growth parameters. The XRD results showed excellent crystallinity of stoichiometric TmIG films with an out-of-plane lattice constant of 1.2322nm, a narrow film rocking curve of 0.017 degree, and a film roughness of 0.2 nm. The stoichiometric films exhibited PMA and the saturation magnetization at RT was 109 emu/cm3 (RT bulk value 110 emu/cm3) with a coercive field of 2.7 Oe. In contrast, TmIG films of Fe deficiency showed in-plane magnetic anisotropy. The high quality sputtered TmIG films will be applied to heterostructures with TIs or metals with strong spin-orbit coupling for novel spintronics.

  16. Microstructural modifications induced by rapid thermal annealing in plasma deposited SiOxNyHz films

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bravo, D.; Lopez, F.J.; Fernandez, M.; Martinez, F.L.

    2003-01-01

    The effect of rapid thermal annealing (RTA) processes on the structural properties of SiO x N y H z films was investigated. The samples were deposited by the electron cyclotron resonance plasma method, using SiH 4 , O 2 and N 2 as precursor gases. For SiO x N y H z films with composition close to that of SiO 2 , which have a very low H content, RTA induces thermal relaxation of the lattice and improvement of the structural order. For films of intermediate composition and of compositions close to SiN y H z , the main effect of RTA is the release of H at high temperatures (T>700 deg. C). This H release is more significant in films containing both Si-H and N-H bonds, due to cooperative reactions between both kinds of bonds. In these films the degradation of structural order associated to H release prevails over thermal relaxation, while in those films with only N-H bonds, thermal relaxation predominates. For annealing temperatures in the 500-700 deg. C range, the passivation of dangling bonds by the nonbonded H in the films and the transition from the paramagnetic state to the diamagnetic state of the K center result in a decrease of the density of paramagnetic defects. The H release observed at high annealing temperatures is accompanied by an increase of density of paramagnetic defects

  17. Perovskite oxynitride LaTiOxNy thin films: Dielectric characterization in low and high frequencies

    International Nuclear Information System (INIS)

    Lu, Y.; Ziani, A.; Le Paven-Thivet, C.; Benzerga, R.; Le Gendre, L.; Fasquelle, D.; Kassem, H.

    2011-01-01

    Lanthanum titanium oxynitride (LaTiO x N y ) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO x N y thin films deposited on conductive single crystal Nb–STO show a dielectric constant ε′ ≈ 140 with low losses tanδ = 0.012 at 100 kHz. For the LaTiO x N y polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO 2 /Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO x N y films deposited on MgO substrate present a high dielectric constant with low losses (ε′ ≈ 170, tanδ = 0.011, 12 GHz).

  18. Excellent Passivation of p-Type Si Surface by Sol-Gel Al2O3 Films

    International Nuclear Information System (INIS)

    Hai-Qing, Xiao; Chun-Lan, Zhou; Xiao-Ning, Cao; Wen-Jing, Wang; Lei, Zhao; Hai-Ling, Li; Hong-Wei, Diao

    2009-01-01

    Al 2 O 3 films with a thickness of about 100 nm synthesized by spin coating and thermally treated are applied for field-induced surface passivation of p-type crystalline silicon. The level of surface passivation is determined by techniques based on photoconductance. An effective surface recombination velocity below 100 cm/s is obtained on 10Ω ·cm p-type c-Si wafers (Cz Si). A high density of negative fixed charges in the order of 10 12 cm −2 is detected in the Al 2 O 3 films and its impact on the level of surface passivation is demonstrated experimentally. Furthermore, a comparison between the surface passivation achieved for thermal SiO 2 and plasma enhanced chemical vapor deposition SiN x :H films on the same c-Si is presented. The high negative fixed charge density explains the excellent passivation of p-type c-Si by Al 2 O 3 . (cross-disciplinary physics and related areas of science and technology)

  19. Investigation on powder metallurgy Cr-Si-Ta-Al alloy target for high-resistance thin film resistors with low temperature coefficient of resistance

    International Nuclear Information System (INIS)

    Wang, X.Y.; Zhang, Z.S.; Bai, T.

    2010-01-01

    The sputtering target for high-resistance thin film resistors plays a decisive role in temperature coefficient of resistance (TCR). Silicon-rich chromium (Cr)-silicon (Si) target was designed and smelted for high-resistance thin film resistors with low TCR. Valve metal tantalum (Ta) and aluminum (Al) were introduced to the Cr-Si target to improve the performance of the target prepared. The measures for grain refining in smelting Cr-Si-Ta-Al target were taken to improve the performance of the prepared target. The mechanism and role of grain refinement were discussed in the paper. The phase structure of the prepared target was detected by X-ray diffraction (XRD). Rate of temperature drop was studied to reduce the internal stress of alloy target and conquer the easy cracking disadvantage of silicon-rich target. The electrical properties of sputtered thin film resistors were tested to evaluate the performance of the prepared target indirectly.

  20. Interface investigation of solution processed high- κ ZrO2/Si MOS structure by DLTS

    Science.gov (United States)

    Kumar, Arvind; Mondal, Sandip; Rao, Ksr Koteswara

    The interfacial region is dominating due to the continuous downscaling and integration of high- k oxides in CMOS applications. The accurate characterization of high- k oxides/semiconductor interface has the significant importance towards its usage in memory and thin film devices. The interface traps at the high - k /semiconductor interface can be quantified by deep level transient spectroscopy (DLTS) with better accuracy in contrast to capacitance-voltage (CV) and conductance technique. We report the fabrication of high- k ZrO2 films on p-Si substrate by a simple and inexpensive sol-gel spin-coating technique. Further, the ZrO2/Si interface is characterized through DLTS. The flat-band voltage (VFB) and the density of slow interface states (oxide trapped charges) extracted from CV characteristics are 0.37 V and 2x10- 11 C/cm2, respectively. The activation energy, interface state density and capture cross-section quantified by DLTS are EV + 0.42 eV, 3.4x1011 eV- 1 cm- 2 and 5.8x10- 18 cm2, respectively. The high quality ZrO2 films own high dielectric constant 15 with low leakage current density might be an appropriate insulating layer in future electronic application. The low value of interface state density and capture cross-section are the indication of high quality interface and the defect present at the interface may not affect the device performance to a great extent. The DLTS study provides a broad understanding about the traps present at the interface of spin-coated ZrO2/Si.

  1. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  2. Robustness up to 400°C of the passivation of c-Si by p-type a-Si:H thanks to ion implantation

    Science.gov (United States)

    Defresne, A.; Plantevin, O.; Roca i Cabarrocas, Pere

    2016-12-01

    Heterojunction solar cells based on crystalline silicon (c-Si) passivated by hydrogenated amorphous silicon (a-Si:H) thin films are one of the most promising architectures for high energy conversion efficiency. Indeed, a-Si:H thin films can passivate both p-type and n-type wafers and can be deposited at low temperature (layers, in particular p-type a-Si:H, show a dramatic degradation in passivation quality above 200°C. Yet, annealing at 300 - 400°C the TCO layer and metallic contacts is highly desirable to reduce the contact resistance as well as the TCO optical absorption. In this work, we show that as expected, ion implantation (5 - 30 keV) introduces defects at the c-Si/a-Si:H interface which strongly degrade the effective lifetime, down to a few micro-seconds. However, the passivation quality can be restored and lifetime values can be improved up to 2 ms over the initial value with annealing. We show here that effective lifetimes above 1 ms can be maintained up to 380°C, opening up the possibility for higher process temperatures in silicon heterojunction device fabrication.

  3. High quality TbMnO3 films deposited on YAlO3

    International Nuclear Information System (INIS)

    Glavic, Artur; Voigt, Joerg; Persson, Joerg; Su, Yixi; Schubert, Juergen; Groot, Joost de; Zande, Willi; Brueckel, Thomas

    2011-01-01

    Research highlights: → We found a good substrate and suitable deposition parameters to create untwinned, epitaxial thin films of TbMnO 3 . → Laboratory experiments prove the crystalline quality of the films. → We were able to measure the micro magnetic structure in the films by polarized neutron diffraction (to our knowledge the first neutron investigations on TbMnO 3 thin films). - Abstract: High quality thin films of TbMnO 3 were grown by pulsed laser deposition on orthorhombicYAlO 3 (1 0 0). The interface and surface roughness of a 55 nm thick film were probed by X-ray reflectometry and atomic force microscopy, yielding a roughness of 1 nm. X-ray diffraction revealed untwinned films and a small mosaic spread of 0.04 o and 0.2 o for out-of-plane and in-plane reflections, respectively. This high degree of epitaxy was also confirmed by Rutherford backscattering spectrometry. Using polarized neutron diffraction we could identify a magnetic structure with the propagation vector (0 0.27 0), identical to the bulk magnetic structure of TbMnO 3 .

  4. Controlled surface chemistry of diamond/β-SiC composite films for preferential protein adsorption.

    Science.gov (United States)

    Wang, Tao; Handschuh-Wang, Stephan; Yang, Yang; Zhuang, Hao; Schlemper, Christoph; Wesner, Daniel; Schönherr, Holger; Zhang, Wenjun; Jiang, Xin

    2014-02-04

    Diamond and SiC both process extraordinary biocompatible, electronic, and chemical properties. A combination of diamond and SiC may lead to highly stable materials, e.g., for implants or biosensors with excellent sensing properties. Here we report on the controllable surface chemistry of diamond/β-SiC composite films and its effect on protein adsorption. For systematic and high-throughput investigations, novel diamond/β-SiC composite films with gradient composition have been synthesized using the hot filament chemical vapor deposition (HFCVD) technique. As revealed by scanning electron microscopy (SEM), the diamond/β-SiC ratio of the composite films shows a continuous change from pure diamond to β-SiC over a length of ∼ 10 mm on the surface. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) was employed to unveil the surface termination of chemically oxidized and hydrogen treated surfaces. The surface chemistry of the composite films was found to depend on diamond/β-SiC ratio and the surface treatment. As observed by confocal fluorescence microscopy, albumin and fibrinogen were preferentially adsorbed from buffer: after surface oxidation, the proteins preferred to adsorb on diamond rather than on β-SiC, resulting in an increasing amount of proteins adsorbed to the gradient surfaces with increasing diamond/β-SiC ratio. By contrast, for hydrogen-treated surfaces, the proteins preferentially adsorbed on β-SiC, leading to a decreasing amount of albumin adsorbed on the gradient surfaces with increasing diamond/β-SiC ratio. The mechanism of preferential protein adsorption is discussed by considering the hydrogen bonding of the water self-association network to OH-terminated surfaces and the change of the polar surface energy component, which was determined according to the van Oss method. These results suggest that the diamond/β-SiC gradient film can be a promising material for biomedical applications which

  5. Geometric structure of thin SiO xN y films on Si(100)

    Science.gov (United States)

    Behrens, K.-M.; Klinkenberg, E.-D.; Finster, J.; Meiwes-Broer, K.-H.

    1998-05-01

    Thin films of amorphous stoichometric SiO xN y are deposited on radiation-heated Si(100) by rapid thermal low-pressure chemical vapour deposition. We studied the whole range of possible compositions. In order to determine the geometric structure, we used EXAFS and photoelectron spectroscopy. Tetrahedrons constitute the short-range units with a central Si atom connected to N and O. The distribution of the possible tetrahedrons can be described by a mixture of the Random Bonding Model and the Random Mixture Model. For low oxygen contents x/( x+ y)≤0.3, the geometric structure of the film is almost the structure of a-Si 3N 4, with the oxygen preferably on top of Si-N 3 triangles. Higher oxygen contents induce changes in the bond lengths, bond angles and coordination numbers.

  6. Investigations of Si Thin Films as Anode of Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Qingliu [Department of Chemical; Shi, Bing; Bareño, Javier; Liu, Yuzi; Maroni, Victor A.; Zhai, Dengyun; Dees, Dennis W.; Lu, Wenquan

    2018-01-22

    Amorphous silicon thin films having various thicknesses were investigated as a negative electrode material for lithium-ion batteries. Electrochemical characterization of the 20 nm thick thin silicon film revealed a very low first cycle Coulombic efficiency, which can be attributed to the silicon oxide layer formed on both the surface of the as-deposited Si thin film and the interface between the Si and the substrate. Among the investigated films, the 100 nm Si thin film demonstrated the best performance in terms of first cycle efficiency and cycle life. Observations from scanning electron microscopy demonstrated that the generation of cracks was inevitable in the cycled Si thin films, even as the thickness of the film was as little as 20 nm, which was not predicted by previous modeling work. However, the cycling performance of the 20 and 100 nm silicon thin films was not detrimentally affected by these cracks. The poor capacity retention of the 1 mu m silicon thin film was attributed to the delamination.

  7. Temperature stability of c-axis oriented LiNbO{sub 3}/SiO{sub 2}/Si thin film layered structures

    Energy Technology Data Exchange (ETDEWEB)

    Tomar, Monika [Department of Physics and Astrophysics, University of Delhi, Delhi (India)]. E-mail: mtomar@physics.du.ac.in; monikatomar@rediffmail.com; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K. [Department of Physics and Astrophysics, University of Delhi, Delhi (India)

    2001-08-07

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO{sub 3} thin film layered structures on passivated silicon (SiO{sub 2}/Si) substrate with and without a non-piezoelectric SiO{sub 2} overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO{sub 2} overlayer on LiNbO{sub 3} film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K{sup 2}=3.45% and a zero TCD can be obtained in the SiO{sub 2}/LiNbO{sub 3}/SiO{sub 2}/Si structure with a 0.235{lambda} thick LiNbO{sub 3} layer sandwiched between 0.1{lambda} thick SiO{sub 2} layers. (author)

  8. Compositionally graded SiCu thin film anode by magnetron sputtering for lithium ion battery

    Energy Technology Data Exchange (ETDEWEB)

    Polat, B.D., E-mail: bpolat@itu.edu.tr [Department of Metallurgical and Materials Engineering, Istanbul Technical University, Maslak, Istanbul 34469 (Turkey); Eryilmaz, O.L. [Energy Systems Division, Argonne National Laboratory, Argonne, IL 60439 (United States); Keleş, O., E-mail: ozgulkeles@itu.edu.tr [Department of Metallurgical and Materials Engineering, Istanbul Technical University, Maslak, Istanbul 34469 (Turkey); Erdemir, A. [Energy Systems Division, Argonne National Laboratory, Argonne, IL 60439 (United States); Amine, K. [Chemical Sciences and Engineering Division, Argonne National Laboratory, Argonne, IL 60439 (United States)

    2015-12-01

    Compositionally graded and non-graded composite SiCu thin films were deposited by magnetron sputtering technique on Cu disks for investigation of their potentials in lithium ion battery applications. The compositionally graded thin film electrodes with 30 at.% Cu delivered a 1400 mAh g{sup −1} capacity with 80% Coulombic efficiency in the first cycle and still retained its capacity at around 600 mAh g{sup −1} (with 99.9% Coulombic efficiency) even after 100 cycles. On the other hand, the non-graded thin film electrodes with 30 at.% Cu exhibited 1100 mAh g{sup −1} as the first discharge capacity with 78% Coulombic efficiency but the cycle life of this film degraded very quickly, delivering only 250 mAh g{sup −1} capacity after 100th cycles. Not only the Cu content but also the graded film thickness were believed to be the main contributors to the much superior performance of the compositionally graded SiCu films. We also believe that the Cu-rich region of the graded film helped reduce internal stress build-up and thus prevented film delamination during cycling. In particular, the decrease of Cu content from interface region to the top of the coating reduced the possibility of stress build-up across the film during cycling, thus leading to a high electrochemical performance.b - Highlights: • Highly adherent SiCu films are deposited by magnetron sputtering. • Compositionally graded SiCu film is produced and characterized. • Decrease of Cu content diverted the propagation of stress in the anode. • Cu rich layer at the bottom improves the adherence of the film.

  9. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    Science.gov (United States)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  10. Ti-catalyzed HfSiO4 formation in HfTiO4 films on SiO2 studied by Z-contrast scanning electron microscopy

    Directory of Open Access Journals (Sweden)

    Elizabeth Ellen Hoppe

    2013-08-01

    Full Text Available Hafnon (HfSiO4 as it is initially formed in a partially demixed film of hafnium titanate (HfTiO4 on fused SiO2 is studied by atomic number (Z contrast high resolution scanning electron microscopy, x-ray diffraction, and Raman spectroscopy and microscopy. The results show exsoluted Ti is the catalyst for hafnon formation by a two-step reaction. Ti first reacts with SiO2 to produce a glassy Ti-silicate. Ti is then replaced by Hf in the silicate to produce HfSiO4. The results suggest this behavior is prototypical of other Ti-bearing ternary or higher order oxide films on SiO2 when film thermal instability involves Ti exsolution.

  11. Fluoropolymer/SiO2 composite films with switchable superoleophilicity and high oleophobicity for “on–off” oil permeation

    International Nuclear Information System (INIS)

    Yang, Hao; Hu, Xiaojing; Chen, Rong; Liu, Shantang; Pi, Pihui; Yang, Zhuo-ru

    2013-01-01

    In this work, fluoropolymer/SiO 2 composite films with switchable superoleophilicity and high oleophobicity have been successfully prepared on stainless steel mesh. Tunable wettability could be easily realized by merely reversing the feeding order of the perfluorinated monomer in the polymerization. The effects of surface roughness and chemical composition on the wettability of the films were investigated by scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). The results indicate that the distribution of low surface energy groups plays a crucial role in determining the surface oleophobicity or oleophilicity. The porous stainless steel mesh with fluoropolymer/SiO 2 composite could construct dual-scale roughness, leading to less wetting of the solid. The stainless steel mesh coated with the proposed as-prepared polymer films may lead to an oil–water separation membrane. This work provides an interesting insight into the design of novel functional devices that are relevant to oil/water separation.

  12. Structural and optical properties of {beta}-FeSi{sub 2}/Si(100) prepared by laser ablation method

    Energy Technology Data Exchange (ETDEWEB)

    Kakemoto, H; Makita, Y; Obara, A; Tsai, Y; Sakuragi, S; Ando, S; Tsukamoto, T

    1997-07-01

    {beta}-FeSi{sub 2} is a promising material for the application of various electronic, optoelectronic and energy devices. The authors present here the semiconducting properties of {beta}-FeSi{sub 2} films on Si(100) substrate prepared by laser ablation method. Samples were grown using poly-crystalline bulk {beta}-FeSi{sub 2} prepared by horizontal gradient freeze method. For the monitoring of growth, in-situ observation of ablation plume was made through fluorescence spectroscopy. Reflection of high-energy electron beam diffraction (RHEED) was also made in-situ to see the surface morphology. Characterization of the films by X-ray diffraction presented purely {beta}(220) orientation. Raman scattering measurements at room temperature also indicated that the grown films are semiconducting {beta}-FeSi{sub 2}. Optical absorption spectra at room temperature showed absorption coefficient higher than 10{sup 5} cm{sup {minus}1} above the band-gap ({approximately}1.2 eV). It was revealed that high quality semiconducting {beta}-FeSi{sub 2} films can be fabricated by laser ablation method without post-annealing.

  13. Electronic transport properties of nanostructured MnSi-films

    Science.gov (United States)

    Schroeter, D.; Steinki, N.; Scarioni, A. Fernández; Schumacher, H. W.; Süllow, S.; Menzel, D.

    2018-05-01

    MnSi, which crystallizes in the cubic B20 structure, shows intriguing magnetic properties involving the existence of skyrmions in the magnetic phase diagram. Bulk MnSi has been intensively investigated and thoroughly characterized, in contrast to MnSi thin film, which exhibits widely varying properties in particular with respect to electronic transport. In this situation, we have set out to reinvestigate the transport properties in MnSi thin films by means of studying nanostructure samples. In particular, Hall geometry nanostructures were produced to determine the intrinsic transport properties.

  14. Properties of laser-crystallized polycrystalline SiGe thin films

    Energy Technology Data Exchange (ETDEWEB)

    Weizman, Moshe

    2008-06-06

    In this thesis, structural, electrical, and optical properties of laser-crystallized polycrystalline Si{sub 1-x}Ge{sub x} thin films with 0Si{sub 1-x}Ge{sub x} thin films with 0.3a self-organized pattern of hillocks or ripples on the surface of the film, which is directly coupled to a periodic compositional variation. - Amorphous SiGe samples that are exposed to a single laser pulse exhibit a ripple structure that evolves into a hillock structure when the samples are irradiated with additional laser pulses. - It is maintained that the main mechanism behind the structure formation is an instability of the propagating solid-liquid interface during solidification. - The study of defects with electron spin resonance showed that laser-crystallized poly-Si{sub 1-x}Ge{sub x} thin films with 0a dangling-bond concentration of about N{sub s}=4 x 10{sup 18} cm{sup -3}, which is roughly independent of the crystallization method and Ge content. The defect density for solid-phase crystallized SiGe films was lower and amounted to N{sub s}=7 x 10{sup 17} cm{sup -3}. - Germanium-rich laser-crystallized poly-SiGe thin films exhibited mostly a broad atypical electric dipole spin resonance (EDSR) signal that was accompanied by a nearly temperature-independent electrical conductivity in the range 20-100 K. - Most likely, the origin of the grain boundary conductance is due to dangling-bond defects and not impurities. Metallic-like conductance occurs when the dangling-bond defect density is above a critical value of about N{sub C} {approx} 10{sup 18} cm{sup -3}. - Laser crystallized poly-Si{sub 1-x}Ge{sub x} thin films with x{>=}0.5 exhibit optical absorption behavior that is characteristic for disordered SiGe, implying that the absorption occurs primarily at the grain boundaries. A sub-band-gap absorption peak was found for

  15. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    International Nuclear Information System (INIS)

    Yan, Guoguo; Zhang, Feng; Niu, Yingxi; Yang, Fei; Liu, Xingfang; Wang, Lei; Zhao, Wanshun; Sun, Guosheng; Zeng, Yiping

    2015-01-01

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H_2 flow rate ranging from15 to 30 slm. • High H_2 flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H_2 flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H_2 flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H_2 flow rate. The growth rate and n-type doping are also dependent on H_2 flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H_2 flow rate are attributed to higher 3C-SiC film growth rate and H_2 etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H_2 flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  16. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Guoguo [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Zhang, Feng, E-mail: fzhang@semi.ac.cn [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Niu, Yingxi; Yang, Fei [Electrical Engineering New Materials and Microelectronics Department, State Grid Smart Grid Research Institute, Beijing 100192 (China); Liu, Xingfang; Wang, Lei; Zhao, Wanshun [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Sun, Guosheng [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Dongguan Tianyu Semiconductor, Inc., Dongguan 523000 (China); Zeng, Yiping [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2015-10-30

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H{sub 2} flow rate ranging from15 to 30 slm. • High H{sub 2} flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H{sub 2} flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H{sub 2} flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H{sub 2} flow rate. The growth rate and n-type doping are also dependent on H{sub 2} flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H{sub 2} flow rate are attributed to higher 3C-SiC film growth rate and H{sub 2} etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H{sub 2} flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  17. Optical transparency and mechanical properties of semi-refined iota carrageenan film reinforced with SiO2 as food packaging material

    Science.gov (United States)

    Aji, Afifah Iswara; Praseptiangga, Danar; Rochima, Emma; Joni, I. Made; Panatarani, Camellia

    2018-02-01

    Food packaging is important for protecting food from environmental influences such as heat, light, water vapor, oxygen, dirt, dust particles, gas emissions and so on, which leads to decrease the quality of food. The most widely used type of packaging in the food industry is plastic which is made from synthetic polymers and takes hundreds of years to biodegrade. Recently, food packaging with high bio-degradability is being developed using biopolymer combined with nanoparticles as reinforcing agent (filler) to improve its properties. In this study, semi-refined iota carrageenan films were prepared by incorporating SiO2 nanoparticles as filler at different concentrations (0%, 0.5%, 1.0% and 1.5% w/w carrageenan) using solution casting method. The optical transparency and mechanical properties (tensile strength and elongation at break) of the films were analyzed. The results showed that incorporation of SiO2 nanoparticles to carrageenan matrix on optical transparency of the films. For the mechanical properties, the highest tensile strength was found for incorporation of 0.5% SiO2, while the elongation at break of the films improved with increasing SiO2 concentration.

  18. A preliminary study on the etching behavior of SiO sub 2 aerogel film with CHF sub 3 gas

    CERN Document Server

    Wang, S J; Yeom, G Y

    1998-01-01

    Etching behavior of SiO sub 2 aerogel film has been investigated in order to examine the feasibility of its application to an interlevel dielectric material. Low dielectric property of SiO sub 2 aerogel film is simply originated from its highly porous structure, but interconnected particles are covered with surface chemical bondings (-OH, -OC sub 2 H sub 5 , etc). Etching experiments have been performed with high density inductively coupled CHF sub 3 plasma. The effects of porous structure and surface chemical bondings on the etching of SiO sub 2 aerogel film have been analyzed. The changes of surface morphology were observed using scanning electron microscopy. X-ray photoelectron spectroscopic analyses revealed compositions and chemical bonding states of reaction layer. From the analyses, 3-dimensional etching was not feasible macroscopically in SiO sub 2 aerogel film even with its porous nature because network structure was maintained through the etching process. Internal surface chemicals seemed to act an ...

  19. High-quality EuO thin films the easy way via topotactic transformation

    Science.gov (United States)

    Mairoser, Thomas; Mundy, Julia A.; Melville, Alexander; Hodash, Daniel; Cueva, Paul; Held, Rainer; Glavic, Artur; Schubert, Jürgen; Muller, David A.; Schlom, Darrell G.; Schmehl, Andreas

    2015-07-01

    Epitaxy is widely employed to create highly oriented crystalline films. A less appreciated, but nonetheless powerful means of creating such films is via topotactic transformation, in which a chemical reaction transforms a single crystal of one phase into a single crystal of a different phase, which inherits its orientation from the original crystal. Topotactic reactions may be applied to epitactic films to substitute, add or remove ions to yield epitactic films of different phases. Here we exploit a topotactic reduction reaction to provide a non-ultra-high vacuum (UHV) means of growing highly oriented single crystalline thin films of the easily over-oxidized half-metallic semiconductor europium monoxide (EuO) with a perfection rivalling that of the best films of the same material grown by molecular-beam epitaxy or UHV pulsed-laser deposition. As the technique only requires high-vacuum deposition equipment, it has the potential to drastically improve the accessibility of high-quality single crystalline films of EuO as well as other difficult-to-synthesize compounds.

  20. Investigations of metal contacts to amorphous evaporated Ge films and amorphous sputtered Si films

    International Nuclear Information System (INIS)

    Hafiz, M.; Mgbenu, E.; Tove, P.A.; Norde, H.; Petersson, S.

    1976-02-01

    Amorphous Ge or Si films have been used as ohmic contacts to high-resistivity n-silicon radiation detectors. One interesting property of this contact is that it does not inject minority carriers even when the depletion region extends up to the contact thus generating an extracting field there. The function of this contact is not yet fully explored. One part problem is the role of the metal films used as external contacts to the amorphous film. In this report the function of different contacting metals, such as Au, Al, Cr are investigated by measuring the I-V-characteristics of sandwich structures with two metals on both sides of the amorphous evaporated (Ge) and sputtered (Si) film (of typical thickness 1000 A). It was found that while the symmetric structures Au-αGe-Au and Cr-αGe-Cr were low-resistive (leading to resistivity values of approximately 10 5 Ωcm for the αGe film), Al-αGe-Al structures showed much higher resistance and were also polarity dependent. The former feature was found also for unsymmetric structures, i.e. Cr-αGe-Au, Cr-αGe-Al. (Auth.)

  1. Fabrication and characterization of Al{sub 2}O{sub 3} /Si composite nanodome structures for high efficiency crystalline Si thin film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Ruiying, E-mail: ryzhang2008@sinano.ac.cn [Key lab of nanodevices and applications, Chinese Academy of Sciences, Division of nano-devices and related materials, Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou, 215123 (China); State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, 865 Changning Road, Shanghai 200050 China (China); Zhu, Jian; Zhang, Zhen; Wang, Yanyan; Qiu, Bocang [Key lab of nanodevices and applications, Chinese Academy of Sciences, Division of nano-devices and related materials, Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou, 215123 (China); Liu, Xuehua; Zhang, Jinping; Zhang, Yi [Platform for Characterization & Test, Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou, 215123 (China); Fang, Qi; Ren, Zhong [Oxford Instruments Plasma Technology, Yatton, Bristol, BS49 4AP (United Kingdom); Bai, Yu [School of Nano-Science and Nano-Engineering, Xi’an Jiaotong University, Suzhou, 215123 (China)

    2015-12-15

    We report on our fabrication and characterization of Al{sub 2}O{sub 3}/Si composite nanodome (CND) structures, which is composed of Si nanodome structures with a conformal cladding Al{sub 2}O{sub 3} layer to evaluate its optical and electrical performance when it is applied to thin film solar cells. It has been observed that by application of Al{sub 2}O{sub 3}thin film coating using atomic layer deposition (ALD) to the Si nanodome structures, both optical and electrical performances are greatly improved. The reflectivity of less than 3% over the wavelength range of from 200 nm to 2000 nm at an incident angle from 0° to 45° is achieved when the Al{sub 2}O{sub 3} film is 90 nm thick. The ultimate efficiency of around 27% is obtained on the CND textured 2 μm-thick Si solar cells, which is compared to the efficiency of around 25.75% and 15% for the 2 μm-thick Si nanodome surface-decorated and planar samples respectively. Electrical characterization was made by using CND-decorated MOS devices to measure device’s leakage current and capacitance dispersion. It is found the electrical performance is sensitive to the thickness of the Al{sub 2}O{sub 3} film, and the performance is remarkably improved when the dielectric layer thickness is 90 nm thick. The leakage current, which is less than 4x10{sup −9} A/cm{sup 2} over voltage range of from -3 V to 3 V, is reduced by several orders of magnitude. C-V measurements also shows as small as 0.3% of variation in the capacitance over the frequency range from 10 kHz to 500 kHz, which is a strong indication of surface states being fully passivated. TEM examination of CND-decorated samples also reveals the occurrence of SiO{sub x} layer formed between the interface of Si and the Al{sub 2}O{sub 3} film, which is thin enough that ensures the presence of field-effect passivation, From our theoretical and experimental study, we believe Al{sub 2}O{sub 3} coated CND structures is a truly viable approach to achieving higher device

  2. Engineering helimagnetism in MnSi thin films

    Directory of Open Access Journals (Sweden)

    S. L. Zhang

    2016-01-01

    Full Text Available Magnetic skyrmion materials have the great advantage of a robust topological magnetic structure, which makes them stable against the superparamagnetic effect and therefore a candidate for the next-generation of spintronic memory devices. Bulk MnSi, with an ordering temperature of 29.5 K, is a typical skyrmion system with a propagation vector periodicity of ∼18 nm. One crucial prerequisite for any kind of application, however, is the observation and precise control of skyrmions in thin films at room-temperature. Strain in epitaxial MnSi thin films is known to raise the transition temperature to 43 K. Here we show, using magnetometry and x-ray spectroscopy, that the transition temperature can be raised further through proximity coupling to a ferromagnetic layer. Similarly, the external field required to stabilize the helimagnetic phase is lowered. Transmission electron microscopy with element-sensitive detection is used to explore the structural origin of ferromagnetism in these Mn-doped substrates. Our work suggests that an artificial pinning layer, not limited to the MnSi/Si system, may enable room temperature, zero-field skyrmion thin-film systems, thereby opening the door to device applications.

  3. Engineering helimagnetism in MnSi thin films

    Science.gov (United States)

    Zhang, S. L.; Chalasani, R.; Baker, A. A.; Steinke, N.-J.; Figueroa, A. I.; Kohn, A.; van der Laan, G.; Hesjedal, T.

    2016-01-01

    Magnetic skyrmion materials have the great advantage of a robust topological magnetic structure, which makes them stable against the superparamagnetic effect and therefore a candidate for the next-generation of spintronic memory devices. Bulk MnSi, with an ordering temperature of 29.5 K, is a typical skyrmion system with a propagation vector periodicity of ˜18 nm. One crucial prerequisite for any kind of application, however, is the observation and precise control of skyrmions in thin films at room-temperature. Strain in epitaxial MnSi thin films is known to raise the transition temperature to 43 K. Here we show, using magnetometry and x-ray spectroscopy, that the transition temperature can be raised further through proximity coupling to a ferromagnetic layer. Similarly, the external field required to stabilize the helimagnetic phase is lowered. Transmission electron microscopy with element-sensitive detection is used to explore the structural origin of ferromagnetism in these Mn-doped substrates. Our work suggests that an artificial pinning layer, not limited to the MnSi/Si system, may enable room temperature, zero-field skyrmion thin-film systems, thereby opening the door to device applications.

  4. Engineering helimagnetism in MnSi thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, S. L.; Hesjedal, T., E-mail: Thorsten.Hesjedal@physics.ox.ac.uk [Department of Physics, Clarendon Laboratory, University of Oxford, Oxford, OX1 3PU (United Kingdom); Chalasani, R.; Kohn, A. [Department of Materials Science and Engineering, Tel Aviv University, Ramat Aviv 6997801, Tel Aviv (Israel); Baker, A. A. [Department of Physics, Clarendon Laboratory, University of Oxford, Oxford, OX1 3PU (United Kingdom); Magnetic Spectroscopy Group, Diamond Light Source, Didcot, OX11 0DE (United Kingdom); Steinke, N.-J. [ISIS, Harwell Science and Innovation Campus, Didcot, Oxfordshire, OX11 0QX (United Kingdom); Figueroa, A. I.; Laan, G. van der [Magnetic Spectroscopy Group, Diamond Light Source, Didcot, OX11 0DE (United Kingdom)

    2016-01-15

    Magnetic skyrmion materials have the great advantage of a robust topological magnetic structure, which makes them stable against the superparamagnetic effect and therefore a candidate for the next-generation of spintronic memory devices. Bulk MnSi, with an ordering temperature of 29.5 K, is a typical skyrmion system with a propagation vector periodicity of ∼18 nm. One crucial prerequisite for any kind of application, however, is the observation and precise control of skyrmions in thin films at room-temperature. Strain in epitaxial MnSi thin films is known to raise the transition temperature to 43 K. Here we show, using magnetometry and x-ray spectroscopy, that the transition temperature can be raised further through proximity coupling to a ferromagnetic layer. Similarly, the external field required to stabilize the helimagnetic phase is lowered. Transmission electron microscopy with element-sensitive detection is used to explore the structural origin of ferromagnetism in these Mn-doped substrates. Our work suggests that an artificial pinning layer, not limited to the MnSi/Si system, may enable room temperature, zero-field skyrmion thin-film systems, thereby opening the door to device applications.

  5. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  6. Highly c-axis-oriented monocrystalline Pb(Zr, Ti)O₃ thin films on si wafer prepared by fast cooling immediately after sputter deposition.

    Science.gov (United States)

    Yoshida, Shinya; Hanzawa, Hiroaki; Wasa, Kiyotaka; Esashi, Masayoshi; Tanaka, Shuji

    2014-09-01

    We successfully developed sputter deposition technology to obtain a highly c-axis-oriented monocrystalline Pb(Zr, Ti)O3 (PZT) thin film on a Si wafer by fast cooling (~-180°C/min) of the substrate after deposition. The c-axis orientation ratio of a fast-cooled film was about 90%, whereas that of a slow-cooled (~-40°C/min) film was only 10%. The c-axis-oriented monocrystalline Pb(Zr0.5, Ti0.5)O3 films showed reasonably large piezoelectric coefficients, e(31,f) = ~-11 C/m(2), with remarkably small dielectric constants, ϵ(r) = ~220. As a result, an excellent figure of merit (FOM) was obtained for piezoelectric microelectromechanical systems (MEMS) such as a piezoelectric gyroscope. This c-axis orientation technology on Si will extend industrial applications of PZT-based thin films and contribute further to the development of piezoelectric MEMS.

  7. Using MDECR-PECVD to study the impact of ion bombardment energy on microstructural properties of μc-Si:H thin film grown from an SiF{sub 4}/H{sub 2} chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Junkang; Florea, Ileana; Bulkin, Pavel V.; Maurice, Jean-Luc; Johnson, Erik V. [LPICM, CNRS, Ecole Polytechnique, Universite Paris Saclay, 91128 Palaiseau (France)

    2016-12-15

    The matrix-distributed electron cyclotron resonance plasma-enhanced chemical vapor deposition (MDECR-PECVD) technique has been shown to achieve high deposition rates for hydrogenated microcrystalline silicon (μc-Si:H) thin film. Due to the fact that plasma is sustained by a microwave discharge, by biasing the substrate holder with additional power supply, one can achieve independent control over the plasma density and the maximum ion bombardment energy (IBE). In this work, we present studies of the impact of IBE on the microstructural properties of the μc-Si:H film deposited by MDECR-PECVD. Insufficient ion bombardment is found to be responsible for the substantial presence of nano-porous regions within the material, resulting in significant post-deposition oxidation. Good agreement between transmission electron microscopy (TEM) Fresnel contrast analysis and the results of infrared absorption and hydrogen effusion measurements for the deposited films suggest that moderate IBE is of vital importance to achieve high quality μc-Si:H. In doing so, denser films with significantly decreased nano-porous regions and better stability are obtained, which is of great interest to optimize the process parameters for solar cell applications. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Interface state density evaluation of high quality hetero-epitaxial 3C–SiC(0 0 1) for high-power MOSFET applications

    Energy Technology Data Exchange (ETDEWEB)

    Anzalone, R., E-mail: ruggero.anzalone@imm.cnr.it; Privitera, S.; Camarda, M.; Alberti, A.; Mannino, G.; Fiorenza, P.; Di Franco, S.; La Via, F.

    2015-08-15

    Graphical abstract: Figure shows the normalized capacitance (C/C{sub OX}) versus voltage (V) for the MOS capacitors on 3 μm, 7 μm thick 3C–SiC films and silicon (as reference), respectively. The shift of the curve respect to the reference is due to the presence of fixed and/or trapped charge in the oxide and interface trapped charge, due to the presence of interface states of density D{sub it}, located at the semiconductor/oxide interface. - Highlights: • We analyzed the flat-band voltage shift for different semiconductor epi-thickness. • The interface state density as a function of epi-defects was evaluated. • We observed the relationship between XRD and C–V results. • Epitaxial thickness influence on interface state density was evaluated. - Abstract: The effects of the crystal quality and surface morphology on the electrical properties of MOS capacitors have been studied in devices manufactured on 3C–SiC epitaxial layers grown on silicon (1 0 0) substrate. The interface state density, which represents one of the most important parameters, has been determined through capacitance measurements. A cross-correlation between high resolution X-ray diffraction, AFM analysis and electrical conductance measurements has allowed to determine the relationship between the crystalline quality and the interface state density. A decrease of the interface state density down to about 10{sup 11} cm{sup −2} eV{sup −1} was observed with improving the crystalline quality.

  9. Substrate considerations for graphene synthesis on thin copper films

    International Nuclear Information System (INIS)

    Howsare, Casey A; Robinson, Joshua A; Weng Xiaojun; Bojan, Vince; Snyder, David

    2012-01-01

    Chemical vapor deposition on copper substrates is a primary technique for synthesis of high quality graphene films over large areas. While well-developed processes are in place for catalytic growth of graphene on bulk copper substrates, chemical vapor deposition of graphene on thin films could provide a means for simplified device processing through the elimination of the layer transfer process. Recently, it was demonstrated that transfer-free growth and processing is possible on SiO 2 . However, the Cu/SiO 2 /Si material system must be stable at high temperatures for high quality transfer-free graphene. This study identifies the presence of interdiffusion at the Cu/SiO 2 interface and investigates the influence of metal (Ni, Cr, W) and insulating (Si 3 N 4 , Al 2 O 3 , HfO 2 ) diffusion barrier layers on Cu–SiO 2 interdiffusion, as well as graphene structural quality. Regardless of barrier choice, we find the presence of Cu diffusion into the silicon substrate as well as the presence of Cu–Si–O domains on the surface of the copper film. As a result, we investigate the choice of a sapphire substrate and present evidence that it is a robust substrate for synthesis and processing of high quality, transfer-free graphene. (paper)

  10. Effect of high temperature deposition on CoSi2 phase formation

    International Nuclear Information System (INIS)

    Comrie, C. M.; Ahmed, H.; Smeets, D.; Demeulemeester, J.; Vantomme, A.; Turner, S.; Van Tendeloo, G.; Detavernier, C.

    2013-01-01

    This paper discusses the nucleation behaviour of the CoSi to CoSi 2 transformation from cobalt silicide thin films grown by deposition at elevated substrate temperatures ranging from 375 °C to 600 °C. A combination of channelling, real-time Rutherford backscattering spectrometry, real-time x-ray diffraction, and transmission electron microscopy was used to investigate the effect of the deposition temperature on the subsequent formation temperature of CoSi 2 , its growth behaviour, and the epitaxial quality of the CoSi 2 thus formed. The temperature at which deposition took place was observed to exert a significant and systematic influence on both the formation temperature of CoSi 2 and its growth mechanism. CoSi films grown at the lowest temperatures were found to increase the CoSi 2 nucleation temperature above that of CoSi 2 grown by conventional solid phase reaction, whereas the higher deposition temperatures reduced the nucleation temperature significantly. In addition, a systematic change in growth mechanism of the subsequent CoSi 2 growth occurs as a function of deposition temperature. First, the CoSi 2 growth rate from films grown at the lower reactive deposition temperatures is substantially lower than that grown at higher reactive deposition temperatures, even though the onset of growth occurs at a higher temperature, Second, for deposition temperatures below 450 °C, the growth appears columnar, indicating nucleation controlled growth. Elevated deposition temperatures, on the other hand, render the CoSi 2 formation process layer-by-layer which indicates enhanced nucleation of the CoSi 2 and diffusion controlled growth. Our results further indicate that this observed trend is most likely related to stress and changes in microstructure introduced during reactive deposition of the CoSi film. The deposition temperature therefore provides a handle to tune the CoSi 2 growth mechanism.

  11. Si surface passivation by SiOx:H films deposited by a low-frequency ICP for solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H P; Wei, D Y; Xu, S; Xiao, S Q; Xu, L X; Huang, S Y; Guo, Y N; Khan, S; Xu, M

    2012-01-01

    Hydrogenated silicon suboxide (SiO x :H) thin films are fabricated by a low-frequency inductively coupled plasma of hydrogen-diluted SiH 4 + CO 2 at a low temperature (100 °C). Introduction of a small amount of oxygen into the film results in a predominantly amorphous structure, wider optical bandgap, increased H content, lower conductivity and higher activation energy. The minority carrier lifetime in the SiO x :H-passivated p-type Si substrate is up to 428 µs with a reduced incubation layer at the interface. The associated surface recombination velocity is as low as 70 cm s -1 . The passivation behaviour dominantly originates from the H-related chemical passivation. The passivation effect is also demonstrated by the excellent photovoltaic performance of the heterojunction solar cell with the SiO x :H-based passivation and emitter layers.

  12. Microstructure, electrical, and optical properties of evaporated PtSi/p-Si(100) Schottky barriers as high quantum efficient infrared detectors

    International Nuclear Information System (INIS)

    Wu Jihhuah; Chang Rongsen; Horng Gwoji

    2004-01-01

    The effects of the microstructure and the electrical and optical properties on the formation at highly efficient infrared PtSi Schottky barrier detectors (SBD) have been studied in detail. Two- to twelve-nanometer-thick PtSi films were grown by evaporation at temperature ranging from 350 to 550 deg. C. The electron diffraction patterns indicate the existence of both the (11-bar0) and (12-bar1) orientations when PtSi films formed at 350 deg. C. However, the diffraction patterns show only the (12-bar1) orientation when the PtSi films are formed at 450 deg. C or above. The electrical barrier height of the Schottky barrier detector that formed at 350 deg. C was about 20 meV higher than that formed at 450 deg. C or above. The grain size and the film thickness had a negligible effect on the electrical barrier height. However, the optical performance was strongly dependent on the film thickness and the growth conditions. The 350 deg. C PtSi film showed increased quantum efficiency as the film thickness decreased. The optimal thickness that provided the highest responsivity was 2 nm. On the other hand, the optimal thickness shifted to 8 nm for PtSi film formed at 450 deg. C or above. These results indicate that the quantum efficiency of a detector can be improved if the PtSi film has an orientation at (12-bar1), a larger grain size, and an optimal film thickness

  13. On the way to enhance the optical absorption of a-Si in NIR by embedding Mg_2Si thin film

    International Nuclear Information System (INIS)

    Chernev, I. M.; Shevlyagin, A. V.; Galkin, K. N.; Stuchlik, J.; Remes, Z.; Fajgar, R.; Galkin, N. G.

    2016-01-01

    Mg_2Si thin film was embedded in amorphous silicon matrix by solid phase epitaxy. The structure and optical properties were investigated by electron energy loss, X-ray photoelectron, Raman, and photo thermal deflection spectroscopy measurements. It was found that in the photon energy range of 0.8–1.7 eV, the light absorption of the structure with magnesium silicide (Mg_2Si) film embedded in a-Si(i) matrix is 1.5 times higher than that for the same structure without Mg_2Si.

  14. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  15. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  16. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    International Nuclear Information System (INIS)

    Uedono, Akira; Tanigawa, Shoichiro; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa.

    1994-01-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author)

  17. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa

    1994-11-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author).

  18. High quality uniform YBCO film growth by the metalorganic deposition using trifluoroacetates

    Energy Technology Data Exchange (ETDEWEB)

    Wang, S.S., E-mail: wangssh@tsinghua.edu.cn [Key Laboratory of Micro-nano Measurement, Manipulation and Physics (Beihang University), Ministry of Education, Beijing 100191 (China); Beijing Dingchen Superconducting Technology Co., Ltd., Beijing 100084 (China); Zhang, Z.L. [Key Laboratory of Micro-nano Measurement, Manipulation and Physics (Beihang University), Ministry of Education, Beijing 100191 (China); Wang, L. [Applied superconductivity research center, Department of Physics, Tsinghua University, Beijing 100084 (China); Gao, L.K.; Liu, J. [Beijing Dingchen Superconducting Technology Co., Ltd., Beijing 100084 (China)

    2017-03-15

    Highlights: • High quality double-sided YBCO films are fabricated on LaAlO3 substrates by TFA-MOD method with diameters up to 2 in. • Large area YBCO films were very uniform in microstructure and thickness distribution, an average inductive Jc in excess of 6 MA/cm{sup 2} and low R{sub s} (10 GHz) of 0.3 mΩ at 77 K were obtained. • It will greatly promoted the research and applications of large-area YBCO films by chemical solution method. - Abstract: A need exists for the large-area superconducting YBa{sub 2}Cu{sub 3}O{sub 7-x} (YBCO) films with high critical current density for microwave communication and/or electric power applications. Trifluoroacetic metalorganic (TFA-MOD) method is a promising low cost technique for large-scale production of YBCO films, because it does not need high vacuum device and is easily applicable to substrates of various shape and size. In this paper, double-sided YBCO films with maximum 2 in diameter were prepared on LaAlO{sub 3} substrates by TFA-MOD method. Inductive critical current densitiy J{sub c}, microwave surface resistance R{sub s}, as well as the microstructure were characterized. A newly homemade furnace system was used to epitaxially grown YBCO films, which can improve the uniformity of YBCO film significantly by gas supply and temperature distribution proper design. Results showed that the large area YBCO films were very uniform in microstructure and thickness distribution, an average inductive J{sub c} in excess of 6 MA/cm{sup 2} with uniform distribution, and low R{sub s} (10 GHz) below 0.3 mΩ at 77 K were obtained. Andthe film filter may be prepared to work at temperatures lower than 74 K. These results are very close to the highest value of YBCO films made by conventional vacuum method, so we show a very promising route for large-scale production of high quality large-area YBCO superconducting films at a lower cost.

  19. Thermal annealing of amorphous Ti-Si-O thin films

    OpenAIRE

    Hodroj , Abbas; Chaix-Pluchery , Odette; Audier , Marc; Gottlieb , Ulrich; Deschanvres , Jean-Luc

    2008-01-01

    International audience; Ti-Si-O thin films were deposited using an aerosol chemical vapor deposition process at atmospheric pressure. The film structure and microstructure were analysed using several techniques before and after thermal annealing. Diffraction results indicate that the films remain X-ray amorphous after annealing whereas Fourier transform infrared spectroscopy gives evidence of a phase segregation between amorphous SiO2 and well crystallized anatase TiO2. Crystallization of ana...

  20. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    Science.gov (United States)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  1. Characterization, modeling and physical mechanisms of different surface treatment methods at room temperature on the oxide and interfacial quality of the SiO2 film using the spectroscopic scanning capacitance microscopy

    Directory of Open Access Journals (Sweden)

    Kin Mun Wong

    Full Text Available In this article, a simple, low cost and combined surface treatment method [pre-oxidation immersion of the p-type silicon (Si substrate in hydrogen peroxide (H2O2 and post oxidation ultra-violet (UV irradiation of the silicon-dioxide (SiO2 film] at room temperature is investigated. The interface trap density at midgap [Dit(mg] of the resulting SiO2 film (denoted as sample 1A is quantified from the full width at half-maximum of the scanning capacitance microscopy (SCM differential capacitance (dC/dV characteristics by utilizing a previously validated theoretical model. The Dit(mg of sample 1A is significantly lower than the sample without any surface treatments which indicates that it is a viable technique for improving the interfacial quality of the thicker SiO2 films prepared by wet oxidation. Moreover, the proposed combined surface treatment method may possibly complement the commonly used forming gas anneal process to further improve the interfacial quality of the SiO2 films. The positive shift of the flatband voltage due to the overall oxide charges (estimated from the probe tip dc bias at the peak dC/dV spectra of sample 1A suggests the presence of negative oxide fixed charge density (Nf in the oxide. In addition, an analytical formula is derived to approximate the difference of the Nf values between the oxide samples that are immersed in H2O2 and UV irradiated from their measured SCM dC/dV spectra. Conversely, some physical mechanisms are proposed that result in the ionization of the SiO− species (which are converted from the neutral SiOH groups that originate from the pre-oxidation immersion in H2O2 and ensuing wet oxidation during the UV irradiation as well as the UV photo-injected electrons from the Si substrate (which did not interact with the SiOH groups. They constitute the source of mobile electrons which partially passivate the positively charged empty donor-like interface traps at the Si-SiO2 interface. Keywords: Dielectrics

  2. Tribological properties of SiC-based MCD films synthesized using different carbon sources when sliding against Si3N4

    Science.gov (United States)

    Wang, Xinchang; Shen, Xiaotian; Zhao, Tianqi; Sun, Fanghong; Shen, Bin

    2016-04-01

    Micro-crystalline diamond (MCD) films are deposited on reactive sintering SiC substrates by the bias enhanced hot filament chemical vapor deposition (BE-HFCVD) method, respectively using the methane, acetone, methanol and ethanol as the carbon source. Two sets of standard tribotests are conducted, adopting Si3N4 balls as the counterpart balls, respectively with the purpose of clarifying differences among tribological properties of different MCD films, and studying detailed effects of the carbon source C, normal load Fn and sliding velocity v based on orthogonal analyses. It is clarified that the methane-MCD film presents the lowest growth rate, the highest film quality, the highest hardness and the best adhesion, in consequence, it also performs the best tribological properties, including the lowest coefficient of friction (COF) and wear rate Id, while the opposite is the methanol-MCD film. Under a normal load Fn of 7 N and at a sliding velocity v of 0.4183 m/s, for the methane-MCD film, the maximum COF (MCOF) is 0.524, the average COF during the relatively steady-state regime (ACOF) is 0.144, and the Id is about 1.016 × 10-7 mm3/N m; and for the methanol-MCD film, the MCOF is 0.667, the ACOF is 0.151, and the Id is 1.448 × 10-7 mm3/N m. Moreover, the MCOF, ACOF, Id and the wear rate of the Si3N4 ball Ib will all increase with the Fn, while the v only has significant effect on the ACOF, which shows a monotone increasing trend with the v.

  3. Si/Fe flux ratio influence on growth and physical properties of polycrystalline β-FeSi2 thin films on Si(100) surface

    Science.gov (United States)

    Tarasov, I. A.; Visotin, M. A.; Aleksandrovsky, A. S.; Kosyrev, N. N.; Yakovlev, I. A.; Molokeev, M. S.; Lukyanenko, A. V.; Krylov, A. S.; Fedorov, A. S.; Varnakov, S. N.; Ovchinnikov, S. G.

    2017-10-01

    This work investigates the Si/Fe flux ratio (2 and 0.34) influence on the growth of β-FeSi2 polycrystalline thin films on Si(100) substrate at 630 °C. Lattice deformations for the films obtained are confirmed by X-ray diffraction analysis (XRD). The volume unit cell deviation from that of β-FeSi2 single crystal are 1.99% and 1.1% for Si/Fe =2 and Si/Fe =0.34, respectively. Absorption measurements show that the indirect transition ( 0.704 eV) of the Si/Fe =0.34 sample changes to the direct transition with a bandgap value of 0.816 eV for the sample prepared at Si/Fe =2. The absorption spectrum of the Si/Fe =0.34 sample exhibits an additional peak located below the bandgap energy value with the absorption maximum of 0.36 eV. Surface magneto-optic Kerr effect (SMOKE) measurements detect the ferromagnetic behavior of the β-FeSi2 polycrystalline films grown at Si/Fe =0.34 at T=10 K, but no ferromagnetism was observed in the samples grown at Si/Fe =2. Theoretical calculations refute that the cell deformation can cause the emergence of magnetization and argue that the origin of the ferromagnetism, as well as the lower absorption peak, is β-FeSi2 stoichiometry deviations. Raman spectroscopy measurements evidence that the film obtained at Si/Fe flux ratio equal to 0.34 has the better crystallinity than the Si/Fe =2 sample.

  4. One step deposition of highly adhesive diamond films on cemented carbide substrates via diamond/β-SiC composite interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Tao; Zhuang, Hao; Jiang, Xin, E-mail: xin.jiang@uni-siegen.de

    2015-12-30

    Graphical abstract: - Highlights: • Novel diamond/beta-silicon carbide composite gradient interlayers were synthesized. • The interlayer features a cross-sectional gradient with increasing diamond content. • Diamond top layers and the interlayers were deposited in one single process. • The adhesion of the diamond film is drastically improved by employing the interlayer. • The stress was suppressed by manipulating the distribution of diamond and silicon carbide. - Abstract: Deposition of adherent diamond films on cobalt-cemented tungsten carbide substrates has been realized by application of diamond/beta-silicon carbide composite interlayers. Diamond top layers and the interlayers were deposited in one single process by hot filament chemical vapor deposition technique. Two different kinds of interlayers have been employed, namely, gradient interlayer and interlayer with constant composition. The distribution of diamond and beta-silicon carbide phases was precisely controlled by manipulating the gas phase composition. X-ray diffraction and Raman spectroscopy were employed to determine the existence of diamond, beta-silicon carbide and cobalt silicides (Co{sub 2}Si, CoSi) phases, as well as the quality of diamond crystal and the residual stress in the films. Rockwell-C indentation tests were carried out to evaluate the film adhesion. It is revealed that the adhesion of the diamond film is drastically improved by employing the interlayer. This is mainly influenced by the residual stress in the diamond top layer, which is induced by the different thermal expansion coefficient of the film and the substrate. It is even possible to further suppress the stress by manipulating the distribution of diamond and beta-silicon carbide in the interlayer. The most adhesive diamond film on cemented carbide is thus obtained by employing a gradient composite interlayer.

  5. Study of High Quality Indium Nitride Films Grown on Si(100 Substrate by RF-MOMBE with GZO and AlN Buffer Layers

    Directory of Open Access Journals (Sweden)

    Wei-Chun Chen

    2012-01-01

    Full Text Available Wurtzite structure InN films were prepared on Si(100 substrates using radio-frequency metal-organic molecular beam epitaxy (RF-MOMBE system. Ga-doped ZnO (GZO and Amorphous AlN (a-AlN film were used as buffer layers for InN films growth. Structural, surface morphology and optical properties of InN films were investigated by X-ray diffraction (XRD, field emission scanning electron microscopy (FE-SEM, transmission electron microscopy (TEM, and photoluminescence (PL. XRD results indicated that all InN films exhibited preferred growth orientation along the c-axis with different intermediate buffers. TEM images exhibit the InN/GZO growth by two-dimensional mode and thickness about 900 nm. Also, the InN films can be obtained by growth rate about ~1.8 μm/h. Optical properties indicated that the band gap of InN/GZO is about 0.79 eV. These results indicate that the control of buffer layer is essential for engineering the growth of InN on silicon wafer.

  6. Solid-state compound phase formation of TiSi2 thin films under stress

    Directory of Open Access Journals (Sweden)

    C. Theron

    2010-02-01

    Full Text Available Different stress situations were created on an Si(100 wafer by depositing either Si3N4 or SiO2 thin films on the back side. Si3N4 has a different thermal expansion coefficient from that of SiO2. A thin Ti film was then deposited on the front side of the Si wafer. The structures were then annealed at various high temperatures for different periods of time. Real-time Rutherford backscattering spectrometry, as well as sample curvature measurements, were used to characterise the samples. Different reaction rates were found between Si3N4-deposited samples and SiO2-deposited samples.

  7. Characterization of ion-assisted induced absorption in A-Si thin-films used for multivariate optical computing

    Science.gov (United States)

    Nayak, Aditya B.; Price, James M.; Dai, Bin; Perkins, David; Chen, Ding Ding; Jones, Christopher M.

    2015-06-01

    Multivariate optical computing (MOC), an optical sensing technique for analog calculation, allows direct and robust measurement of chemical and physical properties of complex fluid samples in high-pressure/high-temperature (HP/HT) downhole environments. The core of this MOC technology is the integrated computational element (ICE), an optical element with a wavelength-dependent transmission spectrum designed to allow the detector to respond sensitively and specifically to the analytes of interest. A key differentiator of this technology is it uses all of the information present in the broadband optical spectrum to determine the proportion of the analyte present in a complex fluid mixture. The detection methodology is photometric in nature; therefore, this technology does not require a spectrometer to measure and record a spectrum or a computer to perform calculations on the recorded optical spectrum. The integrated computational element is a thin-film optical element with a specific optical response function designed for each analyte. The optical response function is achieved by fabricating alternating layers of high-index (a-Si) and low-index (SiO2) thin films onto a transparent substrate (BK7 glass) using traditional thin-film manufacturing processes (e.g., ion-assisted e-beam vacuum deposition). A proprietary software and process are used to control the thickness and material properties, including the optical constants of the materials during deposition to achieve the desired optical response function. The ion-assisted deposition is useful for controlling the densification of the film, stoichiometry, and material optical constants as well as to achieve high deposition growth rates and moisture-stable films. However, the ion-source can induce undesirable absorption in the film; and subsequently, modify the optical constants of the material during the ramp-up and stabilization period of the e-gun and ion-source, respectively. This paper characterizes the unwanted

  8. Structural investigation of ZnO:Al films deposited on the Si substrates by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chen, Y.Y.; Yang, J.R.; Cheng, S.L.; Shiojiri, M.

    2013-01-01

    ZnO:Al films 400 nm thick were prepared on (100) Si substrates by magnetron sputtering. Energy dispersive X-ray spectroscopy and transmission electron microscopy (TEM) revealed that in the initial stage of the deposition, an amorphous silicon oxide layer about 4 nm thick formed from damage to the Si substrate due to sputtered particle bombardment and the incorporation of Si atoms with oxygen. Subsequently, a crystalline Si (Zn) layer about 30 nm thick grew on the silicon oxide layer by co-deposition of Si atoms sputtered away from the substrate with Zn atoms from the target. Finally, a ZnO:Al film with columnar grains was deposited on the Si (Zn) layer. The sputtered particle bombardment greatly influenced the structure of the object films. The (0001) lattice fringes of the ZnO:Al film were observed in high-resolution TEM images, and the forbidden 0001 reflection spots in electron diffraction patterns were attributed to double diffraction. Therefore, the appearance of the forbidden reflection did not imply any ordering of Al atoms and/or O vacancies in the ZnO:Al film. - Highlights: • ZnO:Al films were deposited on (100) Si substrate using magnetron sputtering. • An amorphous silicon oxide layer with a thickness of 4 nm was formed on Si substrate. • Crystalline Si (Zn) layer about 30 nm thick grew on amorphous silicon oxide layer. • ZnO:Al film comprising columnar grains was deposited on the Si(Zn) layer. • Lattice image of the ZnO:Al film has been interpreted

  9. Optical absorption properties of Ag/SiO sub 2 composite films induced by gamma irradiation

    CERN Document Server

    Pan, A L; Yang, Z P; Liu, F X; Ding, Z J; Qian, Y T

    2003-01-01

    Mesoporous SiO sub 2 composite films with small Ag particles or clusters dispersed in them were prepared by a new method: first the matrix SiO sub 2 films were prepared by the sol-gel process combined with the dip-coating technique; then they were soaked in AgNO sub 3 solutions; this was followed by irradiation with gamma-rays at room temperature and ambient pressure. The structure of these films was examined by high-resolution transmission electron microscopy, and their optical absorption spectra were examined. It has been shown that the Ag particles grown within the porous SiO sub 2 films are very small and are highly dispersed. On increasing the soaking concentration and subjecting the samples to an additional annealing, a different peak-shift effect for the surface plasmon resonance was observed in the optical absorption measurement. Possible mechanisms of this behaviour are discussed in this paper.

  10. Effect of SiO2 passivation overlayers on hillock formation in Al thin films

    International Nuclear Information System (INIS)

    Kim, Deok-kee

    2012-01-01

    Hillock formation in Al thin films with varying thicknesses of SiO 2 as a passivation layer was investigated during thermal cycling. Based on the stress measurements and the number of hillocks, 250 nm thick SiO 2 was thick enough to suppress the hillock formation and the suppression of hillock at 250 nm passivation and the lack of suppression at thinner passivation is related to the presence/absence of protection against the diffusive flow of atoms from the surrounding area to the surface due to the biaxial compressive stresses present in the film through the weak spots in the passivation layer. The stress state of Al films measured during annealing (the driving force for hillock formation) did not vary much with SiO 2 thickness. A small number of hillocks formed during the plasma enhanced chemical vapor deposition of SiO 2 overlayers at 300 °C. - Highlights: ► We examined the effect of SiO 2 overlayers on hillock formation in Al thin films. ► Thin overlayers were not effective in suppressing diffusive flow to the surface. ► A thick overlayer suppressed the diffusive flow from the interior to the surface. ► The stress state of Al films did not vary much with SiO 2 passivation thickness. ► High mechanical strength provided a large driving force for the large grain growth.

  11. Fabrication of highly spin-polarized Co2FeAl0.5Si0.5 thin-films

    Directory of Open Access Journals (Sweden)

    M. Vahidi

    2014-04-01

    Full Text Available Ferromagnetic Heusler Co2FeAl0.5Si0.5 epitaxial thin-films have been fabricated in the L21 structure with saturation magnetizations over 1200 emu/cm3. Andreev reflection measurements show that the spin polarization is as high as 80% in samples sputtered on unheated MgO (100 substrates and annealed at high temperatures. However, the spin polarization is considerably smaller in samples deposited on heated substrates.

  12. Highly Oriented Growth of Piezoelectric Thin Films on Silicon Using Two-Dimensional Nanosheets as Growth Template Layer.

    Science.gov (United States)

    Nguyen, Minh D; Yuan, Huiyu; Houwman, Evert P; Dekkers, Matthijn; Koster, Gertjan; Ten Elshof, Johan E; Rijnders, Guus

    2016-11-16

    Ca 2 Nb 3 O 10 (CNOns) and Ti 0.87 O 2 (TiOns) metal oxide nanosheets (ns) are used as a buffer layer for epitaxial growth of piezoelectric capacitor stacks on Si and Pt/Ti/SiO 2 /Si (Pt/Si) substrates. Highly (001)- and (110)-oriented Pb(Zr 0.52 Ti 0.48 )O 3 (PZT) films are achieved by utilizing CNOns and TiOns, respectively. The piezoelectric capacitors are characterized by polarization and piezoelectric hysteresis loops and by fatigue measurements. The devices fabricated with SrRuO 3 top and bottom electrodes directly on nanosheets/Si have ferroelectric and piezoelectric properties well comparable with devices that use more conventional oxide buffer layers (stacks) such as YSZ, CeO 2 /YSZ, or SrTiO 3 on Si. The devices grown on nanosheets/Pt/Si with Pt top electrodes show significantly improved polarization fatigue properties over those of similar devices grown directly on Pt/Si. The differences in properties are ascribed to differences in the crystalline structures and the density of the films. These results show a route toward the fabrication of single crystal piezoelectric thin films and devices with high quality, long-lifetime piezoelectric capacitor structures on nonperovskite and even noncrystalline substrates such as glass or polished metal surfaces.

  13. Morphology and Surface Energy of a Si Containing Semifluorinated Di-block Copolymer Thin Films.

    Science.gov (United States)

    Shrestha, Umesh; Clarson, Stephen; Perahia, Dvora

    2013-03-01

    The structure and composition of an interface influence stability, adhesiveness and response to external stimuli of thin polymeric films. Incorporation of fluorine affects interfacial energy as well as thermal and chemical stability of the layers. The incompatibility between the fluorinated and non-fluorinated blocks induces segregation that leads to long range correlations where the tendency of the fluorine to migrate to interfaces impacts the surface tension of the films. Concurrently Si in a polymeric backbone enhances the flexibility of polymeric chains. Our previous studies of poly trifluoro propyl methyl siloxane-polystyrene thin films with SiF fraction 0.03-0.5 as a function of temperature have shown that the SiF block drives layering parallel to the surface of the diblock. Here in we report the structure and interfacial energies of SiF-PS in the plane of the films, as a function of the volume fraction of the SiF block obtained from Atomic Force microscopy and contact angle measurement studies. This work is supported by NSF DMR - 0907390

  14. High-throughput screening of Si-Ni flux for SiC solution growth using a high-temperature laser microscope observation and secondary ion mass spectroscopy depth profiling.

    Science.gov (United States)

    Maruyama, Shingo; Onuma, Aomi; Kurashige, Kazuhisa; Kato, Tomohisa; Okumura, Hajime; Matsumoto, Yuji

    2013-06-10

    Screening of Si-based flux materials for solution growth of SiC single crystals was demonstrated using a thin film composition-spread technique. The reactivity and diffusion of carbon in a composition spread of the flux was investigated by secondary ion mass spectroscopy depth profiling of the annealed flux thin film spread on a graphite substrate. The composition dependence of the chemical interaction between a seed crystal and flux materials was revealed by high-temperature thermal behavior observation of the flux and the subsequent morphological study of the surface after removing the flux using atomic force microscopy. Our new screening approach is shown to be an efficient process for understanding flux materials for SiC solution growth.

  15. Broad-band tunable visible emission of sol-gel derived SiBOC ceramic thin films

    International Nuclear Information System (INIS)

    Karakuscu, Aylin; Guider, Romain; Pavesi, Lorenzo; Soraru, Gian Domenico

    2011-01-01

    Strong broad band tunable visible emission of SiBOC ceramic films is reported and the results are compared with one of boron free SiOC ceramic films. The insertion of boron into the SiOC network is verified by Fourier-Transform Infrared Spectroscopy. Optical properties are studied by photoluminescence and ultraviolet-visible spectroscopy measurements. Boron addition causes a decrease in the emission intensity attributed to defect states and shifts the emission to the visible range at lower temperatures (800-900 o C) leading to a very broad tunable emission with high external quantum efficiency.

  16. Structural and optical characterization of p-type highly Fe-doped SnO2 thin films and tunneling transport on SnO2:Fe/p-Si heterojunction

    Science.gov (United States)

    Ben Haj Othmen, Walid; Ben Hamed, Zied; Sieber, Brigitte; Addad, Ahmed; Elhouichet, Habib; Boukherroub, Rabah

    2018-03-01

    Nanocrystalline highly Fe-doped SnO2 thin films were prepared using a new simple sol-gel method with iron amounts of 5, 10, 15 and 20%. The obtained gel offers a long durability and high quality allowing to reach a sub-5 nm nanocrystalline size with a good crystallinity. The films were structurally characterized through X-ray diffraction (XRD) that confirms the formation of rutile SnO2. High Resolution Transmission Electron Microscopy (HRTEM) images reveals the good crystallinity of the nanoparticles. Raman spectroscopy shows that the SnO2 rutile structure is maintained even for high iron concentration. The variation of the PL intensity with Fe concentration reveals that iron influences the distribution of oxygen vacancies in tin oxide. The optical transmittance results indicate a redshift of the SnO2 band gap when iron concentration increases. The above optical results lead us to assume the presence of a compensation phenomenon between oxygen vacancies and introduced holes following Fe doping. From current-voltage measurements, an inversion of the conduction type from n to p is strongly predicted to follow the iron addition. Electrical characterizations of SnO2:Fe/p-Si and SnO2:Fe/n-Si heterojunctions seem to be in accordance with this deduction. The quantum tunneling mechanism is expected to be important at high Fe doping level, which was confirmed by current-voltage measurements at different temperatures. Both optical and electrical properties of the elaborated films present a particularity for the same iron concentration and adopt similar tendencies with Fe amount, which strongly correlate the experimental observations. In order to evaluate the applicability of the elaborated films, we proceed to the fabrication of the SnO2:Fe/SnO2 homojunction for which we note a good rectifying behavior.

  17. Forbidden energy band gap in diluted a-Ge{sub 1-x}Si{sub x}:N films

    Energy Technology Data Exchange (ETDEWEB)

    Guarneros, C.; Rebollo-Plata, B. [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Lozada-Morales, R., E-mail: rlozada@fcfm.buap.mx [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Espinosa-Rosales, J.E. [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Portillo-Moreno, J. [Facultad de Ciencias Quimicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Zelaya-Angel, O. [Departamento de Fisica, Centro de Investigacion y de Estudios Avanzados del IPN, PO Box 14-740, Mexico 07360 D.F. (Mexico)

    2012-06-01

    By means of electron gun evaporation Ge{sub 1-x}Si{sub x}:N thin films, in the entire range 0 {<=} x {<=} 1, were prepared on Si (100) and glass substrates. The initial vacuum reached was 6.6 Multiplication-Sign 10{sup -4} Pa, then a pressure of 2.7 Multiplication-Sign 10{sup -2} Pa of high purity N{sub 2} was introduced into the chamber. The deposition time was 4 min. Crucible-substrate distance was 18 cm. X-ray diffraction patterns indicate that all the films were amorphous (a-Ge{sub 1-x}Si{sub x}:N). The nitrogen concentration was of the order of 1 at% for all the films. From optical absorption spectra data and by using the Tauc method the energy band gap (E{sub g}) was calculated. The Raman spectra only reveal the presence of Si-Si, Ge-Ge, and Si-Ge bonds. Nevertheless, infrared spectra demonstrate the existence of Si-N and Ge-N bonds. The forbidden energy band gap (E{sub g}) as a function of x in the entire range 0 {<=} x {<=} 1 shows two well defined regions: 0 {<=} x {<=} 0.67 and 0.67 {<=} x {<=} 1, due to two different behaviors of the band gap, where for x > 0.67 exists an abruptly change of E{sub g}(x). In this case E{sub g}(x) versus x is different to the variation of E{sub g} in a-Ge{sub 1-x}Si{sub x} and a-Ge{sub 1-x}Si{sub x}:H. This fact can be related to the formation of Ge{sub 3}N{sub 4} and GeSi{sub 2}N{sub 4} when x {<=} 0.67, and to the formation of Si{sub 3}N{sub 4} and GeSi{sub 2}N{sub 4} for 0.67 {<=} x. - Highlights: Black-Right-Pointing-Pointer Nitrogen doped amorphous Ge{sub 1-x}Si{sub x} thin films are grown by electron gun technique. Black-Right-Pointing-Pointer Nitrogen atoms on E{sub g} of the a-Ge{sub 1-x}Si{sub x} films in the 0 Pound-Sign x Pound-Sign 1 range are analyzed. Black-Right-Pointing-Pointer Variation in 0 Pound-Sign x Pound-Sign 1 range shows a warped change of E{sub g} in 1.0 - 3.6 eV range. Black-Right-Pointing-Pointer The change in E{sub g}(x) behavior when x {approx} 0.67 was associated with Ge{sub 2}SiN{sub 4

  18. Improved AMOLED with aligned poly-Si thin-film transistors by laser annealing and chemical solution treatments

    International Nuclear Information System (INIS)

    Wu, G.M.; Chen, C.N.; Feng, W.S.; Lu, H.C.

    2009-01-01

    Low-temperature polycrystalline silicon (LTPS) thin-film transistors (TFT) were prepared for the active-matrix organic light-emitting displays (AMOLED). The excimer laser annealing (ELA) recrystallization technique was employed with a chemical solution treatment process to improve the TFT characteristic uniformity and the AMOLED display image quality. The characteristics of the poly-Si array thin films were influenced by XeCl ELA optic module design, TFT device channel direction, and laser irradiation overlap ratio. The ELA system module provided aligned poly-Si grain size of 0.3 μm by the homogenization lens design. The chemical solution treatment process included a dilute HF solution (DHF), ozone (O 3 ) water, and buffer oxide etching solution (BOE). The PMOS TFT showed better field effect mobility of 87.6 cm 2 /V s, and the threshold voltage was -1.35 V. The off current (I off ) was 1.25x10 -11 A, and the on/off current ratio was 6.27x10 6 . In addition, the image quality of the AMOLED display was highly improved using the 2T1C structure design without any compensation circuit.

  19. A Three-Step Atomic Layer Deposition Process for SiN x Using Si2Cl6, CH3NH2, and N2 Plasma.

    Science.gov (United States)

    Ovanesyan, Rafaiel A; Hausmann, Dennis M; Agarwal, Sumit

    2018-06-06

    We report a novel three-step SiN x atomic layer deposition (ALD) process using Si 2 Cl 6 , CH 3 NH 2 , and N 2 plasma. In a two-step process, nonhydrogenated chlorosilanes such as Si 2 Cl 6 with N 2 plasmas lead to poor-quality SiN x films that oxidize rapidly. The intermediate CH 3 NH 2 step was therefore introduced in the ALD cycle to replace the NH 3 plasma step with a N 2 plasma, while using Si 2 Cl 6 as the Si precursor. This three-step process lowers the atomic H content and improves the film conformality on high-aspect-ratio nanostructures as Si-N-Si bonds are formed during a thermal CH 3 NH 2 step in addition to the N 2 plasma step. During ALD, the reactive surface sites were monitored using in situ surface infrared spectroscopy. Our infrared spectra show that, on the post-N 2 plasma-treated SiN x surface, Si 2 Cl 6 reacts primarily with the surface -NH 2 species to form surface -SiCl x ( x = 1, 2, or 3) bonds, which are the reactive sites during the CH 3 NH 2 cycle. In the N 2 plasma step, reactive -NH 2 surface species are created because of the surface H available from the -CH 3 groups. At 400 °C, the SiN x films have a growth per cycle of ∼0.9 Å with ∼12 atomic percent H. The films grown on high-aspect-ratio nanostructures have a conformality of ∼90%.

  20. Fabrication of Si3N4 thin films on phynox alloy substrates for electronic applications

    Science.gov (United States)

    Shankernath, V.; Naidu, K. Lakshun; Krishna, M. Ghanashyam; Padmanabhan, K. A.

    2018-04-01

    Thin films of Si3N4 are deposited on Phynox alloy substrates using radio frequency magnetron sputtering. The thickness of the films was varied between 80-150 nm by increasing the duration of deposition from 1 to 3 h at a fixed power density and working pressure. X-ray diffraction patterns reveal that the Si3N4 films had crystallized inspite of the substrates not being heated during deposition. This was confirmed using selected area electron diffraction and high resolution transmission electron microscopy also. It is postulated that a low lattice misfit between Si3N4 and Phynox provides energetically favourable conditions for ambient temperature crystallization. The hardness of the films is of the order of 6 to 9 GPa.

  1. H{sub 2}-Ar dilution for improved c-Si quantum dots in P-doped SiN{sub x}:H thin film matrix

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jia [Shaanxi Engineering Lab for Advanced Energy Technology, School of Materials Science and Engineering, Shaanxi Normal University, Xi’an 710119 (China); Zhang, Weijia, E-mail: zwjghx@126.com [Center of Condensed Matter and Material Physics, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing, 100191 (China); Liu, Shengzhong, E-mail: szliu@dicp.ac.cn [Shaanxi Engineering Lab for Advanced Energy Technology, School of Materials Science and Engineering, Shaanxi Normal University, Xi’an 710119 (China); State key Laboratory of Catalysis, iChEM, Dalian Institute of Chemical Physics, Dalian National Laboratory for Clean Energy, Chinese Academy of Sciences, Dalian 116023 (China)

    2017-02-28

    Highlights: • Phosphorous-doped SiN{sub x}:H thin films containing c-Si QDs were prepared by PECVD in H{sub 2}-Ar mixed dilution under low temperature. • QD density and QD size can be controlled by tuning H{sub 2}/Ar flow ratio. • The sample prepared at the H{sub 2}/Ar flow ratio of 100/100 possesses both wide band gap and excellent conductivity. • Detail discussion has been presented for illustrating the influence of H{sub 2}/Ar mixed dilution on the crystallization process and P-doping. - Abstract: Phosphorus-doped hydrogenated silicon nitride (SiN{sub x}:H) thin films containing crystalline silicon quantum dot (c-Si QD) was prepared by plasma enhanced chemical vapor deposition (PECVD) using hydrogen-argon mixed dilution. The effects of H{sub 2}/Ar flow ratio on the structural, electrical and optical characteristics of as-grown P-doped SiN{sub x}:H thin films were systematically investigated. Experimental results show that crystallization is promoted by increasing the H{sub 2}/Ar flow ratio in dilution, while the N/Si atomic ratio is higher for thin film deposited with argon-rich dilution. As the H{sub 2}/Ar flow ratio varies from 100/100 to 200/0, the samples exhibit excellent conductivity owing to the large volume fraction of c-Si QDs and effective P-doping. By adjusting the H{sub 2}/Ar ratio to 100/100, P-doped SiN{sub x}:H thin film containing tiny and densely distributed c-Si QDs can be obtained. It simultaneously possesses wide optical band gap and high dark conductivity. Finally, detailed discussion has been made to analyze the influence of H{sub 2}-Ar mixed dilution on the properties of P-doped SiN{sub x}:H thin films.

  2. Transparent sculptured titania films for enhanced light absorption in thin-film Si solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hung, Kai-Hsiang, E-mail: khhung@itri.org.tw [Green Energy and Environment Research Laboratories, Industrial Technology Research Institute, Hsinchu, Taiwan (China); Chiou, Guan-Di; Wong, Ming-Show [Department of Materials Science and Engineering, National Dong Hwa University, Hualien, Taiwan (China); Wang, Yu-Chih [Green Energy and Environment Research Laboratories, Industrial Technology Research Institute, Hsinchu, Taiwan (China); Chung, I-Shan [Electronics and Optoelectronics Research Laboratories, Industrial Technology Research Institute, Hsinchu, Taiwan (China)

    2011-12-30

    This study presents a description of the enhancement of light absorption in thin-film silicon (Si) solar cells by using sculptured titania (TiO{sub 2}) films. We used an electron-beam evaporation system with a glancing angle deposition (GLAD) method to deposit porous TiO{sub 2} films on fluorine-doped SnO{sub 2} (FTO) substrates. The GLAD TiO{sub 2}/FTO films were used as conductive electrodes in hydrogenated microcrystalline silicon ({mu}c-Si:H) solar cells. Transmission electron microscopy revealed that the GLAD TiO{sub 2} films are composed of sculptured nano-pillars on an FTO surface, and this nanostructure provides a synergistic route for light scattering enhancement. The GLAD TiO{sub 2}/FTO exhibited a 68% improvement of optical haze (at {lambda} = 600 nm). The {mu}c-Si:H solar cells consisting of the GLAD-nanostructured TiO{sub 2} resulted in a 5% improvement of short-circuit current (J{sub sc}) and yielded a cell efficiency of 6.6%.

  3. High Growth Rate Deposition of Hydrogenated Amorphous Silicon-Germanium Films and Devices Using ECR-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Iowa State Univ., Ames, IA (United States)

    2002-01-01

    Hydrogenated amorphous silicon germanium films (a-SiGe:H) and devices have been extensively studied because of the tunable band gap for matching the solar spectrum and mature the fabrication techniques. a-SiGe:H thin film solar cells have great potential for commercial manufacture because of very low cost and adaptability to large-scale manufacturing. Although it has been demonstrated that a-SiGe:H thin films and devices with good quality can be produced successfully, some issues regarding growth chemistry have remained yet unexplored, such as the hydrogen and inert-gas dilution, bombardment effect, and chemical annealing, to name a few. The alloying of the SiGe introduces above an order-of-magnitude higher defect density, which degrades the performance of the a-SiGe:H thin film solar cells. This degradation becomes worse when high growth-rate deposition is required. Preferential attachment of hydrogen to silicon, clustering of Ge and Si, and columnar structure and buried dihydride radicals make the film intolerably bad. The work presented here uses the Electron-Cyclotron-Resonance Plasma-Enhanced Chemical Vapor Deposition (ECR-PECVD) technique to fabricate a-SiGe:H films and devices with high growth rates. Helium gas, together with a small amount of H2, was used as the plasma species. Thickness, optical band gap, conductivity, Urbach energy, mobility-lifetime product, I-V curve, and quantum efficiency were characterized during the process of pursuing good materials. The microstructure of the a-(Si,Ge):H material was probed by Fourier-Transform Infrared spectroscopy. They found that the advantages of using helium as the main plasma species are: (1) high growth rate--the energetic helium ions break the reactive gas more efficiently than hydrogen ions; (2) homogeneous growth--heavy helium ions impinging on the surface promote the surface mobility of the reactive radicals, so that heteroepitaxy growth as clustering of Ge and Si, columnar structure are

  4. Electrical characterization of MIS devices using PECVD SiN{sub x}:H films for application of silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Jin-Su; Cho, Jun-Sik; Park, Joo-Hyung; Ahn, Seung-Kyu; Shin, Kee-Shik; Yoon, Kyung-Hoon [Korea Institute of Energy Research, Daejeon (Korea, Republic of); Yi, Jun-Sin [Sungkyunkwan University, Suwon (Korea, Republic of)

    2012-07-15

    The surface passivation of crystalline silicon solar cells using plasma enhanced chemical vapor deposition (PECVD), hydrogenated, silicon-nitride (SiN{sub x}:H) thin films has become significant due to a low-temperature, low-cost and very effective defect passivation process. Also, a good quality antireflection coating can be formed. In this work, SiN{sub x}:H thin films were deposited by varying the gas ratio R (=NH{sub 3}/SiH{sub 4}+NH{sub 3}) and were annealed by rapid thermal processing (RTP). Metal-insulator- semiconductor (MIS) devices were fabricated using SiN{sub x}:H thin films as insulator layers and they were analyzed in the temperature range of 100 - 400 K by using capacitance-voltage (C-V) and current-voltage (I-V) measurements. The annealed SiN{sub x}:H thin films were evaluated by using the electrical properties at different temperature to determine the effect of surface passivation. We achieved an energy conversion efficiency of 18.1% under one-sun standard testing conditions for large-area (156 mm x 156 mm) crystalline-silicon solar cells.

  5. Optical and structural properties of SiOxNyHz films deposited by electron cyclotron resonance and their correlation with composition

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bravo, D.; Lopez, F. J.; Bohne, W.; Roehrich, J.; Selle, B.; Martinez, F. L.

    2003-01-01

    SiO x N y H z films were deposited from O 2 , N 2 , and SiH 4 gas mixtures at room temperature using the electron cyclotron resonance plasma method. The absolute concentrations of all the species present in the films (Si, O, N, and H) were measured with high precision by heavy-ion elastic recoil detection analysis. The composition of the films was controlled over the whole composition range by adjusting the precursor gases flow ratio during deposition. The relative incorporation of O and N is determined by the ratio Q=φ(O 2 )/φ(SiH 4 ) and the relative content of Si is determined by R=[φ(O 2 )+φ(N 2 )]/φ(SiH 4 ) where φ(SiH 4 ), φ(O 2 ), and φ(N 2 ) are the SiH 4 , O 2 , and N 2 gas flows, respectively. The optical properties (infrared absorption and refractive index) and the density of paramagnetic defects were analyzed in dependence on the film composition. Single-phase homogeneous films were obtained at low SiH 4 partial pressure during deposition; while those samples deposited at high SiH 4 partial pressure show evidence of separation of two phases. The refractive index was controlled over the whole range between silicon nitride and silicon oxide, with values slightly lower than in stoichiometric films due to the incorporation of H, which results in a lower density of the films. The most important paramagnetic defects detected in the films were the K center and the E ' center. Defects related to N were also detected in some samples. The total density of defects in SiO x N y H z films was higher than in SiO 2 and lower than in silicon nitride films

  6. Research on fabrication technology for thin film solar cells for practical use. Technological development for qualitative improvement (development of fabrication technology of thin film polycrystalline Si solar cell); Usumaku taiyo denchi seizo gijutsu no jitsuyoka kenkyu. Kohinshitsuka gijutsu (usumaku takessho silicon kei taiyo denchi seizo no gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    Tatsuta, M [New Energy and Industrial Technology Development Organization, Tokyo (Japan)

    1994-12-01

    This paper reports the study results on the fabrication technology of thin film polycrystalline Si solar cells in fiscal 1994. (1) On the fabrication technology of high-quality Si thin films, the new equipment was studied which allows uniform stable melting recrystallization over a large area. The new equipment adopted a heating method based on RTP system, and is now under adjustment. (2) On the fabrication technology of light/carrier confinement structure, degradation of hydrogen-treated thin film Si solar cells by light irradiation was examined. As a result, since any characteristic degradation was not found even by long time light irradiation, the high quality of the cells was confirmed regardless of hydrogen-treatment. Fabrication of stable reproducible fine texture structure became possible by using fabrication technology of light confinement structure by texture treatment of cell surfaces. (3) On low-cost process technology, design by VEST process, estimation of cell characteristics by simulation, and characteristics of prototype cells were reported. 33 figs., 1 tab.

  7. Direct growth of Ge1-xSnx films on Si using a cold-wall ultra-high-vacuum chemical-vapor-deposition system

    Directory of Open Access Journals (Sweden)

    Aboozar eMosleh

    2015-04-01

    Full Text Available Germanium tin alloys were grown directly on Si substrate at low temperatures using a cold-wall ultra-high vacuum chemical vapor deposition system. Epitaxial growth was achieved by adopting commercial gas precursors of germane and stannic chloride without any carrier gases. The X-ray diffraction analysis showed the incorporation of Sn and that the Ge1-xSnx films are fully epitaxial and strain relaxed. Tin incorporation in the Ge matrix was found to vary from 1% to 7%. The scanning electron microscopy images and energy dispersive X-ray spectra maps show uniform Sn incorporation and continuous film growth. Investigation of deposition parameters shows that at high flow rates of stannic chloride the films were etched due to the production of HCl. The photoluminescence study shows the reduction of bandgap from 0.8 eV to 0.55 eV as a result of Sn incorporation.

  8. Characterization of Si sub 1 sub - sub x Ge sub x thin films prepared by sputtering

    CERN Document Server

    Noguchi, T

    2000-01-01

    By bombarding solid targets, we deposited Si sub 1 sub - sub x Ge sub x thin films by sputtering without using inflammable CVD (chemical vapor deposition) gases. After the B sup + -implanted Si sub 1 sub - sub x Ge sub x films were thermally annealed, they were characterized. As the content of Ge increased, the refractive index increased and the band edge narrowed. The higher the annealing temperature, the lower the resistivity. For Si sub 1 sub - sub x Ge sub x films with a high Ge content (X approx 0.5), the flat-band voltage of the gate deduced from C-V curve was adjusted to the middle point between p sup + and n sup + polySi gates. Boron-doped SiGe films are promising gate materials for MOS (metal oxide semiconductor) and SOI (silicon on insulator) transistors driven at low driving voltage.

  9. Regulating effect of SiO2 interlayer on optical properties of ZnO thin films

    International Nuclear Information System (INIS)

    Xu, Linhua; Zheng, Gaige; Miao, Juhong; Su, Jing; Zhang, Chengyi; Shen, Hua; Zhao, Lilong

    2013-01-01

    ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. Regulating effect of SiO 2 interlayer with various thicknesses on the optical properties of ZnO/SiO 2 thin films was investigated deeply. The analyses of X-ray diffraction show that the ZnO layers in ZnO/SiO 2 nanocomposite films have a wurtzite structure and are preferentially oriented along the c-axis while the SiO 2 layers are amorphous. The scanning electron microscope images display that the ZnO layers are composed of columnar grains and the thicknesses of ZnO and SiO 2 layers are all very uniform. The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films, which is reflected in the following two aspects: (1) the transmittance of ZnO/SiO 2 nanocomposite films is increased; (2) the photoluminescence (PL) of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays. -- Highlights: ► ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. ► The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films. ► The photoluminescence of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. ► The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays

  10. On the way to enhance the optical absorption of a-Si in NIR by embedding Mg{sub 2}Si thin film

    Energy Technology Data Exchange (ETDEWEB)

    Chernev, I. M., E-mail: igor-chernev7@mail.ru; Shevlyagin, A. V.; Galkin, K. N. [Institute of Automation and Control Processes of FEB RAS, Radio St. 5, 690041 Vladivostok (Russian Federation); Stuchlik, J. [Institute of Physics of the ASCR, v. v. i., Cukrovarnická 10/112, 162 00 Praha 6 (Czech Republic); Remes, Z. [Institute of Physics of the ASCR, v. v. i., Cukrovarnická 10/112, 162 00 Praha 6 (Czech Republic); FBE CTU, Nam. Sitna 3105, 272 01 Kladno (Czech Republic); Fajgar, R. [Institute of Chemical Process Fundamentals of the ASCR, v. v. i., Rozvojová 135, 165 02 Praha 6 (Czech Republic); Galkin, N. G. [Institute of Automation and Control Processes of FEB RAS, Radio St. 5, 690041 Vladivostok (Russian Federation); Far Eastern Federal University, School of Natural Sciences, Sukhanova St. 8, 690950 Vladivostok (Russian Federation)

    2016-07-25

    Mg{sub 2}Si thin film was embedded in amorphous silicon matrix by solid phase epitaxy. The structure and optical properties were investigated by electron energy loss, X-ray photoelectron, Raman, and photo thermal deflection spectroscopy measurements. It was found that in the photon energy range of 0.8–1.7 eV, the light absorption of the structure with magnesium silicide (Mg{sub 2}Si) film embedded in a-Si(i) matrix is 1.5 times higher than that for the same structure without Mg{sub 2}Si.

  11. A novel method to achieve selective emitter for silicon solar cell using low cost pattern-able a-Si thin films as the semi-transparent phosphorus diffusion barrier

    International Nuclear Information System (INIS)

    Chen, Da Ming; Liang, Zong Cun; Zhuang, Lin; Lin, Yang Huan; Shen, Hui

    2012-01-01

    Highlights: ► a-Si thin films as semitransparent phosphorus diffusion barriers for solar cell. ► a-Si thin films on silicon wafers were patterned by the alkaline solution. ► Selective emitter was formed with patterned a-Si as diffusion barrier for solar cell. -- Abstract: Selective emitter for silicon solar cell was realized by employing a-Si thin films as the semi-transparent diffusion barrier. The a-Si thin films with various thicknesses (∼10–40 nm) were deposited by the electron-beam evaporation technique. Emitters with sheet resistances from 37 to 145 Ω/□ were obtained via POCl 3 diffusion process. The thickness of the a-Si diffusion barrier was optimized to be 15 nm for selective emitter in our work. Homemade mask which can dissolve in ethanol was screen-printed on a-Si film to make pattern. The a-Si film was then patterned in KOH solution to form finger-like design. Selective emitter was obtainable with one-step diffusion with patterned a-Si film on. Combinations of sheet resistances for the high-/low-level doped regions of 39.8/112.1, 36.2/88.8, 35.4/73.9 were obtained. These combinations are suitable for screen-printed solar cells. This preparation method of selective emitter based on a-Si diffusion barrier is a promising approach for low cost industrial manufacturing.

  12. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  13. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  14. Effect of CHF3 Plasma Treatment on the Characteristics of SiCOH Low-k Film

    International Nuclear Information System (INIS)

    Xing Zhenyu; Ye Chao; Yuan Jing; Xu Yijun; Ning Zhaoyuan

    2009-01-01

    The characteristics of SiCOH low dielectric constant film treated by a trifluromethane (CHF 3 ) electron cyclotron resonance (ECR) plasma was investigated. The flat-band voltage V FB and leakage current of the Cu/SiCOH/Si structure, and the hydrophobic property of the SiCOH film were obtained by the measurements of capacitance-voltage, current-voltage and water contact angle. The structures of the SiCOH film were also analyzed by Fourier transform infrared spectroscopy and atomic force microscopy. The CHF 3 plasma treatment of the SiCOH film led to a reduction in both the flat-band voltage V FB shift and leakage current of the Cu/SiCOH/Si structure, a decrease in surface roughness, and a deterioration of the hydrophobic property. The changes in the film's characteristics were related to the formation of Si-F bond, the increase in Si-OH bond, and the C:F deposition at the surface of the SiCOH film.

  15. Research and development of photovoltaic power system. Development of novel technologies for fabrication of high quality silicon thin films for solar cells; Taiyoko hatsuden system no kenkyu kaihatsu. Kohinshitsu silicon usumaku sakusei gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Shimizu, T [Kanazawa University, Ishikawa (Japan). Faculty of Engineering

    1994-12-01

    Described herein are the results of the FY1994 research program for development of novel technologies for fabrication of high quality thin films of silicon for solar cells. The study on the mechanisms and effects of chemical annealing reveals that the film structure greatly varies depending on substrate temperature during the hydrotreatment process, based on the tests with substrate temperature, deposition of superthin film (T1) and hydrotreatment (T2) as the variable parameters. Chemical annealing at low temperature produces a high-quality a-Si:H film of low defect content. The study on fabrication of thin polycrystalline silicon films at low temperature observes on real time the process of deposition of the thin films on polycrystalline silicon substrates, where a natural oxide film is removed beforehand from the substrate. The results indicate that a thin polycrystalline silicon film of 100% crystallinity can be formed even on a polycrystalline silicon substrate by controlling starting gas composition and substrate temperature. The layer-by-layer method is used as the means for forming the seed crystals on a glass substrate, where deposition and hydrotreatment are repeated alternately, to produce the thin crystalline silicon films of high crystallinity. 3 figs.

  16. Resonant tunneling with high peak to valley current ratio in SiO2/nc-Si/SiO2 multi-layers at room temperature

    International Nuclear Information System (INIS)

    Chen, D. Y.; Sun, Y.; He, Y. J.; Xu, L.; Xu, J.

    2014-01-01

    We have investigated carrier transport in SiO 2 /nc-Si/SiO 2 multi-layers by room temperature current-voltage measurements. Resonant tunneling signatures accompanied by current peaks are observed. Carrier transport in the multi-layers were analyzed by plots of ln(I/V 2 ) as a function of 1/V and ln(I) as a function of V 1/2 . Results suggest that besides films quality, nc-Si and barrier sub-layer thicknesses are important parameters that restrict carrier transport. When thicknesses are both small, direct tunneling dominates carrier transport, resonant tunneling occurs only at certain voltages and multi-resonant tunneling related current peaks can be observed but with peak to valley current ratio (PVCR) values smaller than 1.5. When barrier thickness is increased, trap-related and even high field related tunneling is excited, causing that multi-current peaks cannot be observed clearly, only one current peak with higher PVCR value of 7.7 can be observed. While if the thickness of nc-Si is large enough, quantum confinement is not so strong, a broad current peak with PVCR value as high as 60 can be measured, which may be due to small energy difference between the splitting energy levels in the quantum dots of nc-Si. Size distribution in a wide range may cause un-controllability of the peak voltages

  17. Influence of Substrate on Crystal Orientation of Large-Grained Si Thin Films Formed by Metal-Induced Crystallization

    Directory of Open Access Journals (Sweden)

    Kaoru Toko

    2015-01-01

    Full Text Available Producing large-grained polycrystalline Si (poly-Si film on glass substrates coated with conducting layers is essential for fabricating Si thin-film solar cells with high efficiency and low cost. We investigated how the choice of conducting underlayer affected the poly-Si layer formed on it by low-temperature (500°C Al-induced crystallization (AIC. The crystal orientation of the resulting poly-Si layer strongly depended on the underlayer material: (100 was preferred for Al-doped-ZnO (AZO and indium-tin-oxide (ITO; (111 was preferred for TiN. This result suggests Si heterogeneously nucleated on the underlayer. The average grain size of the poly-Si layer reached nearly 20 µm for the AZO and ITO samples and no less than 60 µm for the TiN sample. Thus, properly electing the underlayer material is essential in AIC and allows large-grained Si films to be formed at low temperatures with a set crystal orientation. These highly oriented Si layers with large grains appear promising for use as seed layers for Si light-absorption layers as well as for advanced functional materials.

  18. Effect of Si ion irradiation on polycrystalline CdS thin film grown from novel photochemical deposition technique

    International Nuclear Information System (INIS)

    Soundeswaran, S.; Senthil Kumar, O.; Ramasamy, P.; Kabi Raj, D.; Avasthi, D.K.; Dhanasekaran, R.

    2005-01-01

    CdS thin films have been deposited from aqueous solution by photochemical reactions. The solution contains Cd(CH 3 COO) 2 and Na 2 S 2 O 3 , and pH is controlled in an acidic region by adding H 2 SO 4 . The solution is illuminated with light from a high-pressure mercury-arc lamp. CdS thin films are formed on a glass substrate by the heterogeneous nucleation and the deposited thin films have been subjected to high-energy Si ion irradiations. Si ion irradiation has been performed with an energy of 80 MeV at fluences of 1x10 11 , 1x10 12 , 1x10 13 and 1x10 14 ions/cm 2 using tandem pelletron accelerator. The irradiation-induced changes in CdS thin films are studied using XRD, Raman spectroscopy and photoluminescence. Broadening of the PL emission peak were observed with increasing irradiation fluence, which could be attributed to the band tailing effect of the Si ion irradiation. The lattice disorder takes place at high Si ion fluences

  19. Structure of MnSi on SiC(0001)

    Science.gov (United States)

    Meynell, S. A.; Spitzig, A.; Edwards, B.; Robertson, M. D.; Kalliecharan, D.; Kreplak, L.; Monchesky, T. L.

    2016-11-01

    We report on the growth and magnetoresistance of MnSi films grown on SiC(0001) by molecular beam epitaxy. The growth resulted in a textured MnSi(111) film with a predominantly [1 1 ¯0 ] MnSi (111 )∥[11 2 ¯0 ] SiC(0001) epitaxial relationship, as demonstrated by transmission electron microscopy, reflection high energy electron diffraction, and atomic force microscopy. The 500 ∘C temperature required to crystallize the film leads to a dewetting of the MnSi layer. Although the sign of the lattice mismatch suggested the films would be under compressive stress, the films acquire an in-plane tensile strain likely driven by the difference in thermal expansion coefficients between the film and substrate during annealing. As a result, the magnetoresistive response demonstrates that the films possess a hard-axis out-of-plane magnetocrystalline anisotropy.

  20. Electronic transport in heavily doped Ag/n-Si composite films

    Directory of Open Access Journals (Sweden)

    Clayton W. Bates Jr.

    2013-10-01

    Full Text Available Hall measurements characterized Ag/n-Si composite films 1 micron thick produced by magnetron co-sputtering onto high resistivity Si (111 substrates at 550°C. The targets were Ag and n-type Si doped with 3 × 1019/cm3 of antimony. Films were prepared with 13, 16 and 22 at. % Ag and measured over a temperature range 77–500°K. Conduction takes place at low temperatures by variable rang hopping in localized states at the Fermi level and by thermal activation over grain boundaries at higher temperatures. The Log Resistivity vs 1/kT curves for the three Ag concentrations vary in a similar manner, but decrease in magnitude with increasing Ag due to the smaller number of grain boundaries between Ag nanoparticles occurring with increasing Ag concentration. At low temperatures Hall mobilities are essentially independent of temperature as the carrier densities for the three Ag concentrations are constant from 77 to slightly under 300°K with resistivities varying by small amounts. The mobilities at all Ag concentrations increase with temperature and approach each other as the effects of grain boundaries become less important. This work presents for the first time the effects of metal particles embedded in a semiconductor on the transport properties of carriers in the semiconductor. Though these effects are for a given average particle size most of the results are expected to hold over a range of particle sizes. Free electrons produced in films containing 13 and 16 at. % Ag result in concentrations of 1.5 × 1019/cm3, one half the antimony doping, while those with 22 at. % Ag, the carrier concentrations are three orders of magnitude higher. These constant carrier concentrations are due to the metal-insulator transition that occurs in doped crystalline and polycrystalline silicon for carrier densities nc >3.9 × 1018/cm3. The three orders of magnitude higher carrier concentration produced in films with 22 at. % Ag is argued to be due to doping of the Si

  1. High-quality LaVO3 films as solar energy conversion material

    International Nuclear Information System (INIS)

    Zhang, Hai-Tian; Brahlek, Matthew; Ji, Xiaoyu; Lei, Shiming; Lapano, Jason

    2017-01-01

    Mott insulating oxides and their heterostructures have recently been identified as potential photovoltaic materials with favorable absorption properties and an intrinsic built-in electric field that can efficiently separate excited electron hole pairs. At the same time, they are predicted to overcome the Shockley-Queisser limit due to strong electron electron interaction present. Despite these premises a high concentration of defects commonly observed in Mott insulating films acting as recombination centers can derogate the photovoltaic conversion efficiency. With use of the self-regulated growth kinetics in hybrid molecular beam epitaxy, this obstacle can be overcome. High-quality, stoichiometric LaVO 3 films were grown with defect densities of in-gap states up to 2 orders of magnitude lower compared to the films in the literature, and a factor of 3 lower than LaVO 3 bulk single crystals. Photoconductivity measurements revealed a significant photoresponsivity increase as high as tenfold of stoichiometric LaVO 3 films compared to their nonstoichiometric counterparts. Furthermore, this work marks a critical step toward the realization of high-performance Mott insulator solar cells beyond conventional semiconductors.

  2. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  3. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp.

    Science.gov (United States)

    Wang, Bin; Qu, Shengguan; Li, Xiaoqiang

    2018-01-01

    By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0%) were achieved for optical application. The flexural strength of the prepared SiC p /Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson's ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time.

  4. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp

    Directory of Open Access Journals (Sweden)

    Bin Wang

    2018-01-01

    Full Text Available By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0% were achieved for optical application. The flexural strength of the prepared SiCp/Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson’s ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time.

  5. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp

    Science.gov (United States)

    2018-01-01

    By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0%) were achieved for optical application. The flexural strength of the prepared SiCp/Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson's ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time. PMID:29682145

  6. Sulfide and Oxide Heterostructures For the SrTiO3 Thin Film Growth on Si and Their Structural and Interfacial Stabilities

    Science.gov (United States)

    Yoo, Young‑Zo; Song, Jeong‑Hwan; Konishi, Yoshinori; Kawasaki, Masashi; Koinuma, Hideomi; Chikyow, Toyohiro

    2006-03-01

    Epitaxial SrTiO3 (STO) thin films with high electrical properties were grown on Si using ZnS single- and SrS/MnS hetero-buffer layers. STO films on both ZnS-buffered and SrS/MnS-buffered Si showed two growth orientations, (100) and (110). The temperature dependence of the growth orientation for STO films was different for the ZnS single-buffer layer in comparison with the SrS/MnS heterobuffer layers. (100) growth of STO films on SrS/MnS-buffered Si became dominant at high temperatures about 700 °C, while (100) growth of STO films on ZnS-buffered Si became dominant at a relatively low growth temperature of 550 °C. STO(100) films on ZnS-buffered and SrS/MnS-buffered Si showed lattice and domain matches for epitaxial relationships with [001]ZnS\\parallel[011]STO and SrS[001]\\parallel[011]STO, respectively via 45° in-plane rotation of STO films relative to both ZnS and SrS layers. The ZnS buffer layer contained many stacking faults because of the mismatch between ZnS and Si, however, those defects were terminated at the ZnS/STO interface. In contrast, the MnS buffer was very stable against stacking defect formation. Transmission electron microscopy measurements revealed the presence of a disordered region at the ZnS/Si and MnS/Si interfaces. Auger electron spectroscopy and transmission electron microscopy results showed that a good MnS/Si interface at the initial growth stage degraded to a SiS2-x-rich phase during MnS deposition and again into a SiO2-x-rich phase during STO deposition at the high growth temperature of 700 °C. It was also observed that STO on SrS/MnS-buffered Si showed a markedly high dielectric constant compared with that of STO on ZnS-buffered Si.

  7. Electrical transport characterization of Al and Sn doped Mg 2 Si thin films

    KAUST Repository

    Zhang, Bo

    2017-05-22

    Thin-film Mg2Si was deposited using radio frequency (RF) magnetron sputtering. Al and Sn were incorporated as n-type dopants using co-sputtering to tune the thin-film electrical properties. X-ray diffraction (XRD) analysis confirmed that the deposited films are polycrystalline Mg2Si. The Sn and Al doping concentrations were measured using Rutherford backscattering spectroscopy (RBS) and energy dispersive X-ray spectroscopy (EDS). The charge carrier concentration and the charge carrier type of the Mg2Si films were measured using a Hall bar structure. Hall measurements show that as the doping concentration increases, the carrier concentration of the Al-doped films increases, whereas the carrier concentration of the Sn-doped films decreases. Combined with the resistivity measurements, the mobility of the Al-doped Mg2Si films is found to decrease with increasing doping concentration, whereas the mobility of the Sn-doped Mg2Si films is found to increase.

  8. Features of film growth during plasma anodizing of Al 2024/SiC metal matrix composite

    Energy Technology Data Exchange (ETDEWEB)

    Xue Wenbin [Key Laboratory for Radiation Beam Technology and Materials Modification, Institute of Low Energy Nuclear Physics, Beijing Normal University, Beijing 100875 (China)]. E-mail: xuewb@bnu.edu.cn

    2006-07-15

    Plasma anodizing is a novel promising process to fabricate corrosion-resistant protective films on metal matrix composites. The corrosion-resistant films were prepared by plasma anodizing on SiC reinforced aluminum matrix composite. The morphology and microstructure of films were analyzed by scanning electron microscopy. Specifically, the morphology of residual SiC reinforcement particles in the film was observed. It is found that the most SiC reinforcement particles have been molten to become silicon oxide, but a few tiny SiC particles still remain in the film close to the composite/film interface. This interface is irregular due to the hindering effect of SiC particles on the film growth. Morphology and distribution of residual SiC particles in film provide direct evidence to identify the local melt occurs in the interior of plasma anodizing film even near the composite/film interface. A model of film growth by plasma anodizing on metal matrix composites was proposed.

  9. Features of film growth during plasma anodizing of Al 2024/SiC metal matrix composite

    International Nuclear Information System (INIS)

    Xue Wenbin

    2006-01-01

    Plasma anodizing is a novel promising process to fabricate corrosion-resistant protective films on metal matrix composites. The corrosion-resistant films were prepared by plasma anodizing on SiC reinforced aluminum matrix composite. The morphology and microstructure of films were analyzed by scanning electron microscopy. Specifically, the morphology of residual SiC reinforcement particles in the film was observed. It is found that the most SiC reinforcement particles have been molten to become silicon oxide, but a few tiny SiC particles still remain in the film close to the composite/film interface. This interface is irregular due to the hindering effect of SiC particles on the film growth. Morphology and distribution of residual SiC particles in film provide direct evidence to identify the local melt occurs in the interior of plasma anodizing film even near the composite/film interface. A model of film growth by plasma anodizing on metal matrix composites was proposed

  10. Iron-Treated NiO as a Highly Transparent p-Type Protection Layer for Efficient Si-Based Photoanodes

    DEFF Research Database (Denmark)

    Mei, Bastian Timo; Permyakova, Anastasia Aleksandrovna; Frydendal, Rasmus

    2014-01-01

    Sputter deposition of 50 nm thick NiO films on p+–n-Si and subsequent treatment in an Fe-containing electrolyte yielded highly transparent photoanodes capable of water oxidation (OER) in alkaline media (1 M KOH) with high efficiency and stability. The Fe treatment of NiO thin films enabled Si...

  11. High Refractive Organic–Inorganic Hybrid Films Prepared by Low Water Sol-Gel and UV-Irradiation Processes

    Directory of Open Access Journals (Sweden)

    Hsiao-Yuan Ma

    2016-03-01

    Full Text Available Organic-inorganic hybrid sols (Ti–O–Si precursor were first synthesized by the sol-gel method at low addition of water, and were then employed to prepare a highly refractive hybrid optical film. This film was obtained by blending the Ti–O–Si precursor with 2-phenylphenoxyethyl acrylate (OPPEA to perform photo-polymerization by ultraviolet (UV irradiation. Results show that the film transparency of poly(Ti–O–Si precursor-co-OPPEA film is higher than that of a pure poly(Ti–O–Si precursor film, and that this poly(Ti–O–Si precursor-co-OPPEA hybrid film exhibits a high transparency of ~93.7% coupled with a high refractive index (n of 1.83 corresponding to a thickness of 2.59 μm.

  12. Growth of thin SiC films on Si single crystal wafers with a microwave excited plasma of methane gas

    DEFF Research Database (Denmark)

    Dhiman, Rajnish; Morgen, Per

    2013-01-01

    Wehave studied the growth and properties of SiC films on Siwafers, under ultrahigh vacuumbackground con- ditions, using a remote-, microwave excited,methane plasma as a source of active carbon and hydrogen,while the Si substrates were held at a temperature of near 700 °C. The reaction is diffusio......Wehave studied the growth and properties of SiC films on Siwafers, under ultrahigh vacuumbackground con- ditions, using a remote-, microwave excited,methane plasma as a source of active carbon and hydrogen,while the Si substrates were held at a temperature of near 700 °C. The reaction...... lowdensity of these, and are otherwise very uniform and poly- crystalline. They are characterized with scanning electron microscopy, atomic force microscopy, X-ray photo- electron spectroscopy, X-ray diffraction, and hardnessmeasurements....

  13. Growth of boron doped hydrogenated nanocrystalline cubic silicon carbide (3C-SiC) films by Hot Wire-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Pawbake, Amit [School of Energy Studies, Savitribai Phule Pune University, Pune 411 007 (India); Tata Institute of Fundamental Research, Colaba, Mumbai 400 005 (India); Mayabadi, Azam; Waykar, Ravindra; Kulkarni, Rupali; Jadhavar, Ashok [School of Energy Studies, Savitribai Phule Pune University, Pune 411 007 (India); Waman, Vaishali [Modern College of Arts, Science and Commerce, Shivajinagar, Pune 411 005 (India); Parmar, Jayesh [Tata Institute of Fundamental Research, Colaba, Mumbai 400 005 (India); Bhattacharyya, Somnath [Department of Metallurgical and Materials Engineering, IIT Madras, Chennai 600 036 (India); Ma, Yuan‐Ron [Department of Physics, National Dong Hwa University, Hualien 97401, Taiwan (China); Devan, Rupesh; Pathan, Habib [Department of Physics, Savitribai Phule Pune University, Pune 411007 (India); Jadkar, Sandesh, E-mail: sandesh@physics.unipune.ac.in [Department of Physics, Savitribai Phule Pune University, Pune 411007 (India)

    2016-04-15

    Highlights: • Boron doped nc-3C-SiC films prepared by HW-CVD using SiH{sub 4}/CH{sub 4}/B{sub 2}H{sub 6}. • 3C-Si-C films have preferred orientation in (1 1 1) direction. • Introduction of boron into SiC matrix retard the crystallanity in the film structure. • Film large number of SiC nanocrystallites embedded in the a-Si matrix. • Band gap values, E{sub Tauc} and E{sub 04} (E{sub 04} > E{sub Tauc}) decreases with increase in B{sub 2}H{sub 6} flow rate. - Abstract: Boron doped nanocrystalline cubic silicon carbide (3C-SiC) films have been prepared by HW-CVD using silane (SiH{sub 4})/methane (CH{sub 4})/diborane (B{sub 2}H{sub 6}) gas mixture. The influence of boron doping on structural, optical, morphological and electrical properties have been investigated. The formation of 3C-SiC films have been confirmed by low angle XRD, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy and high resolution-transmission electron microscopy (HR-TEM) analysis whereas effective boron doping in nc-3C-SiC have been confirmed by conductivity, charge carrier activation energy, and Hall measurements. Raman spectroscopy and HR-TEM analysis revealed that introduction of boron into the SiC matrix retards the crystallanity in the film structure. The field emission scanning electron microscopy (FE-SEM) and non contact atomic force microscopy (NC-AFM) results signify that 3C-SiC film contain well resolved, large number of silicon carbide (SiC) nanocrystallites embedded in the a-Si matrix having rms surface roughness ∼1.64 nm. Hydrogen content in doped films are found smaller than that of un-doped films. Optical band gap values, E{sub Tauc} and E{sub 04} decreases with increase in B{sub 2}H{sub 6} flow rate.

  14. Direct synthesis of highly textured Ge on flexible polyimide films by metal-induced crystallization

    Energy Technology Data Exchange (ETDEWEB)

    Oya, N.; Toko, K., E-mail: toko@bk.tsukuba.ac.jp; Suemasu, T. [Institute of Applied Physics, University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8573 (Japan); Saitoh, N.; Yoshizawa, N. [Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569 (Japan)

    2014-06-30

    The highly (111)-textured Ge thin film (50-nm thickness) is demonstrated on a flexible polyimide film via the low-temperature crystallization (325 °C) of amorphous Ge using Al as a catalyst. Covering the polyimide with insulators significantly improved the crystal quality of the resulting Ge layer. In particular, SiN covering led to 97% (111)-oriented Ge with grains 200 μm in size, two orders larger than the grain size of polycrystalline Ge directly formed on the polyimide film. This achievement will give a way to realize advanced electronic and optical devices simultaneously allowing for high performance, inexpensiveness, and flexibility.

  15. Fabrication and orientation control of highly cation-ordered epitaxial PbSc{sub 0.5}Ta{sub 0.5}O{sub 3} thin films on Si (100)

    Energy Technology Data Exchange (ETDEWEB)

    Chopra, Anuj, E-mail: anujchopraiitm@gmail.com [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); Faculty of Science and Technology, MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Alexe, Marin [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); Department of Physics, University of Warwick, Coventry CV4 7AL (United Kingdom); Hesse, Dietrich [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany)

    2015-01-28

    Highly cation-ordered (100) and (110)-oriented PbSc{sub 0.5}Ta{sub 0.5}O{sub 3} (PST) films were deposited on buffered Si (100) substrates using pulsed laser deposition. Switching of crystal orientation from (100) to (110) was achieved by replacing the Si (100)/ZrO2:Y2O3 (100)/CeO2 (100)/LaNiO3 (100)/PST (100) heterostructure with Si (100)/ZrO2:Y2O3 (YSZ) (100)/SrRuO3 (110)/PST (110). The out-of-plane and in-plane crystal orientation and internal microstructure of (001) and (110) PST films were analyzed in detail by X-ray diffraction, pole figure measurements, and transmission electron microscopy. XRD superstructure reflections indicate that both (100) and (110) PST films are highly cation-ordered and transmission electron microscopy measurements show nano-domains of 15 nm size. The electrical measurements show that the PST films are ferroelectric and that the ferroelectric properties are linked to the microstructure. We have demonstrated the successful integration of PST films on Si substrates with control on growth orientation; this approach can be extended to other oxides to be integrated on silicon substrates for future device applications.

  16. Broad-band anti-reflection coupler for a : Si thin-film solar cell

    International Nuclear Information System (INIS)

    Lo, S.-S.; Chen, C.-C.; Garwe, Frank; Pertch, Thomas

    2007-01-01

    This work numerically demonstrates a new anti-reflection coupler (ARC) with high coupling efficiency in a Si substrate solar cell. The ARC in which the grating is integrated on a glass encapsulation and a three-layer impedance match layer is proposed. A coupling efficiency of 90% is obtained at wavelengths between 350 and 1200 nm in the TE and TM modes when the incident angle is less than 30 0 . In comparison with a 1μm absorber layer, the integrated absorption of an a-Si thin-film solar cell without a new ARC is doubled, at long wavelengths (750 nm ≤ λ ≤ 1200 nm), as calculated by FDTD method

  17. Development of High Quality 4H-SiC Thick Epitaxy for Reliable High Power Electronics Using Halogenated Precursors

    Science.gov (United States)

    2016-08-02

    defects :=()llowed by a second buffer epilayer gro\\\\ th with ~: urn thickness with high n-type dopi:1g (- 5£17 cm-3) for the same C/Si ratio of ~1.4 at...gradient, pressure , etc.) can further reduce the parasitic deposition, especially in TFS-growth. • Thick epitaxy on-axis 4H-SiC Growth at High Growth...From - To) 08/02/2016 Final Technical Report 01-Apr-10 Through 31-Mar-14 4. TITLE AND SUBTITLE 5a. CONTRACT NUMBER Development of High Quality 4H

  18. Structural studies of n-type nc-Si-QD thin films for nc-Si solar cells

    Science.gov (United States)

    Das, Debajyoti; Kar, Debjit

    2017-12-01

    A wide optical gap nanocrystalline silicon (nc-Si) dielectric material is a basic requirement at the n-type window layer of nc-Si solar cells in thin film n-i-p structure on glass substrates. Taking advantage of the high atomic-H density inherent to the planar inductively coupled low-pressure (SiH4 + CH4)-plasma, development of an analogous material in P-doped nc-Si-QD/a-SiC:H network has been tried. Incorporation of C in the Si-network extracted from the CH4 widens the optical band gap; however, at enhanced PH3-dilution of the plasma spontaneous miniaturization of the nc-Si-QDs below the dimension of Bohr radius (∼4.5 nm) further enhances the band gap by virtue of the quantum size effect. At increased flow rate of PH3, dopant induced continuous amorphization of the intrinsic crystalline network is counterbalanced by the further crystallization promoted by the supplementary atomic-H extracted from PH3 (1% in H2) in the plasma, eventually holding a moderately high degree of crystallinity. The n-type wide band gap (∼1.93 eV) window layer with nc-Si-QDs in adequate volume fraction (∼52%) could furthermore be instrumental as an effective seed layer for advancing sequential crystallization in the i-layer of nc-Si solar cells with n-i-p structure in superstrate configuration.

  19. Reduction in the formation temperature of Poly-SiGe alloy thin film in Si/Ge system

    Science.gov (United States)

    Tah, Twisha; Singh, Ch. Kishan; Madapu, K. K.; Sarguna, R. M.; Magudapathy, P.; Ilango, S.

    2018-04-01

    The role of deposition temperature in the formation of poly-SiGe alloy thin film in Si/Ge system is reported. For the set ofsamples deposited without any intentional heating, initiation of alloying starts upon post annealingat ˜ 500 °C leading to the formation of a-SiGe. Subsequently, poly-SiGe alloy phase could formonly at temperature ≥ 800 °C. Whereas, for the set of samples deposited at 500 °C, in-situ formation of poly-SiGe alloy thin film could be observed. The energetics of the incoming evaporated atoms and theirsubsequent diffusionsin the presence of the supplied thermal energy is discussed to understand possible reasons for lowering of formation temperature/energyof the poly-SiGe phase.

  20. Potentiodynamical deposition of nanostructured MnO2 film at the assist of electrodeposited SiO2 as template

    International Nuclear Information System (INIS)

    Wu, Lian-Kui; Xia, Jie; Hou, Guang-Ya; Cao, Hua-Zhen; Tang, Yi-Ping; Zheng, Guo-Qu

    2016-01-01

    Highlights: • MnO 2 -SiO 2 composite film is prepared by potentiodynamical deposition. • Hierarchical porous MnO 2 films is obtained after the etching of SiO 2 . • The obtained MnO 2 film electrode exhibit high specific capacitance. - Abstract: We report a novel silica co-electrodeposition route to prepare nanostructured MnO 2 films. Firstly, MnO 2 -SiO 2 composite film was fabricated on a stainless steel substrate by potentiodynamical deposition, i.e. cyclic deposition, and then the SiO 2 template was removed by simple immersion in concentrated alkaline solution, leading to the formation of a porous MnO 2 (po-MnO 2 ) matrix. The structure and morphology of the obtained films were characterized using Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The electrochemical properties of the po-MnO 2 film were evaluated by cyclic voltammetry (CV), galvanostatic charge–discharge (GCD) and electrochemical impedance spectroscopy (EIS). Results showed that this porous MnO 2 derived from the MnO 2 -SiO 2 composite film exhibits good electrochemical performance for potential use as a supercapacitor material.

  1. Correlating the silicon surface passivation to the nanostructure of low-temperature a-Si:H after rapid thermal annealing

    NARCIS (Netherlands)

    Macco, B.; Melskens, J.; Podraza, N.J.; Arts, K.; Pugh, C.; Thomas, O.; Kessels, W.M.M.

    2017-01-01

    Using an inductively coupled plasma, hydrogenated amorphous silicon (a-Si:H) films have been prepared at very low temperatures (<50 °C) to provide crystalline silicon (c-Si) surface passivation. Despite the limited nanostructural quality of the a-Si:H bulk, a surprisingly high minority carrier

  2. Superhydrophobicity and regeneration of PVDF/SiO2 composite films

    Science.gov (United States)

    Liu, Tao; Li, Xianfeng; Wang, Daohui; Huang, Qinglin; Liu, Zhen; Li, Nana; Xiao, Changfa

    2017-02-01

    Superhydrophobicity of polymers is easily destroyed by careless touching due to the softness of microstructures. In this study, based on a well-constructed polyvinylidene fluoride (PVDF) surface, a novel superhydrophobic PVDF/SiO2 composite film was fabricated by adding hydrophobic SiO2 nanoparticle and solvent into a coagulation bath. The water contact angle of the composite film reached 162.3° and the sliding angle was as low as 1.5°. More importantly, the composite film could be regenerated only through immersing the composite film in the designed regeneration agent. The composition of the designed regeneration agent ensured that SiO2 nanoparticles were firmly adhered on the film surface even under the ultrasonic cleaning. Hence, the superhydrophobicity and self-cleaing property could be regenerated and maintained effectively, and moreover, these propeties could resist a proper pressure. In addition, after many rubbing-regenerating cycles, the regeneration method was still valid.

  3. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  4. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  5. Microprocessing of ITO and a-Si thin films using ns laser sources

    Science.gov (United States)

    Molpeceres, C.; Lauzurica, S.; Ocaña, J. L.; Gandía, J. J.; Urbina, L.; Cárabe, J.

    2005-06-01

    Selective ablation of thin films for the development of new photovoltaic panels and sensoring devices based on amorphous silicon (a-Si) is an emerging field, in which laser micromachining systems appear as appropriate tools for process development and device fabrication. In particular, a promising application is the development of purely photovoltaic position sensors. Standard p-i-n or Schottky configurations using transparent conductive oxides (TCO), a-Si and metals are especially well suited for these applications, appearing selective laser ablation as an ideal process for controlled material patterning and isolation. In this work a detailed study of laser ablation of a widely used TCO, indium-tin-oxide (ITO), and a-Si thin films of different thicknesses is presented, with special emphasis on the morphological analysis of the generated grooves. Excimer (KrF, λ = 248 nm) and DPSS lasers (λ = 355 and λ = 1064 nm) with nanosecond pulse duration have been used for material patterning. Confocal laser scanning microscopy (CLSM) and scanning electron microscopy (SEM) techniques have been applied for the characterization of the ablated grooves. Additionally, process parametric windows have been determined in order to assess this technology as potentially competitive to standard photolithographic processes. The encouraging results obtained, with well-defined ablation grooves having thicknesses in the order of 10 µm both in ITO and in a-Si, open up the possibility of developing a high-performance double Schottky photovoltaic matrix position sensor.

  6. Si-Based Germanium Tin Semiconductor Lasers for Optoelectronic Applications

    Science.gov (United States)

    Al-Kabi, Sattar H. Sweilim

    Silicon-based materials and optoelectronic devices are of great interest as they could be monolithically integrated in the current Si complementary metal-oxide-semiconductor (CMOS) processes. The integration of optoelectronic components on the CMOS platform has long been limited due to the unavailability of Si-based laser sources. A Si-based monolithic laser is highly desirable for full integration of Si photonics chip. In this work, Si-based germanium-tin (GeSn) lasers have been demonstrated as direct bandgap group-IV laser sources. This opens a completely new avenue from the traditional III-V integration approach. In this work, the material and optical properties of GeSn alloys were comprehensively studied. The GeSn films were grown on Ge-buffered Si substrates in a reduced pressure chemical vapor deposition system with low-cost SnCl4 and GeH4 precursors. A systematic study was done for thin GeSn films (thickness 400 nm) with Sn composition 5 to 17.5%. The room temperature photoluminescence (PL) spectra were measured that showed a gradual shift of emission peaks towards longer wavelength as Sn composition increases. Strong PL intensity and low defect density indicated high material quality. Moreover, the PL study of n-doped samples showed bandgap narrowing compared to the unintentionally p-doped (boron) thin films with similar Sn compositions. Finally, optically pumped GeSn lasers on Si with broad wavelength coverage from 2 to 3 mum were demonstrated using high-quality GeSn films with Sn compositions up to 17.5%. The achieved maximum Sn composition of 17.5% broke the acknowledged Sn incorporation limit using similar deposition chemistry. The highest lasing temperature was measured at 180 K with an active layer thickness as thin as 270 nm. The unprecedented lasing performance is due to the achievement of high material quality and a robust fabrication process. The results reported in this work show a major advancement towards Si-based electrically pumped mid

  7. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  8. Reflection Enhancement Using TiO2/SiO2 Bilayer Films Prepared by Cost-Effective Sol-gel Method

    Directory of Open Access Journals (Sweden)

    R. Ajay Kumar

    2017-04-01

    Full Text Available Multilayer dielectric thin film structure has been demanded for its application in optoelectronic devices such as optical waveguides, vertical cavity surface-emitting devices, biosensors etc. In this paper, we present the fabrication and characterization of bilayer thin films of TiO2/SiO2 using sol-gel spin coating method. Ellipsometer measurement showed refractive index values 1.46, 2.1 corresponding to the SiO2 and TiO2 films respectively. The FTIR transmittance peaks observed at ~970 cm-1, ~1100 cm-1 and ~1400 cm-1 are attributed to the Ti-O-Si, Si-O-Si and Ti-O-Ti bonds respectively. Maximum reflectance is observed from two bilayer film structure which can be further optimized to get the high reflection to a broad wavelength range.

  9. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    International Nuclear Information System (INIS)

    Valdueza-Felip, S.; Ibáñez, J.; Monroy, E.; González-Herráez, M.; Artús, L.; Naranjo, F.B.

    2012-01-01

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of ∼ 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at ∼ 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: ► Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. ► Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. ► Room-temperature photoluminescence emission at 1.58 eV. ► InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  10. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Valdueza-Felip, S., E-mail: sirona.valdueza@depeca.uah.es [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Ibanez, J. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Monroy, E. [CEA-Grenoble, INAC/SP2M/NPSC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Gonzalez-Herraez, M. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Artus, L. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Naranjo, F.B. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain)

    2012-01-31

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of {approx} 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at {approx} 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: Black-Right-Pointing-Pointer Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. Black-Right-Pointing-Pointer Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. Black-Right-Pointing-Pointer Room-temperature photoluminescence emission at 1.58 eV. Black-Right-Pointing-Pointer InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  11. Highly textured Sr, Nb co-doped BiFeO3 thin films grown on SrRuO3/Si substrates by rf- sputtering

    International Nuclear Information System (INIS)

    Ostos, C.; Raymond, O.; Siqueiros, J. M.; Suarez-Almodovar, N.; Bueno-Baques, D.; Mestres, L.

    2011-01-01

    In this study, (011)-highly oriented Sr, Nb co-doped BiFeO 3 (BFO) thin films were successfully grown on SrRuO 3 /Si substrates by rf-magnetron sputtering. The presence of parasite magnetic phases was ruled out based on the high resolution x-ray diffraction data. BFO films exhibited a columnar-like grain growth with rms surface roughness values of ≅5.3 nm and average grain sizes of ≅65-70 nm for samples with different thicknesses. Remanent polarization values (2P r ) of 54 μC cm -2 at room temperature were found for the BFO films with a ferroelectric behavior characteristic of an asymmetric device structure. Analysis of the leakage mechanisms for this structure in negative bias suggests Schottky injection and a dominant Poole-Frenkel trap-limited conduction at room temperature. Oxygen vacancies and Fe 3+ /Fe 2+ trap centers are consistent with the surface chemical bonding states analysis from x-ray photoelectron spectroscopy data. The (011)-BFO/SrRuO 3 /Si film structure exhibits a strong magnetic interaction at the interface between the multiferroic film and the substrate layer where an enhanced ferromagnetic response at 5 K was observed. Zero-field cooled (ZFC) and field cooled (FC) magnetization curves of this film system revealed a possible spin glass behavior at spin freezing temperatures below 30 K depending on the BFO film thickness.

  12. Direct current magnetron sputtered ZrB{sub 2} thin films on 4H-SiC(0001) and Si(100)

    Energy Technology Data Exchange (ETDEWEB)

    Tengdelius, Lina, E-mail: lina.tengdelius@liu.se [Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE-581 83 Linköping (Sweden); Samuelsson, Mattias [Impact Coatings AB, Westmansgatan 29, SE-582 16 Linköping (Sweden); Jensen, Jens; Lu, Jun; Hultman, Lars; Forsberg, Urban; Janzén, Erik; Högberg, Hans [Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE-581 83 Linköping (Sweden)

    2014-01-01

    ZrB{sub 2} thin films have been synthesized using direct current magnetron sputtering from a ZrB{sub 2} compound target onto 4H-SiC(0001) and Si(100) substrates kept at different temperatures (no heating, 400 °C, and 550 °C), and substrate bias voltage (− 20 V to − 80 V). Time-of-flight energy elastic recoil detection analysis shows that all the films are near stoichiometric and have a low degree of contaminants, with O being the most abundant (< 1 at.%). The films are crystalline, and their crystallographic orientation changes from 0001 to a more random orientation with increased deposition temperature. X-ray diffraction pole figures and selected area electron diffraction patterns of the films deposited without heating reveal a fiber-texture growth. Four point probe measurements show typical resistivity values of the films ranging from ∼ 95 to 200 μΩ cm, decreasing with increased growth temperature and substrate bias. - Highlights: • ZrB{sub 2} films have been deposited on 4H-SiC(0001) and Si(100). • Film composition correlates well to that of the target. • Level of contamination in the films is low. • Film resistivity values range from ∼ 95 to 200 μΩ cm.

  13. Fabrication of amorphous Si and C anode films via co-sputtering for an all-solid-state battery

    Energy Technology Data Exchange (ETDEWEB)

    Lee, K.S. [Department of Materials Science and Engineering, Yonsei University Shinchondong, 262 Seongsanno, Seodaemoongu, Seoul 120-749 (Korea, Republic of); Department of Environment and Energy Engineering, Gachon University, Seongnamdaero 1342, 461-710 Gyeonggi-do (Korea, Republic of); Lee, S.H. [Department of Environment and Energy Engineering, Gachon University, Seongnamdaero 1342, 461-710 Gyeonggi-do (Korea, Republic of); Woo, S.P. [Department of Materials Science and Engineering, Yonsei University Shinchondong, 262 Seongsanno, Seodaemoongu, Seoul 120-749 (Korea, Republic of); Department of Environment and Energy Engineering, Gachon University, Seongnamdaero 1342, 461-710 Gyeonggi-do (Korea, Republic of); Kim, H.S. [Department of Mechanical Engineering, Gachon University, Seongnamdaero 1342, 461-710 Gyeonggi-do (Korea, Republic of); Yoon, Y.S., E-mail: benedicto@gachon.ac.kr [Department of Environment and Energy Engineering, Gachon University, Seongnamdaero 1342, 461-710 Gyeonggi-do (Korea, Republic of)

    2014-08-01

    In this study, a combination of silicon and carbon as the anode material for an all-solid-state battery has been investigated to overcome their individual deficiencies. The capacity of silicon thin films with an input power of 60 W shows dramatic failure after 38 cycles due to serious volume expansion. In contrast, C thin films at 60 W show high stability of cyclic performance and capacity retention. The amorphous silicon and carbon composite reduced the volume expansion of silicon during long term cycles and enhanced the low specific capacity of the carbon. This resistance of the volume expansion might be expected from the cushion effect caused by the carbon, which was confirmed by scanning electron microscope images after a 100 cycle test. These results indicate that amorphous silicon and carbon composite thin films have a high possibility as the stable anode material for an all-solid-state battery. - Highlights: • Amorphous Si/C nanocomposite thin films have been prepared by co-sputtering. • Carbon can act as a cushion effect to prevent volume expansion of Si. • Amorphous Si/C nanocomposite thin films show structure stability at 100 cycles. • Capacity of the amorphous Si/C nanocomposite thin films was enhanced considerably.

  14. High intensification screen-film systems in thorax radiography: a clinical comparison

    International Nuclear Information System (INIS)

    Schaefer, C.B.; Sokiranski, R.; Claussen, C.D.

    1995-01-01

    Objective: The quality of chest images was evaluated for a conventional screen-film system, a new asymmetric screen-film system, and a new uv screen-film system. Materials and Methods: 138 Chest radiographs (69 p.a., 69 lateral) obtained with three different high intensification screen-film combinations were compared. Film density and film contrast were measured. Three readers graded the image quality according to 16 criteria. Results: The asymmetric film-screen combination Insight HC showed the lowest film contrast, the best exposure range, and a elevated film density in the mediastinal area. The asymmetric screen-film system was ranked by all three observers as being substantially better in image quality. Conclusion: Compared to conventional screen-film systems, the new screen-film systems can improve the image quality of chest radiographs. Therefore, the new high intensification screen-film combinations can be used as a low cost alternative to the Amber technique and digital radiography. (orig.) [de

  15. Microstructure and temperature coefficient of resistance of thin cermet resistor films deposited from CrSi2-Cr-SiC targets by S-gun magnetron

    International Nuclear Information System (INIS)

    Felmetsger, Valery V.

    2010-01-01

    Technological solutions for producing nanoscale cermet resistor films with sheet resistances above 1000 Ω/□ and low temperature coefficients of resistance (TCR) have been investigated. 2-40 nm thick cermet films were sputter deposited from CrSi 2 -Cr-SiC targets by a dual cathode dc S-gun magnetron. In addition to studying film resistance versus temperature, the nanofilm structural features and composition were analyzed using scanning electron microscopy, atomic force microscopy, high-resolution transmission electron microscopy, energy-dispersive x-ray spectroscopy, and electron energy loss spectroscopy. This study has revealed that all cermet resistor films deposited at ambient and elevated temperatures were amorphous. The atomic ratio of Si to Cr in these films was about 2 to 1. The film TCR displayed a significant increase when the deposited film thickness was reduced below 2.5 nm. An optimized sputter process consisting of wafer degassing, cermet film deposition at elevated temperature with rf substrate bias, and a double annealing in vacuum, consisting of in situ annealing following the film sputtering and an additional annealing following the exposure of the wafers to air, has been found to be very effective for the film thermal stabilization and for fine tuning the film TCR. Cermet films with thicknesses in the range of 2.5-4 nm deposited using this technique had sheet resistances ranging from 1800 to 1200 Ω/□ and TCR values from -50 ppm/ deg. C to near zero, respectively. A possible mechanism responsible for the high efficiency of annealing the cermet films in vacuum (after preliminary exposure to air), resulting in resistance stabilization and TCR reduction, is also discussed.

  16. ToF-MEIS stopping measurements in thin SiC films

    International Nuclear Information System (INIS)

    Linnarsson, M.K.; Khartsev, S.; Primetzhofer, D.; Possnert, G.; Hallén, A.

    2014-01-01

    Electronic stopping in thin, amorphous, SiC films has been studied by time-of-flight medium energy ion scattering and conventional Rutherford backscattering spectrometry. Amorphous SiC films (8, 21 and 36 nm) were prepared by laser ablation using a single crystalline silicon carbide target. Two kinds of substrate films, one with a lower atomic mass (carbon) and one with higher atomic mass (iridium) compared to silicon has been used. Monte Carlo simulations have been used to evaluate electronic stopping from the shift in energy for the signal scattered from Ir with and without SiC. The two kinds of samples are used to illustrate the strength and challenges for ToF-MEIS compared to conventional RBS

  17. Numerical investigation of a double-junction a:SiGe thin-film solar cell including the multi-trench region

    International Nuclear Information System (INIS)

    Kacha, K.; Djeffal, F.; Ferhati, H.; Arar, D.; Meguellati, M.

    2015-01-01

    We present a new approach based on the multi-trench technique to improve the electrical performances, which are the fill factor and the electrical efficiency. The key idea behind this approach is to introduce a new multi-trench region in the intrinsic layer, in order to modulate the total resistance of the solar cell. Based on 2-D numerical investigation and optimization of amorphous SiGe double-junction (a-Si:H/a-SiGe:H) thin film solar cells, in the present paper numerical models of electrical and optical parameters are developed to explain the impact of the multi-trench technique on the improvement of the double-junction solar cell electrical behavior for high performance photovoltaic applications. In this context, electrical characteristics of the proposed design are analyzed and compared with conventional amorphous silicon double-junction thin-film solar cells. (paper)

  18. Photoluminescence of Er-doped Si-SiO2 and Al-Si-SiO2 sputtered thin films

    International Nuclear Information System (INIS)

    Rozo, C.; Fonseca, L.F.; Jaque, D.; Sole, J.Garcia

    2008-01-01

    Er-doped Si-SiO 2 and Al-Si-SiO 2 films have been deposited by rf-sputtering being annealed afterwards. Annealing behavior of the Er 3+ : 4 I 13/2 → 4 I 15/2 emission of Er-doped Si-SiO 2 yields a maximum intensity for annealing at 700-800 deg. C. 4 I 13/2 → 4 I 15/2 peak emission for Er-doped Al-Si-SiO 2 at 1525 nm is shifted from that for Er-doped Si-SiO 2 at 1530 nm and the bandwidth increases from 29 to 42 nm. 4 I 13/2 → 4 I 15/2 emission decays present a fast decaying component related to Er ions coupled to Si nanoparticles, defects, or other ions, and a slow decaying component related to isolated Er ions. Excitation wavelength dependence and excitation power dependence for the 4 I 13/2 → 4 I 15/2 emission correspond with energy transfer from Si nanoparticles. Populating of the 4 I 11/2 level in Er-doped Si-SiO 2 involves branching and energy transfer upconversion involving two or more Er ions. Addition of Al reduces the populating of this level to an energy transfer upconversion involving two ions

  19. Two-dimensional X-ray diffraction and transmission electron microscopy study on the effect of magnetron sputtering atmosphere on GaN/SiC interface and gallium nitride thin film crystal structure

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Huaxiang, E-mail: shenhuaxiang@gmail.com [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Zhu, Guo-Zhen; Botton, Gianluigi A. [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Canadian Centre for Electron Microscopy, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Kitai, Adrian [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Department of Engineering Physics, McMaster University, Hamilton, Ontario L8S 4L7 (Canada)

    2015-03-21

    The growth mechanisms of high quality GaN thin films on 6H-SiC by sputtering were investigated by X-ray diffraction (XRD) and scanning transmission electron microscopy (STEM). The XRD θ-2θ scans show that high quality (0002) oriented GaN was deposited on 6H-SiC by reactive magnetron sputtering. Pole figures obtained by 2D-XRD clarify that GaN thin films are dominated by (0002) oriented wurtzite GaN and (111) oriented zinc-blende GaN. A thin amorphous silicon oxide layer on SiC surfaces observed by STEM plays a critical role in terms of the orientation information transfer from the substrate to the GaN epilayer. The addition of H{sub 2} into Ar and/or N{sub 2} during sputtering can reduce the thickness of the amorphous layer. Moreover, adding 5% H{sub 2} into Ar can facilitate a phase transformation from amorphous to crystalline in the silicon oxide layer and eliminate the unwanted (33{sup ¯}02) orientation in the GaN thin film. Fiber texture GaN thin films can be grown by adding 10% H{sub 2} into N{sub 2} due to the complex reaction between H{sub 2} and N{sub 2}.

  20. Correlation of nanostructure and charge transport properties of oxidized a -SiC:H films

    Energy Technology Data Exchange (ETDEWEB)

    Gordienko, S.O.; Nazarov, A.N.; Vasin, A.V.; Rusavsky, A.V.; Lysenko, V.S. [Lashkaryov Institute of Semiconductor Physics, National Academy of Sciences of Ukraine, Prospekt Nauki 41, 03028 Kyiv (Ukraine)

    2012-06-15

    This paper considers the influence of low temperature oxidation on structural and electrical properties of amorphous carbon-rich a -Si{sub 1-x}C{sub x}:H thin films fabricated by reactive RF magnetron sputtering. It is shown that oxidation leads to formation of SiO{sub x} matrix with graphite-like carbon inclusions. Such conductive precipitates has a strong effect on charge transport in oxidized a -Si{sub 1-x}C{sub x}:H films (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Effects of MeV Si ions bombardment on the thermoelectric generator from SiO{sub 2}/SiO{sub 2} + Cu and SiO{sub 2}/SiO{sub 2} + Au nanolayered multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Chacha, J., E-mail: chacha_john79@hotmail.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Smith, C., E-mail: cydale@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States); Pugh, M., E-mail: marcuspughp@yahoo.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Colon, T. [Department of Mechanical Engineering, Alabama A and M University, Normal, AL (United States); Heidary, K., E-mail: kaveh.heidary@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Johnson, R.B., E-mail: barry@w4wb.com [Department of Physics, Alabama A and M University, Normal, AL (United States); Ila, D., E-mail: ila@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States)

    2011-12-15

    The defects and disorder in the thin films caused by MeV ions bombardment and the grain boundaries of these nanoscale clusters increase phonon scattering and increase the chance of an inelastic interaction and phonon annihilation. We prepared the thermoelectric generator devices from 100 alternating layers of SiO{sub 2}/SiO{sub 2} + Cu multi-nano layered superlattice films at the total thickness of 382 nm and 50 alternating layers of SiO{sub 2}/SiO{sub 2} + Au multi-nano layered superlattice films at the total thickness of 147 nm using the physical vapor deposition (PVD). Rutherford Backscattering Spectrometry (RBS) and RUMP simulation have been used to determine the stoichiometry of the elements of SiO{sub 2}, Cu and Au in the multilayer films and the thickness of the grown multi-layer films. The 5 MeV Si ions bombardments have been performed using the AAMU-Center for Irradiation of Materials (CIM) Pelletron ion beam accelerator to make quantum (nano) dots and/or quantum (quantum) clusters in the multilayered superlattice thin films to decrease the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and cross plane electrical conductivity. To characterize the thermoelectric generator devices before and after Si ion bombardments we have measured Seebeck coefficient, cross-plane electrical conductivity, and thermal conductivity in the cross-plane geometry for different fluences.

  2. FEM for modelling 193 nm excimer laser treatment of SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub x} heterostructures on SOI substrates

    Energy Technology Data Exchange (ETDEWEB)

    Conde, J.C.; Chiussi, S.; Gontad, F.; Gonzalez, P. [Dpto. Fisica Aplicada, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain); Martin, E. [Dpto. de Mecanica, Maquinas, Motores Termicos y Fluidos, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain)

    2011-03-15

    Research on epitaxial crystalline silicon (c-Si) and silicon-germanium (Si{sub 1-x}Ge{sub x}) alloys growth and annealing for microelectronic purposes, such as Micro- or Nano-Electro-Mechanical Systems (MEMS or NEMS) and Silicon-On-Nothing (SON) devices is continuously in progress. Laser assisted annealing techniques using commercial ArF Excimer Laser sources are based on ultra-rapid heating and cooling cycles induced by the 193 nm pulses of 20 ns, which are absorbed in the near surface region of the heterostructures. During and after the absorption of these laser pulses, complex physical processes appear that strongly depend on sample structure and applied laser pulse energy densities. The control of the experimental parameters is therefore a key task for obtaining high quality alloys. The Finite ElementsMethod (FEM) is a powerful tool for the optimization of such treatments, because it provides the spatial and temporal temperature fields that are produced by the laser pulses. In this work, we have used a FEM commercial software, to predict the temperatures gradients induced by ArF excimer laser over a wide energy densities range, 0.1<{phi}<0.4 J/cm{sup 2}, on different SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub (x)} thin films deposited on SOI substrate. These numerical results allow us to predict the threshold energies needed to reach the melting point (MP) of the Si and SiGe alloy without oxidation of the thin films system. Therefore, it is possible to optimize the conditions to achieve high quality epitaxy films. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Quality improvement of ZnO thin layers overgrown on Si(100 substrates at room temperature by nitridation pretreatment

    Directory of Open Access Journals (Sweden)

    Peng Wang

    2012-06-01

    Full Text Available To improve the quality of ZnO thin film overgrown on Si(100 substrate at RT (room temperature, the Si(100 surface was pretreated with different methods. The influence of interface on the overgrown ZnO layers was investigated by atomic force microscopy, photoluminescence and X-ray diffraction. We found that the nitridation pretreatment could significantly improve the quality of RT ZnO thin film through two-fold effects: one was to buffer the big lattice mismatch and ease the stress resulted from heterojunction growth; the other was to balance the interface charge, block the symmetric inheritance from the cubic Si (100 substrate and thus restrain the formation of zincblende phase.

  4. Structural and electrical properties of epitaxial Si layers prepared by E-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Rudigier, E.; Fenske, F.; Lee, K.Y.; Gorka, B.; Rau, B.; Conrad, E.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2008-08-30

    In this work, we present structural and electrical properties of thin Si films which are homoepitaxially grown at low substrate temperatures (T{sub s} 450-700 deg. C) by high-rate electron beam evaporation. As substrates, monocrystalline Si wafers with (100) and (111) orientations and polycrystalline Si (poly-Si) seed layers on glass were used. Applying Secco etching, films grown on Si(111) wafers exhibit a decreasing etch pit density with increasing T{sub s}. The best structural quality of the films was obtained on Si(100) wafers. Defect etching on epitaxially grown poly-Si absorbers reveal regions with different crystalline quality. Solar cells have been prepared on both wafers and seed layers. Applying Rapid Thermal Annealing (RTA) and Hydrogen plasma passivation an open circuit voltage of 570 mV for wafer based and 346 mV for seed layer based solar cells have been reached.

  5. Drastic reduction in the surface recombination velocity of crystalline silicon passivated with catalytic chemical vapor deposited SiNx films by introducing phosphorous catalytic-doped layer

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2014-01-01

    We improve the passivation property of n-type crystalline silicon (c-Si) surface passivated with a catalytic chemical vapor deposited (Cat-CVD) Si nitride (SiN x ) film by inserting a phosphorous (P)-doped layer formed by exposing c-Si surface to P radicals generated by the catalytic cracking of PH 3 molecules (Cat-doping). An extremely low surface recombination velocity (SRV) of 2 cm/s can be achieved for 2.5 Ω cm n-type (100) floating-zone Si wafers passivated with SiN x /P Cat-doped layers, both prepared in Cat-CVD systems. Compared with the case of only SiN x passivated layers, SRV decreases from 5 cm/s to 2 cm/s. The decrease in SRV is the result of field effect created by activated P atoms (donors) in a shallow P Cat-doped layer. Annealing process plays an important role in improving the passivation quality of SiN x films. The outstanding results obtained imply that SiN x /P Cat-doped layers can be used as promising passivation layers in high-efficiency n-type c-Si solar cells.

  6. Fabrication and Surface Properties of Composite Films of SAM/Pt/ZnO/SiO 2

    KAUST Repository

    Yao, Ke Xin

    2008-12-16

    Through synthetic architecture and functionalization with self-assembled monolayers (SAMs), complex nanocomposite films of SAM/Pt/ZnO/SiO2 have been facilely prepared in this work. The nanostructured films are highly uniform and porous, showing a wide range of tunable wettabilities from superhydrophilicity to superhydrophobicity (water contact angles: 0° to 170°). Our approach offers synthetic flexibility in controlling film architecture, surface topography, coating texture, crystallite size, and chemical composition of modifiers (e.g., SAMs derived from alkanethiols). For example, wettability properties of the nanocomposite films can be finely tuned with both inorganic phase (i.e., ZnO/SiO2 and Pt/ZnO/SiO2) and organic phase (i.e., SAMs on Pt/ZnO/SiO2). Due to the presence of catalytic components Pt/ZnO within the nanocomposites, surface reactions of the organic modifiers can further take place at room temperature and elevated temperatures, which provides a means for SAM formation and elimination. Because the Pt/ZnO forms an excellent pair of metal-semiconductors for photocatalysis, the anchored SAMs can also be modified or depleted by UV irradiation (i.e., the films possess self-cleaning ability). Potential applications of these nanocomposite films have been addressed. Our durability tests also confirm that the films are thermally stable and structurally robust in modification- regeneration cycles. © 2008 American Chemical Society.

  7. AES study of the reaction between a thin Fe-film and β-SiC (100) surface

    International Nuclear Information System (INIS)

    Mizokawa, Yusuke; Nakanishi, Shigemitsu; Miyase, Sunao

    1989-01-01

    The solid state reaction between thin Fe-films and β-SiC(100) in UHV has been studied using AES. Even at room temperature, the reaction between the thin Fe-film and SiC occurred and formed Fe-silicide and graphite with a minor product of Fe-carbide (Fe 3 C). The reaction proceeded with an increase of Fe-coverage to some extent. With annealing of 15 A-Fe-film/SiC below 540degC, the Fe-silicide formation was accelerated, but because the amount of available Fe was small, the dissolved carbon atoms were forced to form not the Fe-carbide but the graphite phase. Above 640degC, the Fe-silicide started to decompose and the carbon atoms diffused to the surface and formed surface graphite layers. With annealing at 1080degC, the free-Si segregats at the surface and formed Si-Si bonds, as well as the Si-C bonds consuming the surface graphite phase. (author)

  8. Fabrication and Surface Properties of Composite Films of SAM/Pt/ZnO/SiO 2

    KAUST Repository

    Yao, Ke Xin; Zeng, Hua Chun

    2008-01-01

    Through synthetic architecture and functionalization with self-assembled monolayers (SAMs), complex nanocomposite films of SAM/Pt/ZnO/SiO2 have been facilely prepared in this work. The nanostructured films are highly uniform and porous, showing a

  9. Annealing effects on photoluminescence of SiNx films grown by PECVD

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Milchanin, O.V.; Togambayeva, A.K.; Kovalchuk, N.S.

    2013-01-01

    Si-rich and N-rich silicon nitride films were deposited at low temperature 300 °C by using plasma-enhanced chemical vapor deposition (PECVD). The optical and structural properties of these films have been investigated by ellipsometry, Rutherford backscattering (RBS), transmission electron microscopy (TEM), Raman spectroscopy (RS) and photoluminescence (PL). The formation of silicon clusters in both Si-rich and N-rich silicon nitride films after annealing at 900 °C and 1000 °C for hour in N 2 ambient has been revealed by TEM. Dependency of PL spectra on stoichiometry and post-annealing temperature was analyzed. The contribution of Si and N-related defects in emitting properties of Si-rich and N-rich SiN x has been discussed. (authors)

  10. Characterisation of NdFeB thin films prepared on (100)Si substrates with SiO2 barrier layers

    International Nuclear Information System (INIS)

    Sood, D.K.; Muralidhar, G.K.

    1998-01-01

    This work presents a systematic study of the deposition and characterization of NdFeB films on substrates of Si(100) and of SiO2 layer thermally grown on Si(100) held at RT, 360 deg C or 440 deg C. The post-deposition annealing is performed at 600 or 800 deg C in vacuum. The films are characterised using the analytical techniques of RBS, SIMS, XRD, OM and SEM. Results indicate that SiO2 is, in deed, an excellent diffusion barrier layer till 600 deg C but becomes relatively less effective at 800 deg C. Without this barrier layer, interdiffusion at the Si-NdFeB film interface leads to formation of iron silicides, α-Fe and B exclusion from the diffusion zone, in competition with the formation of the magnetic NdFeB phase. (authors)

  11. The properties of TiN ultra-thin films grown on SiO{sub 2} substrate by reactive high power impulse magnetron sputtering under various growth angles

    Energy Technology Data Exchange (ETDEWEB)

    Shayestehaminzadeh, S., E-mail: ses30@hi.is [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Tryggvason, T.K. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Karlsson, L. [School of Engineering and Science, Jacobs University Bremen, Campus Ring 1, 28759 Bremen (Germany); Olafsson, S. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Gudmundsson, J.T. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); University of Michigan-Shanghai Jiao Tong University, University Joint Institute, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 200240 (China)

    2013-12-02

    Thin TiN films were grown on SiO{sub 2} by reactive high power impulse magnetron sputtering (HiPIMS) and conventional dc magnetron sputtering (dcMS) while varying the angle between the target and the substrate surface from 0° (on-axis growth) to 90° (off-axis growth). Surface morphology and structural characterization were carried out using X-ray diffraction and reflection methods and the film properties were compared. The dcMS process shows higher growth rate than the HiPIMS process for on-axis grown films but the dcMS growth rate drops drastically for off-axis growth while the HiPIMS growth rate decreases slowly with increased angle between target and substrate for off-axis growth and becomes comparable to the dcMS growth rate. The dcMS grown films exhibit angle dependence in the density and surface roughness while the HiPIMS process creates denser and smoother films that are less angle dependent in all aspects. It was observed that the HiPIMS grown films remain poly-crystalline for all angles of rotation while the dcMS grown films are somewhat amorphous after 60°. The [111] and [200] grain sizes are comparable to the total film thickness in the HiPIMS grown films for all angles of rotation. In the case of dcMS, the [111], [200] and [220] grain sizes are roughly of the same size and much smaller than the total thickness for all growth angles except at 60° and higher. - Highlights: • TiN films were grown on SiO{sub 2} by HiPIMS and dcMS under various growth angles. • Influence of growth angle α = 0–90° on deposition rate and film quality was studied. • The HiPIMS process produces denser and smoother films for all growth angles. • At α = 0°, the growth rate of HiPIMS is 25% of dcMS while it is 50% at 90°. • The HiPIMS grown films remain poly-crystalline for all growth angles.

  12. Excellent Brightness with Shortening Lifetime of Textured Zn2SiO4:Mn2+ Phosphor Films on Quartz Glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Kim, Seongsin Margaret; Kung, Patrick

    2010-04-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor films were fabricated by the thermal diffusion of ZnO:Mn on quartz glass. The Zn2SiO4:Mn2+ phosphor films became textured along several hexagonal directions and their chemical composition was continuously graded at the interface. The decay time of Mn2+ was as short as 4.4 ms, and the optical transition probability of the films defined as the inverse of decay time showed a strong correlation with film texture degree as a function of annealing temperature. The brightest Zn2SiO4:Mn2+ film showed a photoluminescent brightness as high as 65% compared with a commercial Zn2SiO4:Mn2+ phosphor powder screen and a maximum absolute transparency of 70%. These excellent optical properties are explained by the combination of the unique textured structure and continuous grading of the Zn2SiO4:Mn2+ chemical composition at the interface.

  13. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  14. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    Science.gov (United States)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  15. Cell adhesion to cathodic arc plasma deposited CrAlSiN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun Kyu, E-mail: skim@ulsan.ac.kr [School of Materials Science and Engineering, University of Ulsan, Ulsan 680-749 (Korea, Republic of); Pham, Vuong-Hung [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Kim, Chong-Hyun [Department of Food Science, Cornell University, Ithaca, NY 14853 (United States)

    2012-07-01

    Osteoblast cell response (cell adhesion, actin cytoskeleton and focal contact adhesion as well as cell proliferation) to CrN, CrAlSiN and Ti thin films was evaluated in vitro. Cell adhesion and actin stress fibers organization depended on the film composition significantly. Immunofluorescent staining of vinculin in osteoblast cells showed good focal contact adhesion on the CrAlSiN and Ti thin films but not on the CrN thin films. Cell proliferation was significantly greater on the CrAlSiN thin films as well as on Ti thin films than on the CrN thin films.

  16. Shift in room-temperature photoluminescence of low-fluence Si{sup +}-implanted SiO{sub 2} films subjected to rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Mingyue, Fu [Department of Avionics Engineering, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Tsai, J -H [Department of Mathematics and Physics, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Yang, C -F [Department of Chemical and Materials Engineering, National Kaohsiung University, Nan-Tzu District, Kaohsiung 811, Taiwan (China); Liao, C.-H. [Department of Physics, Chinese Military Academy, Fengshan, Kaohsiung 830, Taiwan (China)], E-mail: fumy@cc.cafa.edu.tw

    2008-12-15

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO{sub 2} films implanted by different doses of Si{sup +} ions. Room-temperature PL from 400-nm-thick SiO{sub 2} films implanted to a dose of 3x10{sup 16} cm{sup -2} shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO{sub 2} films followed by RTA may be effective for tuning Si-based photonic devices.

  17. Comparison of the quality of the chest film between digital radiography and conventional high kV radiography

    International Nuclear Information System (INIS)

    Zeng Qingsi; Cen Renli; Chen Ling; He Jianxun; Lin Hanfei

    2003-01-01

    Objective: To evaluate the quality and usefulness of direct digital radiography system in roentgenogram of chest in clinical practice. Methods: 1000 cases of chest roentgenograms with digital radiography and high kV conventional radiography were selected for analysis by 3 senior radiologists. Results: 1. With digital radiography system, the quality of chest film was assessed as grade A in 50.6%, grade B in 38.5%, grade C in 10.9%, and no waste film. 2. With conventional high kV radiography, the quality of chest film was assessed as grade A in 41.1%, grade B in 44.1%, grade C in 13.3%, and waste film in 1.5%. The direct digital radiography was statistically superior to the conventional high kV radiography. 3. The fine structure of the lungs could be revealed in 100.0% of chest roentgenogram with direct digital radiograph system, which was significantly higher than that acquired with the conventional high KV radiography (78.6%, P < 0.001). Conclusion: Direct digital radiography could provide the chest film with better quality than that with the conventional high kV radiography. The direct digital radiography system is easy to operate, fast in capturing imaging and could provide post-processing techniques, which will facilitate the accurate diagnosis of chest radiography

  18. Highly sensitive work function hydrogen gas sensor based on PdNPs/SiO2/Si structure at room temperature

    Directory of Open Access Journals (Sweden)

    G. Behzadi pour

    Full Text Available In this study, fabrication of highly sensitive PdNPs/SiO2/Si hydrogen gas sensor using experimental and theoretical methods has been investigated. Using chemical method the PdNPs are synthesized and characterized by X-ray diffraction (XRD. The average size of PdNPs is 11 nm. The thickness of the oxide film was 20 nm and the surface of oxide film analyzed using Atomic-force microscopy (AFM. The C-V curve for the PdNPs/SiO2/Si hydrogen gas sensor in 1% hydrogen concentration and at the room temperature has been reported. The response time and recovery time for 1% hydrogen concentration at room temperature were 1.2 s and 10 s respectively. The response (R% for PdNPs/SiO2/Si MOS capacitor hydrogen sensor was 96%. The PdNPs/SiO2/Si MOS capacitor hydrogen sensor showed very fast response and recovery times compared to SWCNTs/PdNPs, graphene/PdNPs, nanorod/PdNPs and nanowire/PdNPs hydrogen gas sensors. Keywords: Sensitive, Oxide film, Capacitive, Resistance

  19. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  20. Chemical state analysis of heavily phosphorus-doped epitaxial silicon films grown on Si (1 0 0) by X-ray photoelectron spectroscopy

    Science.gov (United States)

    Lee, Minhyeong; Kim, Sungtae; Ko, Dae-Hong

    2018-06-01

    In this work, we investigated the chemical bonding states in highly P-doped Si thin films epitaxially grown on Si (0 0 1) substrates using high-resolution X-ray photoelectron spectroscopy (HR-XPS). HR-XPS P 2p core-level spectra clearly show spin-orbital splitting between P 2p1/2 and P 2p3/2 peaks in Si films doped with a high concentration of P. Moreover, the intensities of P 2p1/2 and P 2p3/2 peaks for P-doped Si films increase with P concentrations, while their binding energies remained almost identical. These results indicate that more P atoms are incorporated into the substitutional sites of the Si lattice with the increase of P concentrations. In order to identify the chemical states of P-doped Si films shown in XPS Si 2p spectra, the spectra of bulk Si were subtracted from those of Si:P samples, which enables us to clearly identify the new chemical state related to Sisbnd P bonds. We observed that the presence of the two well-resolved new peaks only for the Si:P samples at the binding energy higher than those of a Sisbnd Si bond, which is due to the strong electronegativity of P than that of Si. Experimental findings in this study using XPS open up new doors for evaluating the chemical states of P-doped Si materials in fundamental researches as well as in industrial applications.

  1. Double transparent conducting layers for Si photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Yun, Ju-Hyung [Department of Electrical Engineering, University at Buffalo, State University of New York, Buffalo, NY 14260 (United States); Kim, Joondong, E-mail: joonkim@incheon.ac.kr [Department of Electrical Engineering, Incheon National University, Incheon, 406772 (Korea, Republic of); Park, Yun Chang [Measurement and Analysis Division, National Nanofab Center (NNFC), Daejeon 305806 (Korea, Republic of); Moon, Sang-Jin [Energy Materials Research Center, Korea Research Institute of Chemical Technology (KRICT), Daejeon 305-600 (Korea, Republic of); Anderson, Wayne A. [Department of Electrical Engineering, University at Buffalo, State University of New York, Buffalo, NY 14260 (United States)

    2013-11-29

    Double transparent conductive oxide (TCO) film-embedded Si heterojunction solar cells were fabricated. An intentional doping was not applied for heterojunction solar cells due to the spontaneous Schottky junction formation between TCO films and an n-type Si substrate. Three different TCO coatings were formed by sputtering method for an Al-doped ZnO (AZO) film, an indium-tin-oxide (ITO) film and double stacks of ITO/AZO films. An improved crystalline ITO film was grown on an AZO template upon hetero-epitaxial growth. This double TCO films-embedded Si (ITO/AZO/Si) heterojunction solar cell provided significantly enhanced efficiency of 9.23 % as compared to the single TCO/Si (ITO/Si or AZO/Si) devices due to the optical and the electrical benefits. The effective arrangement of TCO films (ITO/AZO) provides benefits of a lower front contact resistance and a smaller band offset to Si leading enhanced photovoltaic performances. This demonstrates a potential scheme for an effective TCO film-embedded heterojunction Si solar cell. - Highlights: • Double transparent conducting oxide films form a heterojunction to Si. • A quality indium-tin-oxide film was grown above an Al-doped zinc oxide template. • Heterojunction Si solar cell was made without an intentional doping process.

  2. Double transparent conducting layers for Si photovoltaics

    International Nuclear Information System (INIS)

    Yun, Ju-Hyung; Kim, Joondong; Park, Yun Chang; Moon, Sang-Jin; Anderson, Wayne A.

    2013-01-01

    Double transparent conductive oxide (TCO) film-embedded Si heterojunction solar cells were fabricated. An intentional doping was not applied for heterojunction solar cells due to the spontaneous Schottky junction formation between TCO films and an n-type Si substrate. Three different TCO coatings were formed by sputtering method for an Al-doped ZnO (AZO) film, an indium-tin-oxide (ITO) film and double stacks of ITO/AZO films. An improved crystalline ITO film was grown on an AZO template upon hetero-epitaxial growth. This double TCO films-embedded Si (ITO/AZO/Si) heterojunction solar cell provided significantly enhanced efficiency of 9.23 % as compared to the single TCO/Si (ITO/Si or AZO/Si) devices due to the optical and the electrical benefits. The effective arrangement of TCO films (ITO/AZO) provides benefits of a lower front contact resistance and a smaller band offset to Si leading enhanced photovoltaic performances. This demonstrates a potential scheme for an effective TCO film-embedded heterojunction Si solar cell. - Highlights: • Double transparent conducting oxide films form a heterojunction to Si. • A quality indium-tin-oxide film was grown above an Al-doped zinc oxide template. • Heterojunction Si solar cell was made without an intentional doping process

  3. Microscopic Mechanism of the Staebler-Wronski Effect in a-Si Films and High-Efficiency Solar Cells: Final Subcontract Report, 1 October 2001--30 September 2004

    Energy Technology Data Exchange (ETDEWEB)

    Han, D.

    2005-05-01

    In high growth rate ({ge} 50 {angstrom}/s) HW-CVD a-Si:H films, for the first time, we show gaseous molecules in nanovoids ({approx}2% volume fraction of tube-like nanoscale voids), and demonstrate that confinement on the nanometer scale generates NMR effects that have never been observed in macroscopic systems. In the same system we found the PL peak red shift. We suggest that highly strained bonds on the inner surfaces of the nanoscale voids form broad conduction-band tail states that are responsible for the PL red shift. We characterized the structural transition from a- to nc-Si as function of H-dilution, thickness and T{sub s} of both HW- and PE-CVD films using IR, Raman, PL, CPM/PDS and E{sub a} et al. We found not only the c-Si volume fraction but also the g.b. and microstructures play an important role in the properties of the i-layer and their solar cell performance. We found a narrow structural transition zone in which the bond-angle variation, {Delta}{Theta}, decreases from 10{sup o} to 8{sup o}. For nc-Si samples, we found a characteristic low energy PL peak and proved that is originated from the g.b. regions. Using micro-Raman, we found the structural non-uniformity in the mixed-phase solar cells that showed V{sub oc} enhancement after light soaking. Using micro-Raman, we also found the slight increase of crystallinity in M/{mu}c-Si/M devices after current forming.

  4. Structural and optical characteristics of in-situ sputtered highly oriented 15R-SiC thin films on different substrates

    Science.gov (United States)

    Mourya, Satyendra; Jaiswal, Jyoti; Malik, Gaurav; Kumar, Brijesh; Chandra, Ramesh

    2018-01-01

    In this work, we have reported the in-situ fabrication of nanocrystalline rhombohedral silicon carbide (15R-SiC) thin films by RF-magnetron sputtering at 800 °C substrate temperature. The structural and optical properties were investigated for the films grown on four different substrates (ZrO2, MgO, SiC, and Si). The contact angle measurement was performed on all the substrates to investigate the role of interfacial surface energy in nucleation and growth of the films. The XRD measurement revealed the growth of (1 0 10) orientation for all the samples and demonstrated better crystallinity on Si substrate, which was further corroborated by the TEM results. The Raman spectroscopy confirmed the growth of rhombohedral phase with 15R polytype. Surface characteristics of the films have been investigated by energy dispersive x-ray spectroscopy, FTIR, and atomic force microscope (AFM) to account for chemical composition, bonding, and root mean square surface roughness (δrms). The optical dispersion behavior of 15R-SiC thin films was examined by variable angle spectroscopic ellipsometry in the wide spectral range (246-1688 nm), including the surface characteristics in the optical model. The non-linear optical parameters (χ3 and n2) of the samples have been calculated by the Tichy and Ticha relation using a single effective oscillator model of Wemple and Didomenico. Additionally, our optical results provided an alternative way to measure the ratio of carrier concentration to the effective mass (N/m*). These investigated optical parameters allow one to design and fabricate optoelectronic, photonic, and telecommunication devices for deployment in extreme environment.

  5. Thermoelectric Properties of Nanograined Si-Ge-Au Thin Films Grown by Molecular Beam Deposition

    Science.gov (United States)

    Nishino, Shunsuke; Ekino, Satoshi; Inukai, Manabu; Omprakash, Muthusamy; Adachi, Masahiro; Kiyama, Makoto; Yamamoto, Yoshiyuki; Takeuchi, Tsunehiro

    2018-06-01

    Conditions to achieve extremely large Seebeck coefficient and extremely small thermal conductivity in Si-Ge-Au thin films formed of nanosized grains precipitated in amorphous matrix have been investigated. We employed molecular beam deposition to prepare Si1- x Ge x Au y thin films on sapphire substrate. The deposited films were annealed under nitrogen gas atmosphere at 300°C to 500°C for 15 min to 30 min. Nanocrystals dispersed in amorphous matrix were clearly observed by transmission electron microscopy. We did not observe anomalously large Seebeck coefficient, but very low thermal conductivity of nearly 1.0 W K-1 m-1 was found at around 0.2 Si-Ge bulk material for which dimensionless figure of merit of ZT ≈ 1 was reported at high temperature.

  6. Green-emissive transparent BaSi 2O 5:Eu 2 + film phosphor on quartz glass created by a sputtering thermal diffusion process

    Science.gov (United States)

    Seo, K. I.; Park, J. H.; Kim, J. S.; Na, Y. H.; Choi, J. C.; Bae, J. S.

    2009-10-01

    Eu 2+-doped BaSi 2O 5 film phosphors on quartz substrates are fabricated by radio-frequency magnetron sputtering thermal diffusion. The BaSi 2O 5: Eu 2+ phosphor crystals have some preferred orientations that are lattice-spacing matched with the crystallized β- SiO 2 crystals, and they show pore and grain boundary-free morphology with a rod-like shape fused into the crystallized β- SiO 2 crystals. The BaSi 2O 5: Eu 2+ film phosphor has a high transparency, with a transmittance of about 30% in visible light. The BaSi 2O 5: Eu 2+ film phosphor shows 510 nm green emission from the f-d transition of the Eu 2+ ions, and in particular the best sample shows a green photoluminescence brightness of about 5% of a BaSi 2O 5: Eu 2+ powder phosphor screen. These excellences in optical properties can be explained by less optical scattering at pores or grain boundaries, and less reflection at the continuously index-changed interface.

  7. Electronic transport properties of nano-scale Si films: an ab initio study

    Science.gov (United States)

    Maassen, Jesse; Ke, Youqi; Zahid, Ferdows; Guo, Hong

    2010-03-01

    Using a recently developed first principles transport package, we study the electronic transport properties of Si films contacted to heavily doped n-type Si leads. The quantum transport analysis is carried out using density functional theory (DFT) combined with nonequilibrium Green's functions (NEGF). This particular combination of NEGF-DFT allows the investigation of Si films with thicknesses in the range of a few nanometers and lengths up to tens of nanometers. We calculate the conductance, the momentum resolved transmission, the potential profile and the screening length as a function of length, thickness, orientation and surface structure. Moreover, we compare the properties of Si films with and without a top surface passivation by hydrogen.

  8. Growth of high quality large area MgB2 thin films by reactive evaporation

    OpenAIRE

    Moeckly, Brian H.; Ruby, Ward S.

    2006-01-01

    We report a new in-situ reactive deposition thin film growth technique for the production of MgB2 thin films which offers several advantages over all existing methods and is the first deposition method to enable the production of high-quality MgB2 films for real-world applications. We have used this growth method, which incorporates a rotating pocket heater, to deposit MgB2 films on a variety of substrates, including single-crystalline, polycrystalline, metallic, and semiconductor materials u...

  9. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    Science.gov (United States)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  10. Reactively sputtered Ti-Si-N films for application as heating layers for low-current phase-change memory

    International Nuclear Information System (INIS)

    Yin, You; Noguchi, Tomoyuki; Ota, Kazuhiro; Higano, Naoya; Sone, Hayato; Hosaka, Sumio

    2009-01-01

    In this study, we investigate the properties of Ti-Si-N films for the application as the heating layers in phase-change memory (PCM). The experimental results show that the resistivity of Ti-Si-N films can be varied by over six orders of magnitude from 2.18 x 10 4 to 3.9x10 2 Ω-cm by increasing the flow rate ratio [N 2 /(N 2 +Ar)] from 0 to 10%. The controllability of resistivity might result from the concentration change from Ti-Si to mixture of TiN and Si 3 N 4 . Reversible switching was also successfully demonstrated by using a lateral PCM with these heating layers. The stability of the Ti-Si-N films at high temperatures implies that they can be used as the heating layers in the conventional vertical PCMs for current reduction.

  11. Structure and scintillation properties of CsI(Tl) films on Si single crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Lina [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu, Shuang, E-mail: shuangliu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Chen, Dejun; Zhang, Shangjian; Liu, Yong; Zhong, Zhiyong [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Falco, Charles M. [University of Arizona, College of Optical Sciences, AZ 85721 (United States)

    2016-10-30

    Highlights: • We obtained the desired micro-columnar structure of CsI(Tl) films on the orienting Si substrates. • We improved the micro-columnar structure of CsI(Tl) films under the relatively large deposition rate through using the substrate with a pre-deposited CsI nanolayer. • We modeled the interface structures between the CsI(Tl) films with (200) and (310) orientation and Si(111) substrates to explain the preferred orientation of film under the influence of the orienting substrate significantly. • We gained a new spectrum of the CsI(Tl) films peaked at 740 nm wavelength. - Abstract: CsI(Tl) scintillation films fabricated on glass substrates are widely applied for X-ray imaging because their ability to grow in micro-columnar structure and proper emission wavelength matching CCD cameras. But the coupling process between the CsI(Tl) films and Si-based photo detector would cause coupling loss. In this work, CsI(Tl) films were deposited on the orienting Si substrates and the Si substrates covered by the pre-deposited CsI nanolayers. Structure and scintillation properties of films were examined by using scanning electron microscopy, X-ray diffraction, photoluminescence and radioluminescent spectrum. The films deposited on the orienting Si substrates show the micro-columnar morphology with perfect single crystalline structure and the photoluminescence spectra with bimodal distribution. The performances of the films prepared on the pre-deposited CsI nanolayer, containing micro-columns structure and the light yield are improved.

  12. Structure and scintillation properties of CsI(Tl) films on Si single crystal substrates

    International Nuclear Information System (INIS)

    Guo, Lina; Liu, Shuang; Chen, Dejun; Zhang, Shangjian; Liu, Yong; Zhong, Zhiyong; Falco, Charles M.

    2016-01-01

    Highlights: • We obtained the desired micro-columnar structure of CsI(Tl) films on the orienting Si substrates. • We improved the micro-columnar structure of CsI(Tl) films under the relatively large deposition rate through using the substrate with a pre-deposited CsI nanolayer. • We modeled the interface structures between the CsI(Tl) films with (200) and (310) orientation and Si(111) substrates to explain the preferred orientation of film under the influence of the orienting substrate significantly. • We gained a new spectrum of the CsI(Tl) films peaked at 740 nm wavelength. - Abstract: CsI(Tl) scintillation films fabricated on glass substrates are widely applied for X-ray imaging because their ability to grow in micro-columnar structure and proper emission wavelength matching CCD cameras. But the coupling process between the CsI(Tl) films and Si-based photo detector would cause coupling loss. In this work, CsI(Tl) films were deposited on the orienting Si substrates and the Si substrates covered by the pre-deposited CsI nanolayers. Structure and scintillation properties of films were examined by using scanning electron microscopy, X-ray diffraction, photoluminescence and radioluminescent spectrum. The films deposited on the orienting Si substrates show the micro-columnar morphology with perfect single crystalline structure and the photoluminescence spectra with bimodal distribution. The performances of the films prepared on the pre-deposited CsI nanolayer, containing micro-columns structure and the light yield are improved.

  13. Tribology of silicon-thin-film-coated SiC ceramics and the effects of high energy ion irradiation

    International Nuclear Information System (INIS)

    Kohzaki, Masao; Noda, Shoji; Doi, Harua

    1990-01-01

    The sliding friction coefficients and specific wear of SiC ceramics coated with a silicon thin film (Si/SiC) with and without subsequent Ar + irradiation against a diamond pin were measured with a pin-on-disk tester at room temperature in laboratory air of approximately 50% relative humidity without oil lubrication for 40 h. The friction coefficient of Ar + -irradiated Si/SiC was about 0.05 with a normal load of 9.8 N and remained almost unchanged during the 40 h test, while that of SiC increased from 0.04 to 0.12 during the test. The silicon deposition also reduced the specific wear of SiC to less than one tenth of that of the uncoated SiC. Effectively no wear was detected in Si/SiC irradiated to doses of over 2x10 16 ions cm -2 . (orig.)

  14. Technological development for super-high efficiency solar cells. Technological development for super-high efficiency singlecrystalline silicon solar cells (super-high efficiency singlecrystalline Si solar cells); Chokokoritsu taiyo denchi no gijutsu kaihatsu. Chokokoritsu tankessho silicon taiyo denchi no gijutsu kaihatsu (chokokoritsu tankessho silicon taiyo denchi cell no gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    Tatsuta, M [New Energy and Industrial Technology Development Organization, Tokyo (Japan)

    1994-12-01

    This paper reports the study results on technological development of super-high efficiency singlecrystalline silicon solar cells in fiscal 1994. (1) On development of high-performance light receiving layer, the fine electrode for receiving surfaces was designed to reduce serial resistance, and the high-quality oxide passivation film was studied to reduce surface recombination velocity. (2) On development of forming technology of back heterojunction, the high-quality cell with B-doped fine crystalline Si film on its back was studied by heat treatment of the fine crystalline Si film, and the cell structure with high back reflectance of light was also studied. (3) On analysis for high-efficiency cells, the relation between the back recombination velocity at the interface between p-type substrate and back passivation film, and the internal collection efficiency as probe light was injected from the back, was calculated by numerical simulation. As a result, the cell back recombination velocity could be evaluated by measuring the spectral internal collection efficiency to back injection. 15 figs., 6 tabs.

  15. Positron annihilation in Si and Si-related materials in thermal equilibrium at high temperature

    International Nuclear Information System (INIS)

    Uedono, A.; Muramatsu, M.; Ubukata, T.; Tanino, H.; Shiraishi, T.; Tanigawa, S.; Takasu, S.

    2001-01-01

    Annihilation characteristics of positrons in the carbon/Si structure in thermal equilibrium at high temperature were studied using a monoenergetic positron beam. Doppler broadening spectra of the annihilation radiation were measured as a function of incident positron energy in the temperature range between 298 K and 1473 K. Above 1173 K, the value of S corresponding to the annihilation of positrons near the carbon/Si interface started to increase, which was attributed to the carbonization of Si and the introduction of open-space defects due to the diffusion of Si atoms toward the carbon layer. The behavior of Ps in a thermally grown SiO 2 film was also studied at 298-1523 K. (orig.)

  16. Perovskite oxynitride LaTiO{sub x}N{sub y} thin films: Dielectric characterization in low and high frequencies

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Y.; Ziani, A. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Le Paven-Thivet, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Fasquelle, D. [Laboratoire d' Etude des Materiaux et des Composants pour l' Electronique (LEMCEL) UPRES-EA 2601, University of Littoral-Cote d' Opale, 50 rue Ferdinand Buisson, F-62228 Calais cedex (France); Kassem, H. [Laboratoire de l' Integration du Materiau au Systeme(IMS) UMR-CNRS 5218, groupe Materiaux, University of Bordeaux 1, 16 avenue Pey-Berland, 33607 Pessac (France); and others

    2011-11-01

    Lanthanum titanium oxynitride (LaTiO{sub x}N{sub y}) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO{sub x}N{sub y} thin films deposited on conductive single crystal Nb-STO show a dielectric constant {epsilon} Prime Almost-Equal-To 140 with low losses tan{delta} = 0.012 at 100 kHz. For the LaTiO{sub x}N{sub y} polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO{sub 2}/Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO{sub x}N{sub y} films deposited on MgO substrate present a high dielectric constant with low losses ({epsilon} Prime Almost-Equal-To 170, tan{delta} = 0.011, 12 GHz).

  17. Formation mechanisms of metallic Zn nanodots by using ZnO thin films deposited on n-Si substrates

    International Nuclear Information System (INIS)

    Yuk, J. M.; Lee, J. Y.; Kim, Y.; No, Y. S.; Kim, T. W.; Choi, W. K.

    2010-01-01

    High-resolution transmission electron microscopy and energy dispersive x-ray spectroscopy results showed that metallic Zn nanodots (NDs) were fabricated through transformation of ZnO thin films by deposition of SiO x on ZnO/n-Si (100) heterostructures. The Zn NDs with various sizes and densities were formed due to the occurrence of the mass diffusion of atoms along the grain boundaries in the ZnO thin films. The fabrication mechanisms of metallic Zn NDs through transformation of ZnO thin films deposited on n-Si substrates are described on the basis of the experimental results.

  18. A thin film approach for SiC-derived graphene as an on-chip electrode for supercapacitors

    Science.gov (United States)

    Ahmed, Mohsin; Khawaja, Mohamad; Notarianni, Marco; Wang, Bei; Goding, Dayle; Gupta, Bharati; Boeckl, John J.; Takshi, Arash; Motta, Nunzio; Saddow, Stephen E.; Iacopi, Francesca

    2015-10-01

    We designed a nickel-assisted process to obtain graphene with sheet resistance as low as 80 Ω square-1 from silicon carbide films on Si wafers with highly enhanced surface area. The silicon carbide film acts as both a template and source of graphitic carbon, while, simultaneously, the nickel induces porosity on the surface of the film by forming silicides during the annealing process which are subsequently removed. As stand-alone electrodes in supercapacitors, these transfer-free graphene-on-chip samples show a typical double-layer supercapacitive behaviour with gravimetric capacitance of up to 65 F g-1. This work is the first attempt to produce graphene with high surface area from silicon carbide thin films for energy storage at the wafer-level and may open numerous opportunities for on-chip integrated energy storage applications.

  19. Correlation between the dielectric constant and X-ray diffraction pattern of Si-O-C thin films with hydrogen bonds

    International Nuclear Information System (INIS)

    Oh, Teresa; Oh, Kyoung Suk; Lee, Kwang-Man; Choi, Chi Kyu

    2004-01-01

    The amorphous structure of organic-inorganic hybrid type Si-O-C thin films was studied using the first principles molecular-dynamics method with density functional techniques. The correlation between the dielectric constant and the degree of amorphous structure in organic-inorganic hybrid type Si-O-C thin films was studied. Si-O-C thin films were deposited by high-density plasma chemical vapor deposition using bis-trimethylsilylmethane and oxygen precursors. As-deposited films and films annealed at 500 deg. C were analyzed by X-ray diffraction (XRD). For quantitative analysis, the X-ray diffraction patterns of the samples were transformed to the radial distribution function (RDF) using Fourier analysis. Hybrid type Si-O-C thin films can be divided into three types using their amorphous structure and the dielectric constant: those with organic, hybrid, and inorganic properties

  20. High Rate Micromechanical Behavior of Grafted Polymer Nanoparticle Films

    Science.gov (United States)

    Thomas, Edwin

    We report the ultra high strain rate behavior of films comprised of polymer grafted nanoparticles (NPs) and compare the results to homopolymer films. The films are formed by flow coating a suspension of polystyrene (PS) chains of 230 kg/mol grafted to 16nm diameter SiO2\\ at a graft density of 0.6 chains/nm2 resulting a film with 1 vol % SiO2. Films of 267 kg/mol PS were also flow coated and both films were impacted at velocities 350-700 ms-1 using 3.7 micron SiO2\\ projectiles to achieve increments in kinetic energy (KE) of 1:2:4. The KE of the projectiles before and after penetration was measured to determine the penetration energy. TEM and SEM suggest the projectile initially induces plastic flow due to the adiabatic temperature rise from impact. As the projectile deforms the film, the lower magnitude, biaxial stress state in the peripherial regions causes material microvoid formation and initiation of craze growth in the radial and tangential directions. The anchoring of the grafted polymer chains to the NPs increases the penetration energy relative to the pure homopolymer by 50% and the films capacity to delocalize the impact by 200%. These results suggest that highly grafted NP films may be useful in lightweight protection systems. In collaboration with Omri Fried, Olawale Lawal, Yang Jiao, Victor Hsaio, Thevamaran Ramathasan, Mujin Zhou, Richard Vaia.

  1. Radicals and ions controlling by adjusting the antenna-substrate distance in a-Si:H deposition using a planar ICP for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, H.P., E-mail: haipzhou@uestc.edu.cn [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, S., E-mail: shuyan.xu@nie.edu.sg [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, M. [Key Laboratory of Information Materials of Sichuan Province & School of Electrical and Information Engineering, Southwest University for Nationalities, Chengdu, 610041 (China); Xu, L.X.; Wei, D.Y. [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xiang, Y. [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Xiao, S.Q. [Key Laboratory of Advanced Process Control for Light Industry (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi, 214122 (China)

    2017-02-28

    Highlights: • A planar ICP was used to grow a-Si:H films for c-Si surface passivation. • The direct- and remote-plasma was compared for high-quality c-Si surface passivation. • The remote ICP with controlled plasma species and ion bombardments is preferable for the surface passivation of c-Si. - Abstract: Being a key issue in the research and fabrication of silicon heterojunction (SHJ) solar cells, crystalline silicon (c-Si) surface passivation is theoretically and technologically intricate due to its complicate dependence on plasma characteristics, material properties, and plasma-material interactions. Here amorphous silicon (a-Si:H) grown by a planar inductively coupled plasma (ICP) reactor working under different antenna-substrate distances of d was used for the surface passivation of low-resistivity p-type c-Si. It is found that the microstructures (i.e., the crystallinity, Si-H bonding configuration etc.) and passivation function on c-Si of the deposited a-Si:H were profoundly influenced by the parameter of d, which primarily determines the types of growing precursors of SiH{sub n}/H contributing to the film growth and the interaction between the plasma and growing surface. c-Si surface passivation is analyzed in terms of the d-dependent a-Si:H properties and plasma characteristics. The controlling of radical types and ion bombardment on the growing surface through adjusting parameter d is emphasized.

  2. Determination of wafer bonding mechanisms for plasma activated SiN films with x-ray reflectivity

    Energy Technology Data Exchange (ETDEWEB)

    Hayashi, S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Sun, Y [Department of Chemical Engineering, University of California, Los Angeles, CA 90095 (United States); Hicks, R [Department of Chemical Engineering, University of California, Los Angeles, CA 90095 (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2005-05-21

    Specular and diffuse x-ray reflectivity measurements were employed for wafer bonding studies of surface and interfacial reactions in {approx}800 A thick SiN films deposited on III-V substrates. CuK{sub {alpha}}{sub 1} radiation was employed for these measurements. The as-deposited films show very low surface roughness and uniform, high density SiN. Reflectivity measurements show that an oxygen plasma treatment converts the nitride surface to a somewhat porous SiO{sub x} layer (67 A thick, at 80% of SiO{sub 2} density), with confirmation of the oxide formation from x-ray photoelectron spectroscopy. Reactions at the bonded interface of two oxygen plasma treated SiN layers were examined using a bonded structure from which one of the III-V wafers is removed. Reflectivity measurements of bonded structures annealed at 150 deg. C and 300 deg. C show an increase in the SiO{sub x} layer density and thickness and even a density gradient across this interface. The increase in density is correlated with an increase in bond strength, where after the 300 deg. C anneal, a high interfacial bond strength, exceeding the bulk strength, was achieved.

  3. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  4. Corrosion behavior of nanostructured Ni-Si{sub 3}N{sub 4} composite films: A study of electrochemical impedance spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Li, J.M.; Cai, C.; Xue, M.Z.; Liu, Y.G. [School of Chemistry and Chemical Technology, Shanghai Jiao Tong University, Shanghai (China); Yin, J.Y.; Zhang, Z. [Department of Chemistry, Zhejiang University, Hangzhou (China); Key Laboratory for Light Alloy Materials Technology, JiaXing (China); Li, J.F. [School of Materials Science and Engineering, Central South University, Changsha (China); Yang, J.F. [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University (China)

    2012-07-15

    Ni-Si{sub 3}N{sub 4} nanocomposite films with both the consecutive Ni crystallites and dispersed Si{sub 3}N{sub 4} particles in the nanometer range have been fabricated using DC electroplating technique, and characterized by scanning electron microscopy (SEM), transmission electron microscope (TEM), and X-ray diffraction (XRD). The corrosion resistance of the Ni-Si{sub 3}N{sub 4} nanocomposite film has been compared to that of pure Ni coating through polarization. Meanwhile, the corrosion process of Ni-Si{sub 3}N{sub 4} nanocomposite film in neutral 3.5% NaCl solution has been investigated using electrochemical impedance spectroscopy (EIS). The results show that the Ni-Si{sub 3}N{sub 4} nanocomposite film is more resistant to corrosion than the pure Ni coating. The corrosion of Ni-Si{sub 3}N{sub 4} nanocomposite film is controlled by electrochemical step, and the whole corrosion process is divided into two sequential stages. The main corrosion type of Ni-Si{sub 3}N{sub 4} nanocomposite films in neutral 3.5% NaCl solution is pitting. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Growth process and structure of Er/Si(100) thin film

    International Nuclear Information System (INIS)

    Fujii, S.; Michishita, Y.; Miyamae, N.; Suto, H.; Honda, S.; Okado, H.; Oura, K.; Katayama, M.

    2006-01-01

    The solid-phase reactive epitaxial growth processes and structures of Er/Si(100) thin films were investigated by coaxial impact-collision ion scattering spectroscopy (CAICISS), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The as-deposited Er film grown at room temperature was transformed into crystalline rectangular-shaped islands after annealing at 900 deg. C. These islands have a hexagonal AlB 2 -type structure and the epitaxial relationship is determined to be ErSi 2 (011-bar0)[0001]//Si(100)[011-bar]. It has been revealed that the surface of the Er silicide island is terminated with an Er plane

  6. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  7. High-quality substrate for fluorescence enhancement using agarose-coated silica opal film.

    Science.gov (United States)

    Xu, Ming; Li, Juan; Sun, Liguo; Zhao, Yuanjin; Xie, Zhuoying; Lv, Linli; Zhao, Xiangwei; Xiao, Pengfeng; Hu, Jing; Lv, Mei; Gu, Zhongze

    2010-08-01

    To improve the sensitivity of fluorescence detection in biochip, a new kind of substrates was developed by agarose coating on silica opal film. In this study, silica opal film was fabricated on glass substrate using the vertical deposition technique. It can provide stronger fluorescence signals and thus improve the detection sensitivity. After coating with agarose, the hybrid film could provide a 3D support for immobilizing sample. Comparing with agarose-coated glass substrate, the agarose-coated opal substrates could selectively enhance particular fluorescence signals with high sensitivity when the stop band of the silica opal film in the agarose-coated opal substrate overlapped the fluorescence emission wavelength. A DNA hybridization experiment demonstrated that fluorescence intensity of special type of agarose-coated opal substrates was about four times that of agarose-coated glass substrate. These results indicate that the optimized agarose-coated opal substrate can be used for improving the sensitivity of fluorescence detection with high quality and selectivity.

  8. Single-phase {beta}-FeSi{sub 2} thin films prepared on Si wafer by femtosecond laser ablation and its photoluminescence at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lu Peixiang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)]. E-mail: lupeixiang@mail.hust.edu.cn; Zhou Youhua [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China) and Physics and Information School, Jianghan University, Wuhan 430056 (China)]. E-mail: yhzhou@jhun.edu.cn; Zheng Qiguang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); Yang Guang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2006-02-06

    Single-phase {beta}-FeSi{sub 2} thin films were prepared on Si(100) and Si(111) wafers by using femtosecond laser deposition with a FeSi{sub 2} alloy target for the first time. X-ray diffraction (XRD), field scanning electron microscopy (FSEM), scanning probe microscopy (SPM), electron backscattered diffraction pattern (EBSD), and Fourier-transform Raman infrared spectroscopy (FTRIS) were used to characterize the structure, composition, and properties of the {beta}-FeSi{sub 2}/Si films. The orientation of {beta}-FeSi{sub 2} grains was found to depend on the orientation of the Si substrates, and photoluminescence at wavelength of 1.53 {mu}m was observed from the single-phase {beta}-FeSi{sub 2}/Si thin film at room temperature (20 {sup o}C)

  9. Surface grafting density analysis of high anti-clotting PU-Si-g-P(MPC) films

    Energy Technology Data Exchange (ETDEWEB)

    Lu Chunyan [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Zhou Ninglin, E-mail: ninglinzhou@yahoo.com [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Technological Research Center for Interfacial Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China); Xiao Yinghong; Tang Yida; Jin Suxing; Wu Yue [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Zhang Jun; Shen Jian [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Technological Research Center for Interfacial Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China)

    2012-02-01

    Well-defined zwitterionic polymer brushes with good blood compatibility were studied, grafted from polyurethane (PU) substrate (PU-Si-g-P(MPC)) by surface-initiated reverse atom transfer radical polymerization (SI-RATRP). We found that the structure of polymer brushes and hence their properties greatly depend on the grafting density. To solve the problems of the normal method for grafting density measurement, i.e., more requirements for qualified and proficient instrument operator, we established an effective and feasible way instead of the conventional method of spectroscopic ellipsometer combined with gel permeation chromatograph (ELM/GPC) to calculate the grafting density of PU-Si-g-P(MPC) films by using a software named ImageJ 1.44e in combination with scanning electronic microscope (SEM) or atomic microscope (AFM). X-ray photoelectron spectroscopy (XPS), SEM and AFM were employed to analyze the surface topography and changes of elements before and after graft modification of the synthetic PU-Si-g-P(MPC) biofilms.

  10. Effect of Y2O3-Al2O3 ratio on inter-granular phases and films in tape-casting α-SiC with high toughness

    International Nuclear Information System (INIS)

    Huang Rong; Gu Hui; Zhang Jingxian; Jiang Dongliang

    2005-01-01

    Silicon carbide (SiC) ceramics prepared from liquid phase sintering after aqueous-tape-casting can yield high toughness when appropriate amount of Y 2 O 3 -Al 2 O 3 are added, even though no elongated grains are present. Grain boundaries (GB), second-phases and hetero-phase boundaries (HB) in 2 samples with additive mole ratios of 3:5 and 3:7 are investigated using high-resolution and analytical electron microscopy (HREM and AEM). The meta-stable YAlO 3 (YAP) was nucleated from SiC surfaces in the sample with Y/Al = 3:5 as revealed by crystallographic relations across the HB, whilst relatively thick amorphous films were found at GB. In contrary, the higher level of Al 2 O 3 additives decreases the GB film thickness in the sample with Y/Al = 3:7, and the homogeneous nucleation of Y 3 Al 5 O 12 (YAG) occurs at triple pockets accompanying with thick HB films. The strong variation of GB widths is a result of GB wetting in the sample with Y/Al = 3:5 and HB wetting in the sample of Y/Al = 3:7, both by liquid Al 2 O 3 . The energy of GB in the former sample is higher than the energy of HB as exhibited by the preferential nucleation of meta-stable YAP on SiC surfaces, which results in wetting of GB by the liquid; the situation is opposite in the latter sample as the wetting of HB occurs, leading to de-wetting of GB. The thermal mismatch between SiC and YAP or YAG as well as the presence of amorphous films facilitate the creation of micro-crack to promote inter-granular fracture and result in high toughness in both SiC ceramics

  11. Amorphous intergranular films in silicon nitride ceramics quenched from high temperatures

    International Nuclear Information System (INIS)

    Cinibulk, M.K.; Kleebe, H.; Schneider, G.A.; Ruehle, M.

    1993-01-01

    High-temperature microstructure of an MgO-hot-pressed Si 3 N 4 and a Yb 2 O 3 + Al 2 O 3 -sintered/annealed Si 3 N 4 were obtained by quenching thin specimens from temperatures between 1,350 and 1,550 C. Quenching materials from 1,350 C produced no observable exchanges in the secondary phases at triple-grain junctions or along grain boundaries. Although quenching from temperatures of ∼1,450 C also showed no significant changes in the general microstructure or morphology of the Si 3 N 4 grains, the amorphous intergranular film thickness increased substantially from an initial ∼1 nm in the slowly cooled material to 1.5--9 nm in the quenched materials. The variability of film thickness in a given material suggests a nonequilibrium state. Specimens quenched from 1,550 C revealed once again thin (1-nm) intergranular films at all high-angle grain boundaries, indicating an equilibrium condition. The changes observed in intergranular-film thickness by high-resolution electron microscopy can be related to the eutectic temperature of the system and to diffusional and viscous processes occurring in the amorphous intergranular film during the high-temperature anneal prior to quenching

  12. Simultaneous ultra-long data retention and low power based on Ge10Sb90/SiO2 multilayer thin films

    Science.gov (United States)

    You, Haipeng; Hu, Yifeng; Zhu, Xiaoqin; Zou, Hua; Song, Sannian; Song, Zhitang

    2018-02-01

    In this article, Ge10Sb90/SiO2 multilayer thin films were prepared to improve thermal stability and data retention for phase change memory. Compared with Ge10Sb90 monolayer thin film, Ge10Sb90 (1 nm)/SiO2 (9 nm) multilayer thin film had higher crystallization temperature and resistance contrast between amorphous and crystalline states. Annealed Ge10Sb90 (1 nm)/SiO2 (9 nm) had uniform grain with the size of 15.71 nm. After annealing, the root-mean-square surface roughness for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film increased slightly from 0.45 to 0.53 nm. The amorphization time for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film (2.29 ns) is shorter than Ge2Sb2Te5 (3.56 ns). The threshold voltage of a cell based on Ge10Sb90 (1 nm)/SiO2 (9 nm) (3.57 V) was smaller than GST (4.18 V). The results indicated that Ge10Sb90/SiO2 was a promising phase change thin film with high thermal ability and low power consumption for phase change memory application.

  13. Electrical evaluation of crack generation in SiN_x and SiO_xN_y thin-film encapsulation layers for OLED displays

    International Nuclear Information System (INIS)

    Park, Eun Kil; Kim, Sungmin; Heo, Jaeyeong; Kim, Hyeong Joon

    2016-01-01

    Highlights: • Crack generation in encapsulation layers were detected by leakage current. • Atomic concentration of SiO_xN_y films affected the bending reliability. • The shapes of the crack tips were affected by the stoichiometry of the SiO_xN_y films. - Abstract: By measuring leakage current density, we detected crack generation in silicon nitride (SiN_x) and silicon oxynitride (SiO_xN_y) thin-film encapsulation layers, and correlated with the films’ water vapor permeability characteristics. After repeated bending cycles, both the changes in water vapor transmission rate and leakage current density were directly proportional to the crack density. Thick SiN_x films had better water vapor barrier characteristics in their pristine state, but cyclic loading led to fast failure. Varying the atomic concentration of the SiO_xN_y films affected their bending reliability. We attribute these differences to changes in the shape of the crack tip as the oxygen content varies.

  14. A thin film approach for SiC-derived graphene as an on-chip electrode for supercapacitors

    International Nuclear Information System (INIS)

    Ahmed, Mohsin; Wang, Bei; Goding, Dayle; Iacopi, Francesca; Khawaja, Mohamad; Notarianni, Marco; Takshi, Arash; Saddow, Stephen E; Gupta, Bharati; Motta, Nunzio; Boeckl, John J

    2015-01-01

    We designed a nickel-assisted process to obtain graphene with sheet resistance as low as 80 Ω square −1 from silicon carbide films on Si wafers with highly enhanced surface area. The silicon carbide film acts as both a template and source of graphitic carbon, while, simultaneously, the nickel induces porosity on the surface of the film by forming silicides during the annealing process which are subsequently removed. As stand-alone electrodes in supercapacitors, these transfer-free graphene-on-chip samples show a typical double-layer supercapacitive behaviour with gravimetric capacitance of up to 65 F g −1 . This work is the first attempt to produce graphene with high surface area from silicon carbide thin films for energy storage at the wafer-level and may open numerous opportunities for on-chip integrated energy storage applications. (paper)

  15. High-coercivity FePt sputtered films

    International Nuclear Information System (INIS)

    Luong, N.H.; Hiep, V.V.; Hong, D.M.; Chau, N.; Linh, N.D.; Kurisu, M.; Anh, D.T.K.; Nakamoto, G.

    2005-01-01

    Fe 56 Pt 44 thin films have been prepared by RF magnetron sputtering on Si substrates. The substrate temperature was kept at 350 deg C. The X-ray diffraction patterns of as-deposited FePt films exhibited a disordered structure. Annealing of the films at 650-685 deg C for 1 h yielded an ordered L1 0 phase with FCT structure. The high value for coercivity H C of 17 kOe was obtained at room temperature for the 68 nm thick film annealed at 685 deg C. The hard magnetic properties as well as grain structure of the films strongly depend on the annealing conditions

  16. Highly conductive homoepitaxial Si-doped Ga2O3 films on (010) β-Ga2O3 by pulsed laser deposition

    Science.gov (United States)

    Leedy, Kevin D.; Chabak, Kelson D.; Vasilyev, Vladimir; Look, David C.; Boeckl, John J.; Brown, Jeff L.; Tetlak, Stephen E.; Green, Andrew J.; Moser, Neil A.; Crespo, Antonio; Thomson, Darren B.; Fitch, Robert C.; McCandless, Jonathan P.; Jessen, Gregg H.

    2017-07-01

    Si-doped Ga2O3 thin films were fabricated by pulsed laser deposition on semi-insulating (010) β-Ga2O3 and (0001) Al2O3 substrates. Films deposited on β-Ga2O3 showed single crystal, homoepitaxial growth as determined by high resolution transmission electron microscopy and x-ray diffraction. Corresponding films deposited on Al2O3 were mostly single phase, polycrystalline β-Ga2O3 with a preferred (20 1 ¯ ) orientation. An average conductivity of 732 S cm-1 with a mobility of 26.5 cm2 V-1 s-1 and a carrier concentration of 1.74 × 1020 cm-3 was achieved for films deposited at 550 °C on β-Ga2O3 substrates as determined by Hall-Effect measurements. Two orders of magnitude improvement in conductivity were measured using native substrates versus Al2O3. A high activation efficiency was obtained in the as-deposited condition. The high carrier concentration Ga2O3 thin films achieved by pulsed laser deposition enable application as a low resistance ohmic contact layer in β-Ga2O3 devices.

  17. Conformal Thin Film Packaging for SiC Sensor Circuits in Harsh Environments

    Science.gov (United States)

    Scardelletti, Maximilian C.; Karnick, David A.; Ponchak, George E.; Zorman, Christian A.

    2011-01-01

    In this investigation sputtered silicon carbide annealed at 300 C for one hour is used as a conformal thin film package. A RF magnetron sputterer was used to deposit 500 nm silicon carbide films on gold metal structures on alumina wafers. To determine the reliability and resistance to immersion in harsh environments, samples were submerged in gold etchant for 24 hours, in BOE for 24 hours, and in an O2 plasma etch for one hour. The adhesion strength of the thin film was measured by a pull test before and after the chemical immersion, which indicated that the film has an adhesion strength better than 10(exp 8) N/m2; this is similar to the adhesion of the gold layer to the alumina wafer. MIM capacitors are used to determine the dielectric constant, which is dependent on the SiC anneal temperature. Finally, to demonstrate that the SiC, conformal, thin film may be used to package RF circuits and sensors, an LC resonator circuit was fabricated and tested with and without the conformal SiC thin film packaging. The results indicate that the SiC coating adds no appreciable degradation to the circuits RF performance. Index Terms Sputter, silicon carbide, MIM capacitors, LC resonators, gold etchants, BOE, O2 plasma

  18. Thermal Shock Properties of Cladding with SiC{sub f}/SiC Composite Protective Films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Donghee; Park, Kwangheon [Kyunghee University, Yongin (Korea, Republic of); Kim, Weonju; Park, Jiyeon; Kim, Daejong; Lee, Hyeon Geun [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2015-05-15

    In general, Zr-4 alloy is used for such nuclear fuel cladding. Zr-4 possesses a very small thermal neutron absorption cross-section and has superior corrosion resistance in the normal operating conditions of a nuclear reactor. However, in the case of a critical accident such as a LOCA (loss-of-coolant accident) in the Fukushima disaster, the risk of hydrogen explosion becomes serious. That is, in the case of coolant leakage, a dramatic reaction between the nuclear fuel cladding and steam can cause a heating reaction accompanied by rapid high-temperature oxidation, while creating a huge amount of hydrogen. Hence, the search for an alternative material for nuclear fuel cladding is being actively undertaken. Ceramic-based nuclear fuel cladding is receiving much attention as a means of improving safety. SiC has excellent properties of resistance to high temperature and high exposure and superior mechanical properties, as well as a very small thermal neutron absorption cross-section (0.09 barns), which causes almost no decrease in mechanical strength or volume change following exposure. This experiment examined the thermal shock properties and microstructure of cladding that has SiCf/SiC composite protective film, using polycarbosilane preceramic polymer.

  19. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  20. Highly stressed carbon film coatings on silicon potential applications

    CERN Multimedia

    Sharda, T

    2002-01-01

    The fabrication of highly stressed and strongly adhered nanocrystalline diamond films on Si substrates is presented. A microwave plasma CVD method with controlled and continuous bias current density was used to grow the films. The stress/curvature of the films can be varied and controlled by altering the BCD. Potential applications for these films include particle physics and x-ray optics.

  1. Room-Temperature Growth of SiC Thin Films by Dual-Ion-Beam Sputtering Deposition

    Directory of Open Access Journals (Sweden)

    C. G. Jin

    2008-01-01

    Full Text Available Silicon carbide (SiC films were prepared by single and dual-ion-beamsputtering deposition at room temperature. An assisted Ar+ ion beam (ion energy Ei = 150 eV was directed to bombard the substrate surface to be helpful for forming SiC films. The microstructure and optical properties of nonirradicated and assisted ion-beam irradicated films have been characterized by transmission electron microscopy (TEM, scanning electron microscopy (SEM, Fourier transform infrared spectroscopy (FTIR, and Raman spectra. TEM result shows that the films are amorphous. The films exposed to a low-energy assisted ion-beam irradicated during sputtering from a-SiC target have exhibited smoother and compacter surface topography than which deposited with nonirradicated. The ion-beam irradicated improves the adhesion between film and substrate and releases the stress between film and substrate. With assisted ion-beam irradicated, the density of the Si–C bond in the film has increased. At the same time, the excess C atoms or the size of the sp2 bonded clusters reduces, and the a-Si phase decreases. These results indicate that the composition of the film is mainly Si–C bond.

  2. High performance hard magnetic NdFeB thick films for integration into micro-electro-mechanical systems

    International Nuclear Information System (INIS)

    Dempsey, N. M.; Walther, A.; May, F.; Givord, D.; Khlopkov, K.; Gutfleisch, O.

    2007-01-01

    5 μm thick NdFeB films have been sputtered onto 100 mm Si substrates using high rate sputtering (18 μm/h). Films were deposited at ≤500 deg. C and then annealed at 750 deg. C for 10 min. While films deposited at temperatures up to 450 deg. C have equiaxed grains, the size of which decreases with increasing deposition temperature, the films deposited at 500 deg. C have columnar grains. The out-of-plane remanent magnetization increases with deposition temperature, reaching a maximum value of 1.4 T, while the coercivity remains constant at about 1.6 T. The maximum energy product achieved (400 kJ/m 3 ) is comparable to that of high-quality NdFeB sintered magnets

  3. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  4. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  5. Growth (AlCrNbSiTiV)N thin films on the interrupted turning and properties using DCMS and HIPIMS system

    Science.gov (United States)

    Chang, Kai-Sheng; Chen, Kuan-Ta; Hsu, Chun-Yao; Hong, Po-Da

    2018-05-01

    This paper determines the optimal settings in the deposition parameters for (AlCrNbSiTiV)N high-entropy alloy (HEAs) nitride films that are deposited on CBN cutting tools and glass substrates. We use direct current magnetron sputtering (DCMS) and high power impulse magnetron sputtering (HIPIMS), with Ar plasma and N2 reactive gases. Experiments with the grey-Taguchi method are conducted to determine the effect of deposition parameters (deposition time, substrate DC bias, DC power and substrate temperature) on interrupted turning 50CrMo4 steel machining and the films' structural properties. Experimental result shows that the multiple performance characteristics for these (AlCrNbSiTiV)N HEAs film coatings can be improved using the grey-Taguchi method. As can be seen, the coated film is homogeneous, very compact and exhibits perfect adherence to the substrate. The distribution of elements is homogeneous through the depth of the (AlCrNbSiTiV)N film, as measured by an auger electron nanoscope. After interrupted turning with an (AlCrNbSiTiV)N film coated tool, we obtain much longer tool life than when using uncoated tools. The correlation of these results with microstructure analysis and tool life indicates that HIPIMS discharge induced a higher (AlCrNbSiTiV)N film density, a smoother surface structure and a higher hardness surface.

  6. Stress in ion-implanted CVD Si3N4 films

    International Nuclear Information System (INIS)

    EerNisse, E.P.

    1977-01-01

    The compressive stress buildup caused in chemical-vapor-deposited (CVD) Si 3 N 4 films by ion implantation is shown to be caused entirely by atomic collision effects, ionization effects being unimportant. The stress introduction rate is shown to be independent of CVD processing variables and O content of the film. The maximum attainable compressive stress change is 3.5 x 10 10 dyn/cm 2 , resulting in a maximum net compressive stress of 2 x 10 10 dyn/cm 2 for films on Si where the as-deposited films inherently have 1.5 x 10 10 dyn/cm 2 tensile stress before ion implantation. Results are presented which show that O in the films inhibits thermal annealing of the ion-implantation-induced compressive stress. Results for introduction rate and annealing effects are presented in normalized form so that workers can use the effects for intentional stress level adjustment in the films to reduce probability of cracking and detachment

  7. Switchable and tunable film bulk acoustic resonator fabricated using barium strontium titanate active layer and Ta{sub 2}O{sub 5}/SiO{sub 2} acoustic reflector

    Energy Technology Data Exchange (ETDEWEB)

    Sbrockey, N. M., E-mail: sbrockey@structuredmaterials.com; Tompa, G. S. [Structured Materials Industries, Inc., Piscataway, New Jersey 08854 (United States); Kalkur, T. S.; Mansour, A. [Department of Electrical and Computer Engineering, Colorado State University at Colorado Springs, Colorado Springs, Colorado 80933 (United States); Khassaf, H.; Yu, H.; Aindow, M.; Alpay, S. P. [Department of Materials Science and Engineering and Institute of Materials Science, University of Connecticut, Storrs, Connecticut 06269 (United States)

    2016-08-01

    A solidly mounted acoustic resonator was fabricated using a Ba{sub 0.60}Sr{sub 0.40}TiO{sub 3} (BST) film deposited by metal organic chemical vapor deposition. The device was acoustically isolated from the substrate using a Bragg reflector consisting of three pairs of Ta{sub 2}O{sub 5}/SiO{sub 2} layers deposited by chemical solution deposition. Transmission electron microscopy verified that the Bragg reflector was not affected by the high temperatures and oxidizing conditions necessary to process high quality BST films. Electrical characterization of the resonator demonstrated a quality factor (Q) of 320 and an electromechanical coupling coefficient (K{sub t}{sup 2}) of 7.0% at 11 V.

  8. On the interplay between phonon-boundary scattering and phonon-point-defect scattering in SiGe thin films

    Science.gov (United States)

    Iskandar, A.; Abou-Khalil, A.; Kazan, M.; Kassem, W.; Volz, S.

    2015-03-01

    This paper provides theoretical understanding of the interplay between the scattering of phonons by the boundaries and point-defects in SiGe thin films. It also provides a tool for the design of SiGe-based high-efficiency thermoelectric devices. The contributions of the alloy composition, grain size, and film thickness to the phonon scattering rate are described by a model for the thermal conductivity based on the single-mode relaxation time approximation. The exact Boltzmann equation including spatial dependence of phonon distribution function is solved to yield an expression for the rate at which phonons scatter by the thin film boundaries in the presence of the other phonon scattering mechanisms. The rates at which phonons scatter via normal and resistive three-phonon processes are calculated by using perturbation theories with taking into account dispersion of confined acoustic phonons in a two dimensional structure. The vibrational parameters of the model are deduced from the dispersion of confined acoustic phonons as functions of temperature and crystallographic direction. The accuracy of the model is demonstrated with reference to recent experimental investigations regarding the thermal conductivity of single-crystal and polycrystalline SiGe films. The paper describes the strength of each of the phonon scattering mechanisms in the full temperature range. Furthermore, it predicts the alloy composition and film thickness that lead to minimum thermal conductivity in a single-crystal SiGe film, and the alloy composition and grain size that lead to minimum thermal conductivity in a polycrystalline SiGe film.

  9. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si3N4/DLC substrate

    International Nuclear Information System (INIS)

    Roman, W S; Riascos, H; Caicedo, J C; Ospina, R; Tirado-MejIa, L

    2009-01-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si 3 N 4 substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm -2 , 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm -1 for B - N bonding and bands around 1700 cm -1 associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), α-Si 3 N 4 (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si 3 N 4 /DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  10. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  11. Electrical and structural characterization of Nb-Si thin alloy film

    International Nuclear Information System (INIS)

    Nava, F.; Psaras, P.A.; Takai, H.; Tu, K.N.; Valeri, S.; Bisi, O.

    1986-01-01

    The structural and electrical properties of a Nb-Si thin alloy film as a function of temperature have been studied by Auger electron spectrometry, Rutherford backscattering spectroscopy, transmission electron microscopies, and in situ electrical resistivity and Hall coefficient measurements. The NbSi/sub 2.8/ films were deposited by double electron-gun coevaporation onto oxidized silicon. For electrical measurements samples of a van der Pauw pattern were made through metallic masks. In the as-deposited state the coevaporated alloy film was amorphous. Upon annealing a precipitous drop in resistivity near 270 0 C has been determined to be the amorphous to crystalline phase transformation. The kinetics of the transformation has been determined by isothermal heat treatment over the temperature range of 224 0 to 252 0 C. An apparent activation energy of 1.90 eV has been measured. The nucleation and growth kinetics in the crystallization process show a change in the power of time dependence from 5.5 to 2.4. The microstructures of films at various states of annealing have been correlated to the resistivity change. The crystalline NbSi 2 shows an anomalous metallic behavior. The resistivity (rho) versus temperature curve has a large negative deviation from linearity (dfl) and it approaches a saturation value (rho/sub sat/) as temperature increases. The resistivity data are fitted by two empirical expressions put forth to explain the resistivity behavior in A15 superconductors at low and high temperatures. One is based on the idea that ideal resistivity must approach some limiting value in the regime where the mean free path becomes comparable to the interatomic spacing and the other is based on a selective electron--phonon assisted scattering

  12. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  13. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  14. Influence of a-Si:H deposition power on surface passivation property and thermal stability of a-Si:H/SiNx:H stacks

    Directory of Open Access Journals (Sweden)

    Hua Li

    2012-06-01

    Full Text Available The effectiveness of hydrogenated amorphous silicon (a-Si:H layers for passivating crystalline silicon surfaces has been well documented in the literature for well over a decade. One limitation of such layers however has arisen from their inability to withstand temperatures much above their deposition temperature without significant degradation. This limitation is of importance particularly with multicrystalline silicon materials where temperatures of at least 400°C are needed for effective hydrogenation of the crystallographic defects such as grain boundaries. To address this limitation, in this work the surface passivation quality and thermal stability of a stack passivating system, combining a layer of intrinsic a-Si:H and a capping layer of silicon nitride (SiNx:H, on p-type crystalline silicon wafers is studied and optimized. In particular the sensitivity of different microwave (MW power levels for underlying a-Si:H layer deposition are examined. Both effective minority carrier lifetime (ζeff measurement and Fourier transform infrared (FTIR spectrometry were employed to study the bonding configurations, passivating quality and thermal stability of the a-Si:H/SiNx:H stacks. It is established that the higher MW power could result in increased as-deposited ζeff and implied Voc (iVoc values, indicating likely improved surface passivation quality, but that this combination degrades more quickly when exposed to prolonged thermal treatments. The more dihydride-rich film composition corresponding to the higher MW power appears to be beneficial for bond restructuring by hydrogen interchanges when exposed to short term annealing, however it also appears more susceptible to providing channels for hydrogen out-effusion which is the likely cause of the poorer thermal stability for prolonged high temperature exposure compared with stacks with underlying a-Si:H deposited with lower MW power.

  15. Polarization recovery in lead zirconate titanate thin films deposited on nanosheets-buffered Si (001)

    OpenAIRE

    Anuj Chopra; Muharrem Bayraktar; Maarten Nijland; Johan E. ten Elshof; Fred Bijkerk; Guus Rijnders

    2016-01-01

    Fatigue behavior of Pb(Zr,Ti)O3 (PZT) films is one of the deterrent factors that limits the use of these films in technological applications. Thus, understanding and minimization of the fatigue behavior is highly beneficial for fabricating reliable devices using PZT films. We have investigated the fatigue behavior of preferentially oriented PZT films deposited on nanosheets-buffered Si substrates using LaNiO3 bottom and top electrodes. The films show fatigue of up to 10% at 100 kHz, whereas n...

  16. Observations on Si-based micro-clusters embedded in TaN thin film deposited by co-sputtering with oxygen contamination

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Young Mi [Beamline Division, Pohang Accelerator Laboratory, POSTECH, Pohang, 305-764 (Korea, Republic of); Jung, Min-Sang; Choi, Duck-Kyun, E-mail: duck@hanyang.ac.kr, E-mail: mcjung@oist.jp [Department of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Jung, Min-Cherl, E-mail: duck@hanyang.ac.kr, E-mail: mcjung@oist.jp [Energy Materials and Surface Sciences Unit, Okinawa Institute of Science and Technology Graduate University, Okinawa, 904-0495 (Japan)

    2015-08-15

    Using scanning electron microscopy (SEM) and high-resolution x-ray photoelectron spectroscopy with the synchrotron radiation we investigated Si-based micro-clusters embedded in TaSiN thin films having oxygen contamination. TaSiN thin films were deposited by co-sputtering on fixed or rotated substrates and with various power conditions of TaN and Si targets. Three types of embedded micro-clusters with the chemical states of pure Si, SiO{sub x}-capped Si, and SiO{sub 2}-capped Si were observed and analyzed using SEM and Si 2p and Ta 4f core-level spectra were derived. Their different resistivities are presumably due to the different chemical states and densities of Si-based micro-clusters.

  17. Observations on Si-based micro-clusters embedded in TaN thin film deposited by co-sputtering with oxygen contamination

    Directory of Open Access Journals (Sweden)

    Young Mi Lee

    2015-08-01

    Full Text Available Using scanning electron microscopy (SEM and high-resolution x-ray photoelectron spectroscopy with the synchrotron radiation we investigated Si-based micro-clusters embedded in TaSiN thin films having oxygen contamination. TaSiN thin films were deposited by co-sputtering on fixed or rotated substrates and with various power conditions of TaN and Si targets. Three types of embedded micro-clusters with the chemical states of pure Si, SiOx-capped Si, and SiO2-capped Si were observed and analyzed using SEM and Si 2p and Ta 4f core-level spectra were derived. Their different resistivities are presumably due to the different chemical states and densities of Si-based micro-clusters.

  18. Realization of hexagonal barium ferrite thick films on Si substrates using a screen printing technique

    International Nuclear Information System (INIS)

    Chen Yajie; Smith, Ian; Geiler, Anton L; Vittoria, Carmine; Harris, Vincent G; Zagorodnii, Volodymyr; Celinski, Zbigniew

    2008-01-01

    Hexagonal barium ferrite thick films (50-200 μm) have been deposited on Si and Al 2 O 3 /Si substrates using a screen printing technique. X-ray diffractometry, scanning electron microscopy and magnetometry were used to characterize and correlate the ferrite films' microstructure and magnetic properties. The experiments indicated that an Al 2 O 3 underlayer was effective in preventing silicon diffusion into the barium ferrite films during a final sintering treatment at temperatures above 1100 deg. C. A two-stage sintering process allowed a reasonable tradeoff between mechanical and magnetic properties. This work reveals the feasibility of fabrication of thick ferrite films on large substrates (up to 25 mm in diameter) for future planar microwave devices compatible with semiconductor integrated circuits processing

  19. Identification of nanoscale structure and morphology reconstruction in oxidized a-SiC:H thin films

    Energy Technology Data Exchange (ETDEWEB)

    Vasin, A.V.; Rusavsky, A.V.; Nazarov, A.N.; Lysenko, V.S.; Lytvyn, P.M.; Strelchuk, V.V. [Lashkaryov Institute of Semiconductor Physics, 41 Nauki Pr., Kiev 03028 (Ukraine); Kholostov, K.I.; Bondarenko, V.P. [Belarusian State University of Informatics and Radioelectronics, 6P. Brovki Str., Minsk 220013 (Belarus); Starik, S.P. [Bakul Institute of Superhard Materials, 2 Avtzavodskaya Str., Kiev 04074 (Ukraine)

    2012-11-01

    Highlights: Black-Right-Pointing-Pointer Increase of magnetron discharge power results in densification of a-SiC:H thin films. Black-Right-Pointing-Pointer The denser a-SiC:H material the better resistance to oxidation by oxygen. Black-Right-Pointing-Pointer Oxidation of soft a-SiC:H films can result in increase of electric conductivity. Black-Right-Pointing-Pointer Formation of graphitic clusters was found in a-SiC:H after annealing in oxygen. - Abstract: Oxidation behavior of a-SiC:H layers deposited by radio-frequency magnetron sputtering technique was examined by Kelvin probe force microscopy (KPFM) in combination with scanning electron microscopy, Fourier-transform infra-red spectroscopy and submicron selected area Raman scattering spectroscopy. Partially oxidized a-SiC:H samples (oxidation at 600 Degree-Sign C in oxygen) were examined to clarify mechanism of the oxidation process. Nanoscale and microscale morphological defects (pits) with dimension of about 50 nm and several microns respectively have appeared after thermal treatment. KPFM measurements exhibited the surface potential of the material in micro pits is significantly smaller in comparison with surrounding material. Submicron RS measurements indicates formation of graphite-like nano-inclusions in the pit defects. We conclude that initial stage of oxidation process in a-SiC:H films takes place not homogeneously throughout the layer but it is initiated in local nanoscale regions followed by spreading over all layer.

  20. CVD growth and characterization of 3C-SiC thin films

    Indian Academy of Sciences (India)

    Unknown

    Cubic silicon carbide (3C-SiC) thin films were grown on (100) and (111) Si substrates by CVD technique using ... of grown films were studied using optical microscopy, scanning electron microscopy (SEM), X-ray diffraction (XRD) analysis and X-ray ... the oxide mask gets damaged (Edgar et al 1998). There- fore, lower ...

  1. High-Quality AZO/Au/AZO Sandwich Film with Ultralow Optical Loss and Resistivity for Transparent Flexible Electrodes.

    Science.gov (United States)

    Zhou, Hua; Xie, Jing; Mai, Manfang; Wang, Jing; Shen, Xiangqian; Wang, Shuying; Zhang, Lihua; Kisslinger, Kim; Wang, Hui-Qiong; Zhang, Jinxing; Li, Yu; Deng, Junhong; Ke, Shanming; Zeng, Xierong

    2018-05-09

    Transparent flexible electrodes are in ever-growing demand for modern stretchable optoelectronic devices, such as display technologies, solar cells, and smart windows. Such sandwich-film-electrodes deposited on polymer substrates are unattainable because of the low quality of the films, inducing a relatively large optical loss and resistivity as well as a difficulty in elucidating the interference behavior of light. In this article, we report a high-quality AZO/Au/AZO sandwich film with excellent optoelectronic performance, e.g., an average transmittance of about 81.7% (including the substrate contribution) over the visible range, a sheet resistance of 5 Ω/sq, and a figure-of-merit (FoM) factor of ∼55.1. These values are well ahead of those previously reported for sandwich-film-electrodes. Additionally, the interference behaviors of light modulated by the coat and metal layers have been explored with the employment of transmittance spectra and numerical simulations. In particular, a heater device based on an AZO/Au/AZO sandwich film exhibits high performance such as short response time (∼5 s) and uniform temperature field. This work provides a deep insight into the improvement of the film quality of the sandwich electrodes and the design of high-performance transparent flexible devices by the application of a flexible substrate with an atomically smooth surface.

  2. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  3. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  4. Fabrication of highly crystalline oxide thin films on plastics: Sol–gel transfer technique involving high temperature process

    Directory of Open Access Journals (Sweden)

    Hiromitsu Kozuka

    2016-09-01

    Full Text Available Si(100 substrates were coated with a polyimide (PI–polyvinylpyrrolidone (PVP mixture film, and an alkoxide-derived TiO2 gel film was deposited on it by spin-coating. The gel films were fired under various conditions with final annealing at 600–1000 °C. The PI–PVP layer was completely decomposed at such high temperatures while the TiO2 films survived on Si(100 substrates without any damages. When the final annealing temperature was raised, the crystalline phase changed from anatase to rutile, and the crystallite size and the refractive index of the films tended to increase. The TiO2 films thus fired on Si(100 substrates were transferred to polycarbonate (PC substrates by melting the surface of the plastic substrate either in a near-infrared image furnace or on a hot plate under a load. Cycles of deposition and firing were found to be effective in achieving successful transfer even for the films finally annealed at 1000 °C. X-ray photoelectron spectroscopic analyses on the film/Si(100 interface suggested that the residual carbon or carbides at the interface could be a possible factor, but not a necessary and decisive factor that allows the film transfer.

  5. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Dakhel, A.A.; Jasim, Khalil E. [Department of Physics, College of Science, University of Bahrain, P.O. Box 32038 (Bahrain); Cassidy, S. [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain); Henari, F.Z., E-mail: fzhenari@rcsi-mub.com [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain)

    2013-09-20

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε{sup ′}{sub ∞}≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z{sup *}(ω) and modulus M{sup *}(ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices.

  6. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    International Nuclear Information System (INIS)

    Dakhel, A.A.; Jasim, Khalil E.; Cassidy, S.; Henari, F.Z.

    2013-01-01

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε ′ ∞ ≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z * (ω) and modulus M * (ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices

  7. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  8. Contact resistance and stability study for Au, Ti, Hf and Ni contacts on thin-film Mg2Si

    KAUST Repository

    Zhang, Bo

    2016-12-28

    We present a detailed study of post-deposition annealing effects on contact resistance of Au, Ti, Hf and Ni electrodes on Mg2Si thin films. Thin-film Mg2Si and metal contacts were deposited using magnetron sputtering. Various post-annealing temperatures were studied to determine the thermal stability of each contact metal. The specific contact resistivity (SCR) was determined using the Cross Bridge Kelvin Resistor (CBKR) method. Ni contacts exhibits the best thermal stability, maintaining stability up to 400 °C, with a SCR of approximately 10−2 Ω-cm2 after annealing. The increased SCR after high temperature annealing is correlated with the formation of a Mg-Si-Ni mixture identified by cross-sectional scanning transmission electron microscopy (STEM) characterization, X-ray diffraction characterization (XRD) and other elemental analyses. The formation of this Mg-Si-Ni mixture is attributed to Ni diffusion and its reaction with the Mg2Si film.

  9. Development of thin-film Si HYBRID solar module

    Energy Technology Data Exchange (ETDEWEB)

    Nakajima, Akihiko; Gotoh, Masahiro; Sawada, Toru; Fukuda, Susumu; Yoshimi, Masashi; Yamamoto, Kenji; Nomura, Takuji [Kaneka Corporation, 2-1-1, Hieitsuji, Otsu, Shiga 520-0104 (Japan)

    2009-06-15

    The device current-voltage (I-V) characteristics of thin-film silicon stacked tandem solar modules (HYBRID modules), consisting of a hydrogenated amorphous silicon (a-Si:H) cell and a thin-film crystalline silicon solar cell ({mu}c-Si), have been investigated under various spectral irradiance distributions. The performance of the HYBRID module varied periodically in natural sunlight due to the current-limiting property of the HYBRID module and the environmental effects. The behavior based on the current-limiting property was demonstrated by the modelling of the I-V curves using the linear interpolation method for each component cell. The improvement of the performance for the HYBRID module in natural sunlight will also be discussed from the viewpoint of the device design of the component cells. (author)

  10. Annealing induced low coercivity, nanocrystalline Co–Fe–Si thin films exhibiting inverse cosine angular variation

    Energy Technology Data Exchange (ETDEWEB)

    Hysen, T., E-mail: hysenthomas@gmail.com [Department of Physics, Cochin University of Science and Technology, Cochin 682022, Kerala (India); Al-Harthi, Salim; Al-Omari, I.A. [Department of Physics, Sultan Qaboos University, PC 123, Muscat, Sultanate of Oman (Oman); Geetha, P.; Lisha, R. [Department of Physics, Cochin University of Science and Technology, Cochin 682022, Kerala (India); Ramanujan, R.V. [School of Materials Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Sakthikumar, D. [Graduate School of Interdisciplinary New Science, Toyo University, Kawagoe, Saitama (Japan); Anantharaman, M.R., E-mail: mra@cusat.ac.in [Department of Physics, Cochin University of Science and Technology, Cochin 682022, Kerala (India)

    2013-09-15

    Co–Fe–Si based films exhibit high magnetic moments and are highly sought after for applications like soft under layers in perpendicular recording media to magneto-electro-mechanical sensor applications. In this work the effect of annealing on structural, morphological and magnetic properties of Co–Fe–Si thin films was investigated. Compositional analysis using X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a native oxide surface layer consisting of oxides of Co, Fe and Si on the surface. The morphology of the as deposited films shows mound like structures conforming to the Volmer–Weber growth model. Nanocrystallisation of amorphous films upon annealing was observed by glancing angle X-ray diffraction and transmission electron microscopy. The evolution of magnetic properties with annealing is explained using the Herzer model. Vibrating sample magnetometry measurements carried out at various angles from 0° to 90° to the applied magnetic field were employed to study the angular variation of coercivity. The angular variation fits the modified Kondorsky model. Interestingly, the coercivity evolution with annealing deduced from magneto-optical Kerr effect studies indicates a reverse trend compared to magetisation observed in the bulk. This can be attributed to a domain wall pinning at native oxide layer on the surface of thin films. The evolution of surface magnetic properties is correlated with morphology evolution probed using atomic force microscopy. The morphology as well as the presence of the native oxide layer dictates the surface magnetic properties and this is corroborated by the apparent difference in the bulk and surface magnetic properties. - Highlights: • The relation between grain size and magnetic properties in Co–Fe–Si thin films obeys the Herzer model. • Angular variation of coercivity is found to obey the Kondorsky model. • The MOKE measurements provide further evidence for domain wall pinning.

  11. Ge-on-Si films obtained by epitaxial growing: edge dislocations and their participation in plastic relaxation

    International Nuclear Information System (INIS)

    Bolkhovityanov, Yu B; Sokolov, L V

    2012-01-01

    Pure edge 90° misfit dislocations (MDs) are the most effective linear defects that combine the substrate and the film with different lattice parameters. A system consisting of a nonstressed film and a substrate approaches the perfect case in terms of the structural transition from one lattice parameter to the other if imperfections in the form of an ordered network of edge MDs are located exclusively at the interface, while threading dislocations are practically absent. The path to this perfect case goes through studying the possibilities of creating such an ordered network of edge MDs. The mechanism of formation of edge MDs proposed previously by Kvam et al (1990 J. Mater. Res. 5 1900) is discussed. This mechanism involves induced formation of a complementary pair of 60° MDs whose coalescence at the interface creates an edge MD. Some publications are presented, which demonstrate on the basis of experimental data that this mechanism under certain conditions can be the basic mechanism responsible for plastic relaxation of Ge-on-Si films. A cardinal method for decreasing the number of defects at the initial stages of growth of Ge/Si heterosystems is a set of procedures that allow a specified number of MDs to be inserted into the stressed film earlier than conditions of spontaneous nucleation of MDs from the film surface in the 2D–3D transition occur. When the low-temperature/high-temperature strategy of growth is used, the low-temperature GeSi seed layer tuned with respect to the growth temperature, composition and thickness can serve as a source of 60° dislocations, which facilitate earlier formation of edge MDs at the initial stage of plastic relaxation of the GeSi or Ge main layer. Results of some recent publications that report reaching high structural perfection of thin (∼1 µm and less) Ge-on-Si films are discussed. The proposed explanation of these results is based on postulates of controlled insertion of MDs and formation of edge MDs by the model of

  12. Binary conductive network for construction of Si/Ag nanowires/rGO integrated composite film by vacuum-filtration method and their application for lithium ion batteries

    International Nuclear Information System (INIS)

    Tang, H.; Xia, X.H.; Zhang, Y.J.; Tong, Y.Y.; Wang, X.L.; Gu, C.D.; Tu, J.P.

    2015-01-01

    Construction of high-capacity anode is highly important for the development of next-generation high-performance lithium ion batteries (LIBs). Herein we fabricate Si/Ag nanowires/reduced graphene oxide (Si/Ag NWs/rGO) integrated composite film by introducing binary conductive networks (Ag NWs and rGO) into Si active materials with the help of a facile vacuum-filtration method. Active Si nanoparticles are homogeneously encapsulated by binary Ag NWs-rGO conductive network, in which Ag NWs are interwoven among the rGO sheets. The electrochemical properties of the integrated Si/Ag NWs/rGO composite film are thoroughly characterized as anode of LIBs. Compared to the Si/rGO composite film, the integrated Si/Ag NWs/rGO composite film exhibits enhanced electrochemical performances with higher capacity, better high-rate capability and cycling stability (1269 mAh g"−"1 at 50 mA g"−"1 up to 50 cycles). The binary conductive network plays a positive role in the enhancement of performance due to its faster ion/electron transfer, and better anti-structure degradation caused by volume expansion during the cycling process.

  13. Type of precursor and synthesis of silicon oxycarbide (SiOxCyH) thin films with a surfatron microwave oxygen/argon plasma

    International Nuclear Information System (INIS)

    Walkiewicz-Pietrzykowska, Agnieszka; Espinos, J. P.; Gonzalez-Elipe, Agustin R.

    2006-01-01

    Siliconelike thin films (i.e., SiO x C y H z ) were prepared in a microwave plasma enhanced chemical vapor deposition reactor from structurally different organosilicon precursors [i.e., hexamethyldisiloxane (HMDSO), dimethylsilane (DMS), and tetramethylsilane (TMS)]. The films were deposited at room temperature by using different oxygen/argon ratios in the plasma gas. By changing the type of precursor and the relative concentration of oxygen in the plasma, thin films with different compositions (i.e., O/C ratio) and properties are obtained. In general, raising the oxygen concentration in the plasma produces the progressive removal of the organic moieties from the films whose composition and structure then approach those of silicon dioxide. The deposition rate was highly dependent on the type of precursor, following the order HMDSO>>DMS>TMS. The polarizabilities, optical band gaps, and surface free energy of the films also depended on the thin film composition and structure. It is proposed that the Si-O bonds existing in HMDSO is the main factor controlling the distinct reactivity of this precursor and is also responsible for the different compositions and properties of the SiO x C y H z thin films prepared with very low or no oxygen in the plasma gas

  14. Film quality in film mammography. Pt. 2

    International Nuclear Information System (INIS)

    Friedrich, M.; Weskamp, P.; Freie Univ. Berlin

    1976-01-01

    During consideration of three film mammographic systems, the concept of signal/noise ratio is developed as a quantitative measure of film quality. The ability to recognise detail related to detail size, film blackening and exposure geometry was studied for various systems, and the quality profiles are discussed. There is a considerable difference in quality between industrial films without screens and film-screen combinations; however, exposure geometry during mammography has a considerable effect which tends to reduce the difference. Consequently, detail sizes of 200 μ to 1,000 μ (including the majority of mammographic micro-calcifications) are shown about equally well. Contrast for the lo-dose system is somewhat less than for adequately exposed industrial film. Over-exposure with the lo-dose system, contrary to industrial film, rapidly leads to unsatisfactory results. On the other hand it is often not possible to obtain an adequate exposure when using industrial film. For these reasons it is often an advantage to examine large breasts and the dense breasts of young women with a film-screen combination which requires approximately one eighth of the dose necessary for industrial film. For small or easily compressable breasts best results are obtained, using an adequate exposure by employing industril film; radiation dose it then acceptable. (orig./ORU) [de

  15. Thickness measurement of SiO2 films thinner than 1 nm by X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Joong Kim, Kyung; Park, Ki Tae; Lee, Jong Wan

    2006-01-01

    The thickness measurement of ultra-thin SiO 2 films thinner than 1 nm was studied by X-ray photoelectron spectroscopy (XPS). Amorphous SiO 2 thin films were grown on amorphous Si films to avoid the thickness difference due to the crystalline structure of a substrate. SiO 2 thin films were grown by ion beam sputter deposition under oxygen gas flow and the thickness was measured by in situ XPS. The attenuation length was determined experimentally by a SiO 2 film with a known thickness. The straight line fit between the measured thickness using XPS and the nominal thickness showed a good linear relation with a gradient of 0.969 and a small offset of 0.126 nm. The gradient measured at the range of 3.4-0.28 nm was very close to that measured at sub-nanometer range of 1.13-0.28 nm. This result means that the reliable measurement of SiO 2 film thickness below 1 nm is possible by XPS

  16. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  17. Enhancement of electroluminescence from embedded Si quantum dots/SiO2multilayers film by localized-surface-plasmon and surface roughening.

    Science.gov (United States)

    Li, Wei; Wang, Shaolei; Hu, Mingyue; He, Sufeng; Ge, Pengpeng; Wang, Jing; Guo, Yan Yan; Zhaowei, Liu

    2015-07-03

    In this paper, we prepared a novel structure to enhance the electroluminescence intensity from Si quantum dots/SiO2multilayers. An amorphous Si/SiO2 multilayer film was fabricated by plasma-enhanced chemical vapor deposition on a Pt nanoparticle (NP)-coated Si nanopillar array substrate. By thermal annealing, an embedded Si quantum dot (QDs)/SiO2 multilayer film was obtained. The result shows that electroluminescence intensity was significantly enhanced. And, the turn-on voltage of the luminescent device was reduced to 3 V. The enhancement of the light emission is due to the resonance coupling between the localized-surface-plasmon (LSP) of Pt NPs and the band-gap emission of Si QDs/SiO2 multilayers. The other factors were the improved absorption of excitation light and the increase of light extraction ratio by surface roughening structures. These excellent characteristics are promising for silicon-based light-emitting applications.

  18. Transformation from amorphous to nano-crystalline SiC thin films ...

    Indian Academy of Sciences (India)

    Administrator

    phous SiC to cubic nano-crystalline SiC films with the increase in the gas flow ratio. Raman scattering ... Auger electron spectroscopy showed that the carbon incorporation in the .... with a 514 nm Ar+ laser excitation source and the laser.

  19. On the crystal structure and thermoelectric properties of thin Si{sub 1–x}Mn{sub x} films

    Energy Technology Data Exchange (ETDEWEB)

    Erofeeva, I. V., E-mail: irfeya@mail.ru; Dorokhin, M. V.; Lesnikov, V. P.; Zdoroveishchev, A. V.; Kudrin, A. V.; Pavlov, D. A.; Usov, U. V. [Lobachevsky State University of Nizhny Novgorod, Research Institute for Physics and Technology (Russian Federation)

    2016-11-15

    Thin (25 nm) Si{sub 1–x}Mn{sub x}/Si(100) films are fabricated by pulsed laser deposition. According to high-resolution transmission electron microscopy data, the films have a nanotextured crystalline structure and are chemically homogeneous. The temperature dependences of the resistivity and thermopower are measured in the range of 300–500 K, and the temperature dependences of the Seebeck coefficient and power factor are calculated.

  20. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  1. A high-efficiency solution-deposited thin-film photovoltaic device

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)

    2008-10-02

    High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  2. Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO2 nanogranular films

    International Nuclear Information System (INIS)

    Zhu, Li Qiang; Chao, Jin Yu; Xiao, Hui

    2014-01-01

    Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO 2 nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics

  3. Magnetotransport properties of c-axis oriented La0.7Sr0.3MnO3 thin films on MgO-buffered SiO2/Si substrates

    International Nuclear Information System (INIS)

    Kang, Young-Min; Ulyanov, Alexander N.; Shin, Geo-Myung; Lee, Sung-Yun; Yoo, Dae-Gil; Yoo, Sang-Im

    2009-01-01

    c-axis oriented La 0.7 Sr 0.3 MnO 3 (LSMO) films on MgO-buffered SiO 2 /Si substrates were prepared, and their texture, microstructure, and magnetotransport properties were studied and compared to epitaxial LSMO/MgO (001) and polycrystalline LSMO/SiO 2 /Si films. c-axis oriented MgO buffer layers were obtained on amorphous SiO 2 layer through rf sputter deposition at low substrate temperature and consequent postannealing processes. In situ pulsed laser deposition-grown LSMO films, deposited on the MgO layer, show strong c-axis texture, but no in-plane texture. The c-axis oriented LSMO films which are magnetically softer than LSMO/SiO 2 /Si films exhibit relatively large low field magnetoresistance (LFMR) and sharper MR drop at lower field. The large LFMR is attributed to a spin-dependent scattering of transport current at the grain boundaries

  4. Osteoblast Adhesion on Cathodic Arc Plasma Deposited Nano-Multilayered TiCrAlSiN Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun Kyu [University of Ulsan, Ulsan (Korea, Republic of); Pham, Vuong Hung [Hanoi University of Science and Technology (HUST), Hanoi (Viet Nam)

    2014-03-15

    Adhesion of osteoblast cells to TiCrAlSiN thin films was evaluated in vitro. Ti and TiCrAlSiN thin films were deposited on glass substrates by cathodic arc deposition. Surface roughness and chemistry of the TiCrAlSiN thin films was characterized by AFM and EPMA, respectively. Ti and TiCrAlSiN thin films and glass coverslips were cultured with human osteoblast cells (hFOB 1.19). The cell cytoskeleton was analyzed by observing the organization of actin stress fibers and microtubules. Cell proliferation was investigated by MTT assay and visualization. Focal contact adhesion was studied by observing the vinculin density. The results indicated that the TiCrAlSiN coating significantly influenced the actin cytoskeleton and microtubule organization. Human osteoblasts hFOB attached and proliferated better on TiCrAlSiN thin films with more focal contact adhesions than on Ti thin films or glass surfaces. These results suggest that TiCrAlSiN thin films can be an implantable material where the maximum cell adhesion is required.

  5. High reflectivity YDH/SiO2 distributed Bragg reflector for UV-C wavelength regime

    KAUST Repository

    Alias, Mohd Sharizal

    2018-02-15

    A distributed Bragg reflector (DBR) composed of Y2O3-doped HfO2 (YDH)/SiO2 layers with high reflectivity spectrum centered at a wavelength of ~240 nm is deposited using radio-frequency magnetron sputtering. Before the DBR deposition, optical properties for a single layer of YDH, SiO2, and HfO2 thin films were studied using spectroscopic ellipsometry and spectrophotometry. To investigate the performance of YDH as a material for the high refractive index layer in the DBR, a comparison of its optical properties was made with HfO2 thin films. Due to larger optical bandgap, the YDH thin films demonstrated higher transparency, lower extinction coefficient, and lower absorption coefficient in the UV-C regime (especially for wavelengths below 250 nm) compared to the HfO2 thin films. The deposited YDH/SiO2 DBR consisting of 15 periods achieved a reflectivity higher than 99.9% at the wavelength of ~240 nm with a stopband of ~50 nm. The high reflectivity and broad stopband of YDH/SiO2 DBRs will enable further advancement of various photonic devices such as vertical-cavity surface-emitting lasers, resonant-cavity light-emitting diodes, and resonant-cavity photodetectors operating in the UV-C wavelength regime.

  6. Gracing incidence small angle neutron scattering of incommensurate magnetic structures in MnSi thin films

    Energy Technology Data Exchange (ETDEWEB)

    Wiedemann, Birgit; Pfleiderer, Christian; Boeni, Peter [Physik Department, Technische Universitaet Muenchen (Germany); Zhang, Shilei; Hesjedal, Thorsten [Clarendon Laboratory, Department of Physics, University of Oxford (United Kingdom); Khaydukov, Yury; Soltwedel, Olaf; Keller, Thomas [Max-Planck-Institut fuer Festkoerperforschung (Germany); Max Planck Society, Outstation at FRM-II (Germany); Muehlbauer, Sebastian [Forschungsneutronenquelle Heinz Maier Leibnitz, Technische Universitaet Muenchen (Germany); Chacon, Alfonso [Physik Department, Technische Universitaet Muenchen (Germany); Forschungsneutronenquelle Heinz Maier Leibnitz, Technische Universitaet Muenchen (Germany)

    2015-07-01

    The topological stability of skyrmions in bulk samples of MnSi and the observation of spin transfer torque effects at ultra-low current densities have generated great interest in skyrmions in chiral magnets as a new route towards next generation spintronics devices. Yet, the formation of skyrmions in MBE grown thin films of MnSi reported in the literature is highly controversial. We report gracing incidence small angle neutron scattering (GISANS) of the magnetic order in selected thin films of MnSi grown by state of the art MBE techniques. In combination with polarised neutron reflectometry (PNR) and magnetisation measurements of the same samples our data provide direct reciprocal space information of the incommensurate magnetic order, clarifying the nature of magnetic phase diagram.

  7. Modification of Light Emission in Si-Rich Silicon Nitride Films Versus Stoichiometry and Excitation Light Energy

    Science.gov (United States)

    Torchynska, T.; Khomenkova, L.; Slaoui, A.

    2018-04-01

    Si-rich SiN x films with different stoichiometry were grown on Si substrate by plasma-enhanced chemical vapor deposition. The Si content was varied by changing the NH3/SiH4 gas flow ratio from 0.45 up to 1.0. Conventional furnace annealing at 1100°C for 30 min was applied to produce the Si quantum dots (QDs) in the SiN x films. Spectroscopic ellipsometry was used to determine the refractive index of the SiN x films that allowed estimating the film's stoichiometry. Fourier transform infrared spectroscopy has been also used to confirm the stoichiometry and microstructure. Photoluminescence (PL) spectra of Si-rich SiN x films are complex. A non-monotonous variation of the different PL peaks versus Si excess contents testifies to the competition of different radiative channels. The analysis of PL spectra, measured at the different excitation light energies and variable temperatures, has revealed that the PL bands with the peaks within the range 2.1-3.0 eV are related to the carrier recombination via radiative native defects in the SiN x host. Simultaneously, the PL bands with the peaks at 1.5-2.0 eV are caused by the exciton recombination in the Si QDs of different sizes. The way to control the SiN x emission is discussed.

  8. Effect of p-Layer and i-Layer Properties on the Electrical Behaviour of Advanced a-Si:H/a-SiGe:H Thin Film Solar Cell from Numerical Modeling Prospect

    Directory of Open Access Journals (Sweden)

    Peyman Jelodarian

    2012-01-01

    Full Text Available The effect of p-layer and i-layer characteristics such as thickness and doping concentration on the electrical behaviors of the a-Si:H/a-SiGe:H thin film heterostructure solar cells such as electric field, photogeneration rate, and recombination rate through the cell is investigated. Introducing Ge atoms to the Si lattice in Si-based solar cells is an effective approach in improving their characteristics. In particular, current density of the cell can be enhanced without deteriorating its open-circuit voltage. Optimization shows that for an appropriate Ge concentration, the efficiency of a-Si:H/a-SiGe solar cell is improved by about 6% compared with the traditional a-Si:H solar cell. This work presents a novel numerical evaluation and optimization of amorphous silicon double-junction (a-Si:H/a-SiGe:H thin film solar cells and focuses on optimization of a-SiGe:H midgap single-junction solar cell based on the optimization of the doping concentration of the p-layer, thicknesses of the p-layer and i-layer, and Ge content in the film. Maximum efficiency of 23.5%, with short-circuit current density of 267 A/m2 and open-circuit voltage of 1.13 V for double-junction solar cell has been achieved.

  9. Effect of PECVD SiNx/SiOy Nx –Si interface property on surface passivation of silicon wafer

    International Nuclear Information System (INIS)

    Jia Xiao-Jie; Zhou Chun-Lan; Zhou Su; Wang Wen-Jing; Zhu Jun-Jie

    2016-01-01

    It is studied in this paper that the electrical characteristics of the interface between SiO y N x /SiN x stack and silicon wafer affect silicon surface passivation. The effects of precursor flow ratio and deposition temperature of the SiO y N x layer on interface parameters, such as interface state density Di t and fixed charge Q f , and the surface passivation quality of silicon are observed. Capacitance–voltage measurements reveal that inserting a thin SiO y N x layer between the SiN x and the silicon wafer can suppress Q f in the film and D it at the interface. The positive Q f and D it and a high surface recombination velocity in stacks are observed to increase with the introduced oxygen and minimal hydrogen in the SiO y N x film increasing. Prepared by deposition at a low temperature and a low ratio of N 2 O/SiH 4 flow rate, the SiO y N x /SiN x stacks result in a low effective surface recombination velocity (S eff ) of 6 cm/s on a p-type 1 Ω·cm–5 Ω·cm FZ silicon wafer. The positive relationship between S eff and D it suggests that the saturation of the interface defect is the main passivation mechanism although the field-effect passivation provided by the fixed charges also make a contribution to it. (paper)

  10. Effect of surface passivation by SiN/SiO2 of AlGaN/GaN high-electron mobility transistors on Si substrate by deep level transient spectroscopy method

    International Nuclear Information System (INIS)

    Gassoumi, Malek; Mosbahi, Hana; Zaidi, Mohamed Ali; Gaquiere, Christophe; Maaref, Hassen

    2013-01-01

    Device performance and defects in AlGaN/GaN high-electron mobility transistors have been correlated. The effect of SiN/SiO 2 passivation of the surface of AlGaN/GaN high-electron mobility transistors on Si substrates is reported on DC characteristics. Deep level transient spectroscopy (DLTS) measurements were performed on the device after the passivation by a (50/100 nm) SiN/SiO 2 film. The DLTS spectra from these measurements showed the existence of the same electron trap on the surface of the device

  11. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    Science.gov (United States)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  12. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    Science.gov (United States)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  13. Oxidation and Tribological Behavior of Ti-B-C-N-Si Nanocomposite Films Deposited by Pulsed Unbalanced Magnetron Sputtering.

    Science.gov (United States)

    Jang, Jaeho; Heo, Sungbo; Kim, Wang Ryeol; Kim, Jun-Ho; Nam, Dae-Geun; Kim, Kwang Ho; Park, Ikmin; Park, In-Wook

    2018-03-01

    Quinary Ti-B-C-N-Si nanocomposite films were deposited onto AISI 304 substrates using a pulsed d.c. magnetron sputtering system. The quinary Ti-B-C-N-Si (5 at.%) film showed excellent tribological and wear properties compared with those of the Ti-B-C-N films. The steady friction coefficient of 0.151 and a wear rate of 2 × 10-6 mm3N-1m-1 were measured for the Ti-B-C-N-Si films. The oxidation behavior of Ti-B-C-N-Si nanocomposite films was systematically investigated using X-ray diffraction (XRD), and thermal analyzer with differential scanning calorimetry (DSC) and thermogravimetric analysis (TGA). It is concluded that the addition of Si into the Ti-B-C-N film improved the tribological properties and oxidation resistance of the Ti-B-C-N-Si films. The improvements are due to the formation of an amorphous SiOx phase, which plays a major role in the self-lubricant tribo-layers and oxidation barrier on the film surface or in the grain boundaries, respectively.

  14. A genetic algorithm approach for evaluation of optical functions of very thin tantalum pentoxide films on Si substrate

    International Nuclear Information System (INIS)

    Sharlandjiev, P S; Nazarova, D I

    2013-01-01

    The optical characteristics of tantalum pentoxide films, deposited on Si(100) substrate by reactive sputtering, are studied. These films are investigated as high-kappa materials for the needs of nano-electronics, i.e. design of dynamic random access memories, etc. One problem in their implementation is that metal oxides are thermodynamically unstable with Si and an interfacial layer is formed between the oxide film and the silicon substrate during the deposition process. Herein, the center of attention is on the optical properties of that interfacial layer, which is studied by spectral photometric measurements. The evaluation of the optical parameters of the structure is fulfilled with the genetic algorithm approach. The spectral range of evaluation covers deep UV to NIR. The equivalent physical thickness (2.5 nm) and the equivalent refractive index of the interfacial layer are estimated from 236 to 750 nm as well as the thickness of the tantalum pentoxide film (9.5 nm). (paper)

  15. Reliability improvement of a-Si:H thin film transistors on plastic substrate with saturation in deep state after multiple bending cycles

    International Nuclear Information System (INIS)

    Lee, M.H.; Chen, P.-G.; Hsu, C.-C.

    2013-01-01

    For flexible electronic applications, the disordered bonds of a-Si:H may generate a redistribution of trapped states with mechanical strain. During mechanical strain, the deep states are redistributed in a Gaussian distribution and are dissimilar to ordinary acceptor-like deep states, which manifest with exponential distributions. The redistributed deep states may saturate with multiple mechanical bending cycles, and it would improve the reliability with drain current stress of a-Si:H TFTs (thin film transistors) on flexible substrates. We conclude that it is possible to produce low-cost and highly uniform active-matrix organic light emitting diodes systems for use in flexible display applications using a-Si:H TFTs array backplanes. - Highlights: • The stress stability of a-Si:H TFTs (thin-film transistors) was improved after bending cycles. • The saturated deep states after bending were confirmed. • The simulation and extracted gap state density of a-Si:H TFT under strain was calculated

  16. Nanomechanical properties of SiC films grown from C{sub 60} precursors using atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Morse, K. [Colorado School of Mines, Golden, CO (United States); Balooch, M.; Hamza, A.V.; Belak, J. [Lawrence Livermore National Lab., CA (United States)

    1994-12-01

    The mechanical properties of SiC films grown via C{sub 60} precursors were determined using atomic force microscopy (AFM). Conventional silicon nitride and modified diamond cantilever AFM tips were employed to determine the film hardness, friction coefficient, and elastic modulus. The hardness is found to be between 26 and 40 GPa by nanoindentation of the film with the diamond tip. The friction coefficient for the silicon nitride tip on the SiC film is about one third that for silicon nitride sliding on a silicon substrate. By combining nanoindentation and AFM measurements an elastic modulus of {approximately}300 GPa is estimated for these SiC films. In order to better understand the atomic scale mechanisms that determine the hardness and friction of SiC, we simulated the molecular dynamics of a diamond indenting a crystalline SiC substrate.

  17. Light scattering by SiOsub(x) and TiOsub(x) films

    Energy Technology Data Exchange (ETDEWEB)

    Biedermann, A; Hacker, E; Schirmer, G; Walther, H G

    1981-11-20

    The scattering intensities of vapour-deposited SiOsub(x) films (x approx. <= 2) are the other of 10/sup -4/ if the incidence intensity is unity. This is of the same order of magnitude as the scattering intensity from highly polished optical glass surfaces. The low level of scattering results from the nearly amorphous film structure. Reactively evaporated TiOsub(x) films also exhibit a very low scattering intensity, whereas for reactively sputtered TiO/sub 2/ films the scattering intensity is strongly dependent on the partial pressure of oxygen in the sputtering gas. For films sputtered in 100% O/sub 2/ the scattering level amounts to a few per cent. This is due to the large grain sizes of up to 1 ..mu..m in these films. For low plasma oxygen concentrations TiO/sub 2/ films sputtered under unbiased conditions show microcrystalline sturctures of the anatase modification. However, when the oxygen partial pressure is increased and a bias voltage is applied to the substrate the rutile modification is produced.

  18. Ferromagnetism and nonmetallic transport of thin-film α-FeSi(2): a stabilized metastable material.

    Science.gov (United States)

    Cao, Guixin; Singh, D J; Zhang, X-G; Samolyuk, German; Qiao, Liang; Parish, Chad; Jin, Ke; Zhang, Yanwen; Guo, Hangwen; Tang, Siwei; Wang, Wenbin; Yi, Jieyu; Cantoni, Claudia; Siemons, Wolter; Payzant, E Andrew; Biegalski, Michael; Ward, T Z; Mandrus, David; Stocks, G M; Gai, Zheng

    2015-04-10

    A metastable phase α-FeSi_{2} was epitaxially stabilized on a silicon substrate using pulsed laser deposition. Nonmetallic and ferromagnetic behaviors are tailored on α-FeSi_{2} (111) thin films, while the bulk material of α-FeSi_{2} is metallic and nonmagnetic. The transport property of the films renders two different conducting states with a strong crossover at 50 K, which is accompanied by the onset of a ferromagnetic transition as well as a substantial magnetoresistance. These experimental results are discussed in terms of the unusual electronic structure of α-FeSi_{2} obtained within density functional calculations and Boltzmann transport calculations with and without strain. Our finding sheds light on achieving ferromagnetic semiconductors through both their structure and doping tailoring, and provides an example of a tailored material with rich functionalities for both basic research and practical applications.

  19. XPS characterization of surface and interfacial structure of sputtered TiNi films on Si substrate

    International Nuclear Information System (INIS)

    Fu Yongqing; Du Hejun; Zhang, Sam; Huang Weimin

    2005-01-01

    TiNi films were prepared by co-sputtering TiNi and Ti targets. X-ray photoelectron spectroscopy (XPS) was employed to study surface chemistry of the films and interfacial structure of Si/TiNi system. Exposure of the TiNi film to the ambient atmosphere (23 deg. C and 80% relatively humidity) facilitated quick adsorption of oxygen and carbon on the surface. With time, carbon and oxygen content increased drastically at the surface, while oxygen diffused further into the layer. After a year, carbon content at the surface became as high as 65.57% and Ni dropped below the detection limit of XPS. Depth profiling revealed that significant inter-diffusion occurred between TiNi film and Si substrate with a layer of 90-100 nm. The detailed bond changes of different elements with depth were obtained using XPS and the formation of titanium silicides at the interface were identified

  20. New barrierless copper-alloy film for future applications

    Science.gov (United States)

    Lin, Chon-Hsin Lin

    2015-09-01

    Since Cu metallization results in a conductivity and an electromigration resistance greater than those of Al, it has become popular for making Si-based interconnects for numerous devices in the field of microelectronics. Following the current trend of miniaturization required for most electronic components, there is a greater need for further size reduction in Si-based devices. The most critical side effect of size reduction is the increase in electronic scattering and resistivity when the barrier-layer thickness is further reduced. To explore advanced Cu-metallization methods and to develop a more economical manufacturing process for Cu-alloy films, the development of Cu materials having better quality and higher thermal stability becomes imperative for the metallization and annealing processes. For this purpose, we first fabricated Cu(GeNx) films and examined their thermal stability and electrical reliability after either cyclic or isothermal annealing. The excellent thermal and electrical properties make these new Cu-alloy films highly promising for applications that require more reliable and inexpensive copper interconnects. In this study, we fabricated Cu alloy films by doping a minute amount of Ge or GeNx, respectively, into the Cu films via barrierless Cu metallization, an inexpensive manufacturing method. Using these newly fabricated alloy films, we were able to eliminate or at least substantially reduce the detrimental interaction between the alloy and the barrierless Si substrate. The Cu(GeNx) films also exhibited high thermal stability, low resistivity and leakage current, and long time-dependent dielectric breakdown (TDDB) lifetimes, making such novel films a candidate for high-quality, economical, and more reliable Cu interconnects.

  1. Comparison between radical- and energetic ion-induced growth of SiCxNy films in plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Afanasyev-Charkin, I.V.; Nastasi, M.

    2004-01-01

    Ternary SiC x N y compounds are materials with some remarkable properties such as high oxidation resistance and high hardness. In this work we compare the properties of SiC x N y films obtained using radio-frequency (rf) and pulsed glow discharge (PGD) plasmas with combinations of SiH 4 , C 2 H 2 , N 2 , and Ar source gases. The pulsed voltage used for the rf deposition was 200 V and for the PGD deposition it was 4 kV. During the rf growth, the growth takes place mostly by attaching neutral radicals to form chemical bonds. In the PGD method, the deposition takes place by subplantation and surface activation by energetic ions. We show that in the case of low-energy RF deposition, a high relative number of C-N bonds with sp 3 hybridization is formed and very few Si-C bonds can be observed. Apparently the growth of carbon nitride and silicon nitride networks takes place independently. This indicates that SiH 3 radicals attach to the dangling bonds of silicon and nitrogen, whereas C 2 H radicals attach to the dangling bonds of carbon and nitrogen. During pulsed glow discharge deposition, bonds between all three components are formed apparently by means of subplantation and damage-induced disorder. The hardness of the PGD films exceed that of the RF films, showing that to form a dense SiC x N y film one has to either supply energy during the growth of the films by heating the substrate, as in the case of chemical vapor deposition or by using energetic ions

  2. Relaxation of a strained 3C-SiC(1 1 1) thin film on silicon by He+ and O+ ion beam defect engineering

    International Nuclear Information System (INIS)

    Häberlen, M.; Murphy, B.; Stritzker, B.; Lindner, J.K.N.

    2012-01-01

    In this paper we report on the successful reduction of tensile strain in a thin strained ion-beam synthesized 3C-SiC(1 1 1) layer on silicon. The creation of a near-interface defect structure consisting of nanometric voids and stacking fault type defects by He ion implantation and subsequent annealing yields significant relaxation in the top SiC film. The microstructure of the defect layer is studied by transmission electron microscopy, and the strain state of the 3C-SiC layer was studied by high-resolution X-ray diffraction in a parallel beam configuration. Typical process conditions for the growth of GaN films on the SiC layer were emulated by high temperature treatments in a rapid thermal annealer or a quartz tube furnace. It is found that prolonged annealing at high temperatures leads to ripening of the voids and to a weaker reduction of the tensile strain. It is shown that this problem can be overcome by the co-implantation of oxygen ions to form highly thermally stable void/extended defect structures.

  3. Effect of ozone treatment on the optical and electrical properties of HfSiO thin films

    International Nuclear Information System (INIS)

    Geng, Yang; Yang, Wen; Zhu, Shang-Bin; Zhang, Yuan; Sun, Qing-Qing; Lu, Hong-Liang; Zhang, David Wei

    2014-01-01

    The effect of room temperature ozone oxidation treatment on thin HfSiO film grown by atomic layer deposition (ALD) has been investigated. The optical and electrical properties with different post-ozone oxidation time were characterized. The evolution of ozone interacting with HfSiO films was clearly illuminated. Ozone can repair the lossy chemical bonds and vacancies, resulting in the improvement of packing density and polarizability of HfSiO films. With more ozone entering the HfSiO films, the refractive index, dielectric constant, and interfacial properties can be greatly upgraded. Furthermore, the frequency dispersion of ALD-HfSiO film can be improved after O 3 treatment time for 8 min. (orig.)

  4. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  5. Low-temperature transport properties of chemical solution deposited polycrystalline La0.7Sr0.3MnO3 ferromagnetic films under a magnetic field

    International Nuclear Information System (INIS)

    Zhu, Junyu; Chen, Ying; Xu, Wenfei; Yang, Jing; Bai, Wei; Wang, Genshui; Duan, Chungang; Tang, Zheng; Tang, Xiaodong

    2011-01-01

    Polycrystalline La 0.7 Sr 0.3 MnO 3 (LSMO) films were prepared on SiO 2 /Si (001) substrates by chemical solution deposition technique. Electrical and magnetic properties of LSMO were investigated. A minimum phenomenon in resistivity is found at the low temperature ( 0.7 Sr 0.3 MnO 3 films were grown by a modified chemical solution deposition route. → High quality LSMO thin films were prepared directly onto SiO 2 /Si substrates. → Abnormality in resistivity of LSMO films at low temperatures was studied in detail. → The abnormality was mainly attributed to Kondo-like spin dependent scattering.

  6. Quantitative measurement of local elasticity of SiOx film by atomic force acoustic microscopy

    International Nuclear Information System (INIS)

    Cun-Fu, He; Gai-Mei, Zhang; Bin, Wu

    2010-01-01

    In this paper the elastic properties of SiO x film are investigated quantitatively for local fixed point and qualitatively for overall area by atomic force acoustic microscopy (AFAM) in which the sample is vibrated at the ultrasonic frequency while the sample surface is touched and scanned with the tip contacting the sample respectively for fixed point and continuous measurements. The SiO x films on the silicon wafers are prepared by the plasma enhanced chemical vapour deposition (PECVD). The local contact stiffness of the tip-SiO x film is calculated from the contact resonance spectrum measured with the atomic force acoustic microscopy. Using the reference approach, indentation modulus of SiO x film for fixed point is obtained. The images of cantilever amplitude are also visualized and analysed when the SiO x surface is excited at a fixed frequency. The results show that the acoustic amplitude images can reflect the elastic properties of the sample. (classical areas of phenomenology)

  7. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  8. Determination of the optical parameters of a-Si:H thin films ...

    Indian Academy of Sciences (India)

    single-effective oscillator model to the a-Si:H samples to calculate the optical ..... et al [23] and have similar trend as those shown by El-Sayed and Amin [24]. .... [3] K L Chopra, Thin film phenomena (McGraw-Hill Book Company, USA, 1969).

  9. High-Throughput Synthesis and Characterization of Eu Doped Ba xSr2- xSiO4 Thin Film Phosphors.

    Science.gov (United States)

    Frost, Sara; Guérin, Samuel; Hayden, Brian E; Soulié, Jean-Philippe; Vian, Chris

    2018-06-20

    High-throughput techniques have been employed for the synthesis and characterization of thin film phosphors of Eu-doped Ba x Sr 2- x SiO 4 . Direct synthesis from evaporation of the constituent elements under a flux of atomic oxygen on a sapphire substrate at 850 °C was used to directly produce thin film libraries (415 nm thickness) of the crystalline orthosilicate phase with the desired compositional variation (0.24 > x > 1.86). The orthosilicate phase could be synthesized as a pure, or predominantly pure, phase. Annealing the as synthesized library in a reducing atmosphere resulted in the reduction of the Eu while retaining the orthosilicate phase, and resulted in a materials thin film library where fluorescence excited by blue light (450 nm) was observable by the naked eye. Parallel screening of the fluorescence from the combinatorial libraries of Eu doped Ba x Sr 2- x SiO 4 has been implemented by imaging the fluorescent radiation over the library using a monochrome digital camera using a series of color filters. Informatics tools have been developed to allow the 1931 CIE color coordinates and the relative quantum efficiencies of the materials library to be rapidly assessed and mapped against composition, crystal structure and phase purity. The range of compositions gave values of CIE x between 0.17 and 0.52 and CIE y between 0.48 and 0.69 with relative efficiencies in the range 2.0 × 10 -4 -7.6 × 10 -4 . Good agreement was obtained between the thin film phosphors and the fluorescence characteristics of a number of corresponding bulk phosphor powders. The thermal quenching of fluorescence in the thin film libraries was also measured in the temperature range 25-130 °C: The phase purity of the thin film was found to significantly influence both the relative quantum efficiency and the thermal quenching of the fluorescence.

  10. Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence

    International Nuclear Information System (INIS)

    Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.

    2013-01-01

    Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)

  11. Atmospheric Plasma Deposition of SiO2 Films for Adhesion Promoting Layers on Titanium

    Directory of Open Access Journals (Sweden)

    Liliana Kotte

    2014-12-01

    Full Text Available This paper evaluates the deposition of silica layers at atmospheric pressure as a pretreatment for the structural bonding of titanium (Ti6Al4V, Ti15V3Cr3Sn3Al in comparison to an anodizing process (NaTESi process. The SiO2 film was deposited using the LARGE plasma source, a linearly extended DC arc plasma source and applying hexamethyldisiloxane (HMDSO as a precursor. The morphology of the surface was analyzed by means of SEM, while the characterization of the chemical composition of deposited plasma layers was done by XPS and FTIR. The long-term durability of bonded samples was evaluated by means of a wedge test in hot/wet condition. The almost stoichiometric SiO2 film features a good long-term stability and a high bonding strength compared to the films produced with the wet-chemical NaTESi process.

  12. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    Science.gov (United States)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  13. Annealing of TiO2 Films Deposited on Si by Irradiating Nitrogen Ion Beams

    International Nuclear Information System (INIS)

    Yokota, Katsuhiro; Yano, Yoshinori; Miyashita, Fumiyoshi

    2006-01-01

    Thin TiO2 films were deposited on Si at a temperature of 600 deg. C by an ion beam assisted deposition (IBAD) method. The TiO2 films were annealed for 30 min in Ar at temperatures below 700 deg. C. The as-deposited TiO2 films had high permittivities such 200 εo and consisted of crystallites that were not preferentially oriented to the c-axis but had an expanded c-axis. On the annealed TiO2 films, permittivities became lower with increasing annealing temperature, and crystallites were oriented preferentially to the (110) plane

  14. TaSiN nanocomposite thin films: Correlation between structure, chemical composition, and physical properties

    International Nuclear Information System (INIS)

    Ramírez, G.; Oezer, D.; Rivera, M.; Rodil, S.E.; Sanjinés, R.

    2014-01-01

    The structural and electronic properties of fcc-TaN/SiN x nanocomposite thin films deposited by reactive magnetron sputtering have been investigated as function of the N and Si contents. Our studies have been mainly focused on three different types of nanocomposite Ta x Si y N z films based on: nitrogen deficient fcc-TaN 0.88 , nearly stoichiometric fcc-TaN, and over-stoichiometric fcc-TaN 1.2 with the Si contents in the range from 0 to about 15 at.%. The optical properties were investigated by ellipsometric measurements, while the DC. electrical resistivity was measured using the van der Pauw configuration at 300 K. The optical measurements were interpreted using the standard Drude–Lorentz model. The results showed that the electronic properties are closely correlated with both the compositional and the structural modifications of the Ta x Si y N z films induced by the addition of Si atoms, and also depending on the stoichiometry of the starting fcc-TaN system. Thus, depending on both the nitrogen and the silicon contents, the fcc-Ta x Si y N z films can exhibit room temperature resistivity values ranging from 10 2 μΩ cm to about 6 × 10 4 μΩ cm. - Highlights: • TaSiN films were grown using dual magnetron sputtering system. • The physical and structural properties were correlated with the deposition parameters. • The electrical properties were influenced by the nitrogen and silicon contents on the films

  15. Target swapping in PLD: An efficient approach for CdS/SiO2 and CdS:Ag(1%)/SiO2 nanocomposite thin films with enhanced luminescent properties

    International Nuclear Information System (INIS)

    Saxena, Nupur; Kumar, Pragati; Gupta, Vinay

    2017-01-01

    A novel synthesis method for luminescent and by-products (like CdO) free CdS/SiO 2 and CdS:Ag(1%)/SiO 2 (i.e. 1%Ag doped CdS/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS, CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency ratio of 2:8 laser pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (i.e. 2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS/ CdS:Ag(1%) nanocrystals in nanocomposite systems after annealing at 500 °C. Highly intense and broad red emission is achieved from CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiencies of emission from pristine CdS:SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites are found to be enhanced by approximately two times as compared to sole nanocrystalline CdS and CdS:Ag(1%) thin films respectively and further enhanced upto 7 times on annealing the nanocomposite systems at 500 °C. - Graphical abstract: A modified synthesis method for luminescent and by-products (like CdO) free undoped &1% Ag doped CdS/SiO 2 (deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS or CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency of 2:8 pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS nanocrystals in nanocomposite systems after annealing at 500 °C. Intense and broad red emission is achieved from deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiency of

  16. In induced reconstructions of Si(1 1 1) as superlattice matched epitaxial templates for InN growth

    International Nuclear Information System (INIS)

    Kuyyalil, Jithesh; Tangi, Malleswararao; Shivaprasad, S.M.

    2013-01-01

    Graphical abstract: Display Omitted Highlights: ► A novel growth method to form InN at low growth temperatures. ► Use of Si reconstruction as a growth template for group III nitrides. ► Band gap variation of InN – Moss–Burstein shift – non-parabolic conduction band for InN. ► Super lattice matching epitaxy of metal induced reconstructions with III–V unit cell. -- Abstract: Indium induced surface reconstructions of Si(1 1 1)-7 × 7 are used as templates to grow high quality InN. We grow InN on Si(1 1 1)-7 × 7, Si(1 1 1)-4 × 1-In and Si(1 1 1)-1 × 1-In reconstructed surfaces and study the quality of the films formed using complementary characterization tools. InN grown on Si(1 1 1)-1 × 1-In reconstruction shows superior film quality with lowest band-edge emission having a narrow full width at half maximum, intense and narrow 0 0 0 2 X-ray diffraction, low surface roughness and carrier concentration an order lower than other samples. We attribute the high quality of the film formed at 300 °C to the integral matching of InN and super lattice dimensions, we also study the reasons for the band gap variation of InN in the literature. Present study demonstrates the proposed Superlattice Matched Epitaxy can be a general approach to grow good quality InN at much lower growth temperature on compatible In induced reconstructions of the Si surface.

  17. Interface thermal resistance of nanostructured FeCoCu film and Si substrate

    Science.gov (United States)

    Nikolaenko, Yuri M.; Medvedev, Yuri V.; Genenko, Yuri A.; Ghafari, Mohammad; Hahn, Horst

    2006-05-01

    Results of measurement of thermal resistance (RFS ) of film substrate interface of 10 nm (Fe1-x Cox )1-y Cuy film on Si substrate with 50 nm SiO2 sublayer are presented. The estimated magnitude is two orders greater then RFS of epitaxial manganite films on StTiO3 substrate with and without sublayer. The significant increase of RFS is explained by granular structure of film with average size of grain about 10 nm. In this case the additional thermal barier in the film-substrate interface is appeared. It provides the change of regime of phonons propagation from ballistic to diffusion one. The principle possibility of variation of RFS in wide range as a task of nanotechnology is discussed.

  18. Picosecond laser pulse-driven crystallization behavior of SiSb phase change memory thin films

    International Nuclear Information System (INIS)

    Huang Huan; Li Simian; Zhai Fengxiao; Wang Yang; Lai Tianshu; Wu Yiqun; Gan Fuxi

    2011-01-01

    Highlights: → We reported crystallization dynamics of a novel SiSb phase change material. → We measured optical constants of as-deposited and irradiated SiSb areas. → Optical properties of as-deposited and irradiated SiSb thin film were compared. → Crystallization of irradiated SiSb was confirmed by using AFM and micro-Raman spectra. → The heat conduction effect of lower metal layer of multi-layer films was studied. - Abstract: Transient phase change crystallization process of SiSb phase change thin films under the irradiation of picosecond (ps) laser pulse was studied using time-resolved reflectivity measurements. The ps laser pulse-crystallized domains were characterized by atomic force microscope, Raman spectra and ellipsometrical spectra measurements. A reflectivity contrast of about 15% can be achieved by ps laser pulse-induced crystallization. A minimum crystallization time of 11 ns was achieved by a low-fluence single ps laser pulse after pre-irradiation. SiSb was shown to be very promising for fast phase change memory applications.

  19. Polycrystalline Mg2Si thin films: A theoretical investigation of their electronic transport properties

    International Nuclear Information System (INIS)

    Balout, H.; Boulet, P.; Record, M.-C.

    2015-01-01

    The electronic structures and thermoelectric properties of a polycrystalline Mg 2 Si thin film have been investigated by first-principle density-functional theory (DFT) and Boltzmann transport theory calculations within the constant-relaxation time approximation. The polycrystalline thin film has been simulated by assembling three types of slabs each having the orientation (001), (110) or (111) with a thickness of about 18 Å. The effect of applying the relaxation procedure to the thin film induces disorder in the structure that has been ascertained by calculating radial distribution functions. For the calculations of the thermoelectric properties, the energy gap has been fixed at the experimental value of 0.74 eV. The thermoelectric properties, namely the Seebeck coefficient, the electrical conductivity and the power factor, have been determined at three temperatures of 350 K, 600 K and 900 K with respect to both the energy levels and the p-type and n-type doping levels. The best Seebeck coefficient is obtained at 350 K: the S yy component of the tensor amounts to about ±1000 μV K −1 , depending on the type of charge carriers. However, the electrical conductivity is much too small which results in low values of the figure of merit ZT. Structure–property relationship correlations based on directional radial distribution functions allow us to tentatively draw some explanations regarding the anisotropy of the electrical conductivity. Finally, the low ZT values obtained for the polycrystalline Mg 2 Si thin film are paralleled with those recently reported in the literature for bulk chalcogenide glasses. - Graphical abstract: Structure of the polycrystalline thin film of Mg 2 Si. - Author-Highlights: • Polycrystalline Mg 2 Si film has been modelled by DFT approach. • Thermoelectric properties have been evaluated by semi-classical Boltzmann theory. • The structure was found to be slightly disordered after relaxation. • The highest value of Seebeck

  20. Rapid thermally annealed plasma deposited SiNx:H thin films: Application to metal-insulator-semiconductor structures with Si, In0.53Ga0.47As, and InP

    International Nuclear Information System (INIS)

    Martil, I.; Prado, A. del; San Andres, E.; Gonzalez Diaz, G.; Martinez, F.L.

    2003-01-01

    We present in this article a comprehensive study of rapid thermal annealing (RTA) effects on the physical properties of SiN x :H thin films deposited by the electron cyclotron resonance plasma method. Films of different as-deposited compositions (defined in this article as the nitrogen to silicon ratio, x=N/Si) were analyzed: from Si-rich (x=0.97) to N-rich (x=1.6) films. The evolution of the composition, bonding configuration, and paramagnetic defects with the annealing temperature are explained by means of different network bond reactions that take place depending on the as-deposited film composition. All the analyzed films release hydrogen, while Si-rich and near-stoichiometric (x=1.43) ones also lose nitrogen upon annealing. These films were used to make Al/SiN x :H/semiconductor devices with Si, In 0.53 Ga 0.47 As, and InP. After RTA treatments, the electrical properties of the three different SiN x :H/semiconductor interfaces can be explained, noting the microstructural modifications that SiN x :H experiences upon annealing

  1. Polycrystalline silicon thin-film solar cells on glass

    Energy Technology Data Exchange (ETDEWEB)

    Gall, S.; Becker, C.; Conrad, E.; Dogan, P.; Fenske, F.; Gorka, B.; Lee, K.Y.; Rau, B.; Ruske, F.; Rech, B. [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH (formerly Hahn-Meitner-Institut Berlin GmbH), Department Silicon Photovoltaics (SE1), Kekulestr. 5, D-12489 Berlin (Germany)

    2009-06-15

    Poly-Si thin-film solar cells on glass feature the potential to reach single-junction efficiencies of 15% or even higher at low costs. In this paper innovative approaches are discussed, which could lead to substantial efficiency improvements and significant cost reductions: (i) preparation of large-grained poly-Si films using the 'seed layer concept' targeting at high material quality, (ii) utilization of ZnO:Al-coated glass enabling simple contacting and light-trapping schemes, (iii) utilization of high-rate electron-beam evaporation for the absorber deposition offering a high potential for cost reduction. (author)

  2. A study of strain in thin epitaxial films of yttrium silicide on Si(111)

    International Nuclear Information System (INIS)

    Siegal, M.F.; Martinez-Miranda, L.J.; Santiago-Aviles, J.J.; Graham, W.R.; Siegal, M.P.

    1994-01-01

    We present the results of an x-ray diffraction analysis of epitaxial yttrium silicide films grown on Si(111), with thicknesses ranging from 14 to 100 A. The macroscopic strain along the out-of-plane direction for films containing pits or pinholes follows the trend observed previously in films of thicknesses up to 510 A. The out-of-plane lattice parameter decreases linearly with film thickness. We show preliminary evidence that pinhole-free films do not follow the above trend, and that strain in these films has the opposite sign than in films with pinholes. Finally, our results also indicate that the mode of growth, coupled to the interfacial thermal properties of the films, affects the observed value for the strain in the films

  3. Surfactant-mediated growth of ultrathin Ge and Si films and their interfaces: Interference-enhanced Raman study

    OpenAIRE

    Kanakaraju, S; Sood, AK; Mohan, S

    2000-01-01

    We report on the growth and interfaces of ultrathin polycrystalline Ge and Si films when they are grown on each other using ion beam sputter deposition with and without surfactant at different growth temperatures, studied using interference enhanced Raman spectroscopy. Ge films grown on Si without surfactant show Ge segregation at the interfaces forming an alloy of GexSi1-x as indicated by the Ge-Si Raman mode. However, use of Sb as surfactant strongly suppresses the intermixing. Also Si film...

  4. Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO{sub 2} nanogranular films

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Li Qiang, E-mail: lqzhu@nimte.ac.cn; Chao, Jin Yu; Xiao, Hui [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China)

    2014-12-15

    Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO{sub 2} nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics.

  5. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si{sub 3}N{sub 4}/DLC substrate

    Energy Technology Data Exchange (ETDEWEB)

    Roman, W S; Riascos, H [Grupo Plasma, Laser y Aplicaciones, Universidad Tecnologica de Pereira (Colombia); Caicedo, J C [Grupo de PelIculas Delgadas, Universidad del Valle, Cali (Colombia); Ospina, R [Laboratorio de Plasma, Universidad Nacional de Colombia, sede Manizales (Colombia); Tirado-MejIa, L, E-mail: hriascos@utp.edu.c [Laboratorio de Optoelectronica, Universidad del Quindio (Colombia)

    2009-05-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si{sub 3}N{sub 4} substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm{sup -2}, 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm{sup -1} for B - N bonding and bands around 1700 cm{sup -1} associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), alpha-Si{sub 3}N{sub 4} (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si{sub 3}N{sub 4}/DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  6. Collective-pinning properties of superconducting a-NbxGe and a-MoxSi films

    International Nuclear Information System (INIS)

    Woerdenweber, R.

    1987-01-01

    This thesis describes a study of superconducting flux pinning in amorphous NbGe and MoSi films of various compositions and thickness. Amorphous NbGe and MoSi alloys belong to the weak-coupling type-II superconductors in the extreme dirty limit. This feature enables to determine several important superconducting parameters from well-known theoretical expressions. It is also responsible for the weak pinning, which is necessary to observe the two-dimensional collective pinning properties according to the Larkin-Ovchinnikov theory. (Auth.)

  7. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    Science.gov (United States)

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  8. RF and microwave noise suppression in a transmission line using Fe-Si-Al/Ni-Zn magnetic composite films

    International Nuclear Information System (INIS)

    Lee, J. W.; Hong, Y. K.; Kim, K.; Joo, J.; Yoon, Y. W.; Kim, S. W.; Kim, Y. B.; Kim, K. Y.

    2006-01-01

    Radio-frequency (RF) and microwave noise suppression by using magnetic composite films on a microstrip line (MSL) was studied in the frequency range from 50 MHz to 13.5 GHz. The MSL was composed of a Cu transmission line, dielectric materials, and a Cu substrate. The Fe-Si-Al/Ni-Zn magnetic composite films were placed on the MSL, and the reflection and the transmission characteristics were investigated. We observed that RF and microwave noise suppression caused by the Fe-Si-Al/Ni-Zn magnetic composite films varied with the concentration ratio of the sendust (Fe-Si-Al) and the Ni-Zn ferrite. The frequency dependence of the power loss due to the composite films on the MSL was measured and the power loss increased at higher frequencies with increasing concentration of the sendust in the composites. The electromagnetic interference shielding efficiencies of the magnetic composite films in the far-field region are also discussed.

  9. Compositional analysis of silicon nitride films on Si and GaAs by backscattering spectrometry and nuclear resonance reaction analysis

    International Nuclear Information System (INIS)

    Kumar, Sanjiv; Raju, V.S.

    2004-01-01

    This paper describes the application of proton and α-backscattering spectrometry for the determination of atomic ratio of Si to N in 1100-5000 A silicon nitride films on Si and GaAs. The conventional α-Rutherford backscattering spectrometry is suitable for the analysis of films on Si; it is rather inadequate for films on GaAs due to higher background from the substrate. It is shown that these films can be analysed by 14 N(α,α) 14 N scattering with 3.5 MeV α-particles. Proton elastic scattering with enhanced cross sections for 28 Si(p,p) 28 Si and 14 N(p,p) 14 N scatterings, is also suitable for analysing films on GaAs. However, the analysis of films on Si by this technique is difficult due to interferences between the signals of Si from the film and the substrate. In addition, the hydrogen content in films is determined by 1 H( 19 F,αγ) 16 O nuclear reaction analysis using the resonance at 6.4 MeV. The combination of backscattering spectrometry with nuclear reaction analysis provides compositional analysis of ternary Si 1-(x+y) N x H y films

  10. The analysis of structural and electronic environments of silicon network in HWCVD deposited a-SiC:H films

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2007-01-01

    Hydrogenated amorphous silicon carbon alloys (a-SiC:H) films were deposited by hot wire chemical vapour deposition (HWCVD) using SiH 4 and C 2 H 2 as precursor gases. a-SiC:H films were characterized by Fourier Transform Infrared (FTIR) spectroscopy, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS). Solid-state plasmon of Si network shifts from 19.2 to 20.5 eV by varying C 2 H 2 flow rate from 2 to 10 sccm. Incorporation of carbon content changes the valence band structure and s orbital is more dominant than sp and p orbital with carbon incorporation

  11. Wake-up effects in Si-doped hafnium oxide ferroelectric thin films

    International Nuclear Information System (INIS)

    Zhou, Dayu; Xu, Jin; Li, Qing; Guan, Yan; Cao, Fei; Dong, Xianlin; Müller, Johannes; Schenk, Tony; Schröder, Uwe

    2013-01-01

    Hafnium oxide based ferroelectric thin films have shown potential as a promising alternative material for non-volatile memory applications. This work reports the switching stability of a Si-doped HfO 2 film under bipolar pulsed-field operation. High field cycling causes a “wake-up” in virgin “pinched” polarization hysteresis loops, demonstrated by an enhancement in remanent polarization and a shift of negative coercive voltage. The rate of wake-up is accelerated by either reducing the frequency or increasing the amplitude of the cycling field. We suggest de-pinning of domains due to reduction of the defect concentration at bottom electrode interface as origin of the wake-up

  12. Growth of high-quality large-area MgB2 thin films by reactive evaporation

    International Nuclear Information System (INIS)

    Moeckly, B H; Ruby, W S

    2006-01-01

    We report a new in situ reactive deposition thin film growth technique for the production of MgB 2 thin films which offers several advantages over all existing methods and is the first deposition method to enable the production of high-quality MgB 2 films for real-world applications. We have used this growth method, which incorporates a rotating pocket heater, to deposit MgB 2 films on a variety of substrates, including single-crystalline, polycrystalline, metallic, and semiconductor materials up to 4 inch in diameter. This technique allows growth of double-sided, large-area films in the intermediate temperature range of 400-600 deg. C. These films are clean, well-connected, and consistently display T c values of 38-39 K with low resistivity and residual resistivity values. They are also robust and uncommonly stable upon exposure to atmosphere and water. (rapid communication)

  13. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  14. X-ray diffraction analysis of thermally-induced stress relaxation in ZnO films deposited by magnetron sputtering on (100) Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Conchon, F., E-mail: florineconchon@gmail.co [Laboratoire de Physique des Materiaux (PHYMAT) UMR 6630, Universite de Poitiers, 86962 Futuroscope-Chasseneuil (France); Renault, P.O.; Goudeau, P.; Le Bourhis, E. [Laboratoire de Physique des Materiaux (PHYMAT) UMR 6630, Universite de Poitiers, 86962 Futuroscope-Chasseneuil (France); Sondergard, E.; Barthel, E.; Grachev, S. [Laboratoire de Surface du Verre et Interfaces (SVI), UMR 125, 93303 Aubervilliers (France); Gouardes, E.; Rondeau, V.; Gy, R. [Laboratoire de Recherche de Saint-Gobain (SGR), 93303 Aubervilliers (France); Lazzari, R.; Jupille, J. [Institut des Nanosciences de Paris (INSP), UMR 7588, 75015 Paris (France); Brun, N. [Laboratoire de Physique des Solides (LPS), UMR 8502, 91405 Orsay (France)

    2010-07-01

    Residual stresses in sputtered ZnO films on Si are determined and discussed. By means of X-ray diffraction, we show that as-deposited ZnO films are highly compressively stressed. Moreover, a transition of stress is observed as a function of the post-deposition annealing temperature. After an 800 {sup o}C annealing, ZnO films are tensily stressed while ZnO films encapsulated by Si{sub 3}N{sub 4} are stress-free. With the aid of in-situ X-ray diffraction under ambient and argon atmosphere, we argue that this thermally activated stress relaxation may be attributed to a variation of the stoichiometry of the ZnO films.

  15. Enhanced tribological behavior of anodic films containing SiC and PTFE nanoparticles on Ti6Al4V alloy

    International Nuclear Information System (INIS)

    Li, Songmei; Zhu, Mengqi; Liu, Jianhua; Yu, Mei; Wu, Liang; Zhang, Jindan; Liang, Hongxing

    2014-01-01

    Highlights: • An environmental friendly sodium tartrate (C 4 O 6 H 4 Na 2 ) electrolyte is used. • SiC and PTFE nanoparticles reduce friction coefficient of composite films. • SiC and PTFE nanoparticles demonstrate a favorable synergistic effect on improving tribological properties of composite films. • Lubricating mechanisms of SiC and PTFE nanoparticles are discussed. - Abstract: Anodic films containing SiC and polytetrafluoroethylene (PTFE) nanoparticles were successfully fabricated on Ti6Al4V alloy by using anodic oxidation method in an environmental friendly electrolyte. The morphology, structure and composition of the films were studied with the scanning electron microscope (SEM) and energy dispersive spectrometer (EDS). The results showed that the film contained a layered structure and have a surface full of petaloid bulges, which was totally different from the common anodic oxide film of the porous kind. The tribological properties of the films were investigated with dry friction tests in terms of the friction coefficient, wear rate and the morphology of worn surfaces. The results indicated that the SiC/PTFE composite film exhibited much better anti-wear and anti-friction performances than that of the SiC composite film, the PTFE composite film and the ordinary film without nanoparticles. The SiC/PTFE composite film has friction coefficient of 0.1 and wear rate of 20.133 mg/m, which was decreased respectively by 80% and 44.5% compared with that of the ordinary film. The lubricating mechanisms of the composite film containing SiC and PTFE nanoparticles were discussed. PTFE nanoparticles could lead to the formation of lubricating layer while SiC nanoparticles inside the lubricating layer turned sliding friction to rolling friction

  16. Effect of hydrostatic pressure on photoluminescence spectra from structures with Si nanocrystals fabricated in SiO2 matrix

    International Nuclear Information System (INIS)

    Zhuravlev, K.S.; Tyschenko, I.E.; Vandyshev, E.N.; Bulytova, N.V.; Misiuk, A.; Rebohle, L.; Skorupa, W.

    2002-01-01

    The effect of hydrostatic pressure applied at high temperature on photoluminescence of Si-implanted SiO 2 films was studied. A 'blue'-shift of PL spectrum from the SiO 2 films implanted with Si + ions to total dose of 1.2x10 17 cm -2 with increase in hydrostatic pressure was observed. For the films implanted with Si + ions to a total dose of 4.8x10 16 cm -2 high temperature annealing under high hydrostatic pressure (12 kbar) causes a 'red'-shift of photoluminescence spectrum. The 'red' photoluminescence bands are attributed to Si nanocrystals while the 'blue' ones are related to Si nanocrystals of reduced size or chains of silicon atoms or Si-Si defects. A decrease in size of Si nanocluster occurs in result of the pressure-induced decrease in the diffusion of silicon atoms. (author)

  17. Hydrogen passivation of polycrystalline Si thin film solar cells

    International Nuclear Information System (INIS)

    Gorka, Benjamin

    2010-01-01

    found for poly-Si with largest grains and coincides with best solar cell results, obtained after rapid thermal annealing and hydrogen passivation. Hydrogen passivation of poly-Si films was successfully achieved with a parallel plate rf H plasma treatment at elevated temperatures around 500 C to 600 C. Yet it seems that treatment induced defect generation causes a loss in V OC with prolonged passivation time and should be minimized. In order to achieve high open circuit voltages larger than 450 mV, in addition to hydrogen passivation, low recombination at the interfaces becomes more and more important. (orig.)

  18. Self-aligned top-gate InGaZnO thin film transistors using SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Wong, Man; Kwok, Hoi Sing

    2013-12-02

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin film transistors (TFTs) utilizing SiO{sub 2}/Al{sub 2}O{sub 3} stack thin films as gate dielectric are developed in this paper. Due to high quality of the high-k Al{sub 2}O{sub 3} and good interface between active layer and gate dielectric, the resulting a-IGZO TFT exhibits good electrical performance including field-effect mobility of 9 cm{sup 2}/Vs, threshold voltage of 2.2 V, subthreshold swing of 0.2 V/decade, and on/off current ratio of 1 × 10{sup 7}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric is proposed. • The source/drain areas are hydrogen-doped by CHF{sub 3} plasma. • The devices show good electrical performance and scaling down behavior.

  19. Structural evolution of Ge-rich Si1−xGex films deposited by jet-ICPCVD

    Directory of Open Access Journals (Sweden)

    Yu Wang

    2015-11-01

    Full Text Available Amorphous Ge-rich Si1−xGex films with local Ge-clustering were deposited by dual-source jet-type inductively coupled plasma chemical-vapor deposition (jet-ICPCVD. The structural evolution of the deposited films annealed at various temperatures (Ta is investigated. Experimental results indicate that the crystallization occurs to form Ge and Si clusters as Ta = 500 °C. With raising Ta up to 900 °C, Ge clusters percolate together and Si diffuses and redistributes to form a Ge/SiGe core/shell structure, and some Ge atoms partially diffuse to the surface as a result of segregation. The present work will be helpful in understanding the structural evolution process of a hybrid SiGe films and beneficial for further optimizing the microstructure and properties.

  20. Adhesion study of low-k/Si system using 4-point bending and nanoscratch test

    International Nuclear Information System (INIS)

    Damayanti, M.; Widodo, J.; Sritharan, T.; Mhaisalkar, S.G.; Lu, W.; Gan, Z.H.; Zeng, K.Y.; Hsia, L.C.

    2005-01-01

    Chemical vapour deposited (CVD) low-k films using tri-methyl-silane (3MS) and tetra-methyl cyclo-tetra-siloxanes (TMCTS) precursors were studied. A 4-point bend test (4PBT) was performed to assess the adhesion property of the low-k films to Si substrates and the results were compared with that of simpler method, nanoscratch test (NST), as a quality control tool despite its drawbacks. Adhesion energy, G c , of the low-k/Si interface as measured by 4PBT and critical scratch load, P c , as obtained by NST display a linear relationship with hardness and modulus of the low-k film. The lowering of G c as the hardness of the film decreases can be explained by the effects of the C introduction into the Si-O networks found in these films. Lower carbon content for higher hardness films is thought to cause them to be more 'silica-like', and thus, exhibit better adhesion with the Si substrate. Two failure modes were observed for specimens under 4PBT. On one hand, films with low hardness ( c ( 2 ) with an adhesive separation of low-k from the Si substrate. On the other hand, films of high hardness (>5 GPa) display interfacial energies in excess of 10 J/m 2 with delamination of epoxy from the Si substrate, thus, indicating excellent adhesion between the low-k films and Si substrate. For the low hardness films, good correlation exists between P c and G c . However, the two data points of the high hardness films that gave the two highest P c and G c values do not lie on the correlation line drawn for the low hardness film data points due to different factors governing the failure in both tests and a change in the 4PBT failure mechanism

  1. A green and facile hydrothermal approach for the synthesis of high-quality semi-conducting Sb{sub 2}S{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Meng; Gong, Yongshuai; Li, Zhilin; Dou, Meiling, E-mail: douml@mail.buct.edu.cn; Wang, Feng, E-mail: wangf@mail.buct.edu.cn

    2016-11-30

    Highlights: • A green and facile hydrothermal approach for Sb{sub 2}S{sub 3} films was developed. • The film possessed a relatively ideal S/Sb atomic ratio and a compact surface. • The grain size of Sb{sub 2}S{sub 3} was increased by high temperature annealing. • The film annealed at 450 °C showed the improved optical and electrical performance. - Abstract: High-quality semi-conducting antimony sulfide (Sb{sub 2}S{sub 3}) thin films were directly deposited on the indium tin oxide (ITO) substrates by a green and facile one-step approach based on a hydrothermal reaction and post-annealing process without any assistance of complexing agents. The obtained Sb{sub 2}S{sub 3} films possessed a relatively ideal S/Sb atomic ratio and a compact and continuous surface as the grain size of Sb{sub 2}S{sub 3} was increased by high temperature annealing. The Sb{sub 2}S{sub 3} film annealed at 450 °C exhibited the improved optical and electrical performances, with a narrow band gap of 1.63 eV, an electrical resistivity of 1.3 × 10{sup 4} Ω cm, a carrier concentration of 7.3 × 10{sup 13} cm{sup −3} and a carrier mobility of 6.4 cm{sup 2} V{sup −1} s{sup −1}. This environmentally friendly synthetic route is promising for the preparation of high-quality Sb{sub 2}S{sub 3} films to be used as absorber layer materials for high-performance solar cells.

  2. Towards a laser fluence dependent nanostructuring of thin Au films on Si by nanosecond laser irradiation

    International Nuclear Information System (INIS)

    Ruffino, F.; Pugliara, A.; Carria, E.; Romano, L.; Bongiorno, C.; Fisicaro, G.; La Magna, A.; Spinella, C.; Grimaldi, M.G.

    2012-01-01

    Highlights: ► Au nanoclusters are produced by nanosecond laser irradiations of thin Au film on Si. ► The shape, size, and surface density of the Au nanoclusters are tunable by laser fluence. ► The formation dynamic of the Au nanoclusters under nanosecond laser irradiation is analyzed. - Abstract: In this work, we study the nanostructuring effects of nanosecond laser irradiations on 5 nm thick Au film sputter-deposited on Si. After deposition of Au on Si substrate, nanosecond laser irradiations were performed increasing the laser fluence from 750 to 1500 mJ/cm 2 . Several analyses techniques, such as Rutherford backscattering spectrometry, scanning electron microscopy, atomic force microscopy, and transmission electron microscopy were crossed to study the morphological evolution of the Au film as a function of laser fluence. In particular, the formation of Au nanoparticles was observed. The analyses allowed a quantitative evaluation of the evolution of the nanoparticles size, surface density, and shape as a function of the laser fluence. Therefore, a control the structural properties of the Au nanoparticles is reached, for example, for applications in Si nanowires growth or plasmonics.

  3. Deposition of low stress, high transmittance SiC as an x-ray mask membrane using ECR plasma CVD

    CERN Document Server

    Lee, S Y; Lim, S T; Ahn, J H

    1998-01-01

    SiC for x-ray mask membrane is deposited by Electron Cyclotron Resonance plasma Chemical Vapor Deposition from SiH sub 4 /CH sub 4 Ar mixtures. Stoichiometric SiC is deposited at SiH sub 4 /CH sub 4 ratio of 0.4, deposition temperature of 600.deg.C and microwave power of 500 W with +- 5% thickness uniformity, As-deposited film has compressive residual stress, very smooth surface (31 A rms) and high optical transmittance of 90% at 633 nm wavelength. The microstructure of this film consists of the nanocrystalline particle (100 A approx 200A) embedded in amorphous matrix. Residual stress can be turned to tensile stress via Rapid Thermal Annealing in N sub 2 atmosphere, while suppressing structural change during annealing, As a result, smooth (37 A rms) SiC film with moderate tensile stress and high optical transmittance (85% at 633 nm wavelength) is obtained.

  4. Thermal phase separation of ZrSiO4 thin films and frequency- dependent electrical characteristics of the Al/ZrSiO4/p-Si/Al MOS capacitors

    Science.gov (United States)

    Lok, R.; Kaya, S.; Yilmaz, E.

    2018-05-01

    In this work, the thermal phase separation and annealing optimization of ZrSiO4 thin films have been carried out. Following annealing optimization, the frequency-dependent electrical characteristics of the Al/ZrSiO4/p-Si/Al MOS capacitors were investigated in detail. The chemical evolution of the films under various annealing temperatures was determined by Fourier transform infrared spectroscopy (FTIR) measurements. The phase separation was determined by x-ray diffraction (XRD) measurements. The electrical parameters were determined via the capacitance–voltage (C–V), conductance–voltage (G/ω) and leakage-current–voltage (Ig–Vg ). The results demonstrate that zirconium silicate formations are present at 1000 °C annealing with the SiO2 interfacial layer. The film was in amorphous form after annealing at 250 °C. The tetragonal phases of ZrO2 were obtained after annealing at 500 °C. When the temperature approaches 750 °C, transitions from the tetragonal phase to the monoclinic phase were observed. The obtained XRD peaks after 1000 °C annealing matched the crystalline peaks of ZrSiO4. This means that the crystalline zirconium dioxide in the structure has been converted into a crystalline silicate phase. The interface states increased to 5.71 × 1010 and the number of border traps decreased to 7.18 × 1010 cm‑2 with the increasing temperature. These results indicate that an excellent ZrSiO4/Si interface has been fabricated. The order of the leakage current varied from 10‑9 Acm‑2 to 10‑6 Acm‑2. The MOS capacitor fabricated with the films annealed at 1000 °C shows better behavior in terms of its structural, chemical and electrical properties. Hence, detailed frequency-dependent electrical characteristics were performed for the ZrSiO4 thin film annealed at 1000 °C. Very slight capacitance variations were observed under the frequency variations. This shows that the density of frequency-dependent charges is very low at the ZrSiO4/Si interface. The

  5. Ultra-Smooth ZnS Films Grown on Silicon via Pulsed Laser Deposition

    Science.gov (United States)

    Reidy, Christopher; Tate, Janet

    2011-10-01

    Ultra-smooth, high quality ZnS films were grown on (100) and (111) oriented Si wafers via pulsed laser deposition with a KrF excimer laser in UHV (10-9 Torr). The resultant films were examined with optical spectroscopy, electron diffraction, and electron probe microanalysis. The films have an rms roughness of ˜1.5 nm, and the film stoichiometry is approximately Zn:S :: 1:0.87. Additionally, each film exhibits an optical interference pattern which is not a function of probing location on the sample, indicating excellent film thickness uniformity. Motivation for high-quality ZnS films comes from a proposed experiment to measure carrier amplification via impact ionization at the boundary between a wide-gap and a narrow-gap semiconductor. If excited charge carriers in a sufficiently wide-gap harvester can be extracted into a narrow-gap host material, impact ionization may occur. We seek near-perfect interfaces between ZnS, with a direct gap between 3.3 and 3.7 eV, and Si, with an indirect gap of 1.1 eV.

  6. Influence of modulation periods on the tribological behavior of Si/a-C: H multilayer film

    Science.gov (United States)

    Zhu, Linan; Wu, Yanxia; Zhang, Shujiao; Yu, Shengwang; Tang, Bin; Liu, Ying; Zhou, Bing; Shen, Yanyan

    2018-01-01

    A series of Si/a-C: H multilayer films with different modulation periods were fabricated on stainless steel and silicon substrates by radio-frequency magnetron sputtering. The influence of the modulation period on the structure, morphology, mechanical properties and tribological behaviors in different environments (air, simulated acid rain, and NaCl solution) was investigated. The results show that the content of the sp2 hybrid carbon, surface roughness and hardness of the multilayer film increased firstly and then decreased with the decreased modulation period. Furthermore, the combination of the sublayer agrees well with the formation of the SiC crystal at the interface. Interestingly, the films show quite substantially different tribological properties in various test environments. The lowest friction coefficient is 0.2 for the S1 film in air. However, the lowest friction coefficient can reach 0.13 in solution. Importantly, the tribological behavior of the multilayer film is mainly determined by its hardness, as well as surface roughness in air while it is closely related with modulation period and interface structure in solution.

  7. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2006-01-01

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film

  8. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay (India) and Samtel Centre for Display Technologies, Indian Institute of Technology Kanpur, India, Kanpur 208016 (India)]. E-mail: bibhup@iitb.ac.in

    2006-12-15

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film.

  9. CoSi2 growth on Si(001) by reactive deposition epitaxy: Effects of high-flux, low-energy ion irradiation

    International Nuclear Information System (INIS)

    Lim, C. W.; Greene, J. E.; Petrov, I.

    2006-01-01

    CoSi 2 layers, CoSi 2 (parallel sign)(001) Si and [100] CoSi 2 (parallel sign)[100] Si , contain fourfold symmetric (111) twinned domains oriented such that (221) CoSi 2 (parallel sign)(001) Si and CoSi 2 (parallel sign)[110] Si . We demonstrate that high-flux low-energy (E Ar + =9.6 eV) Ar + ion irradiation during deposition dramatically increases the area fraction f u of untwinned regions from 0.17 in films grown under standard magnetically balanced conditions in which the ratio J Ar + /J Co of the incident Ar + to Co fluxes is 1.4 to 0.72 with J Ar + /J Co =13.3. TEM analyses show that the early stages of RDE CoSi 2 (001) film growth proceed via the Volmer-Weber mode with independent nucleation of both untwinned and twinned islands. Increasing J Ar + /J Co results in larger values of both the number density and area of untwinned with respect to twinned islands. The intense Ar + ion bombardment creates additional low-energy adsorption sites that favor the nucleation of untwinned islands while collisionally enhancing Co surface mobilities which, in turn, increases the probability of itinerant Co adatoms reaching these sites

  10. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  11. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  12. Hall effect measurements of high-quality M n3CuN thin films and the electronic structure

    Science.gov (United States)

    Matsumoto, Toshiki; Hatano, Takafumi; Urata, Takahiro; Iida, Kazumasa; Takenaka, Koshi; Ikuta, Hiroshi

    2017-11-01

    The physical properties of M n3CuN were studied using thin films. We found that an annealing process was very effective to improve the film quality, the key of which was the use of Ti that prevented the formation of oxide impurities. Using these high-quality thin films, we found strong strain dependence for the ferromagnetic transition temperature (TC) and a sign change of the Hall coefficient at TC. The analysis of Hall coefficient data revealed a sizable decrease of hole concentration and a large increase of electron mobility below TC, which is discussed in relation to the electronic structure of this material.

  13. a-Si{sub x}C{sub 1−x}:H thin films with subnanometer surface roughness for biological applications

    Energy Technology Data Exchange (ETDEWEB)

    Herrera-Celis, José, E-mail: jlhc@inaoep.mx; Reyes-Betanzo, Claudia, E-mail: creyes@inaoep.mx; Itzmoyotl-Toxqui, Adrián, E-mail: aitzmo@inaoep.mx [Instituto Nacional de Astrofísica, Óptica y Electrónica, Luis Enrique Erro #1, Santa María Tonantzintla, San Andrés Cholula 72840, Puebla (Mexico); Orduña-Díaz, Abdu, E-mail: abdueve@hotmail.com; Pérez-Coyotl, Ana, E-mail: anapcoyotl@hotmail.com [Centro de Investigación en Biotecnología Aplicada del IPN, Ex-Hacienda San Juan Molino Carretera Estatal Tecuexcomac-Tepetitla Km 1.5, Tepetitla 90700, Tlaxcala (Mexico)

    2015-09-15

    The characterization of a-Si{sub x}C{sub 1−x}:H thin films by plasma-enhanced chemical vapor deposition with high hydrogen dilution for biological applications is addressed. A root mean square roughness less than 1 nm was measured via atomic force microscopy for an area of 25 μm{sup 2}. Structural analysis was done using Fourier transform infrared spectroscopy in the middle infrared region. It was found that under the deposition conditions, the formation of Si–C bonds is promoted. Electrical dark conductivity measurements were performed to evaluate the effect of high hydrogen dilution and to find the relation between carrier transport properties and the structural arrangement. Conductivities of the order of 10{sup −7} to 10{sup −9} S/cm at room temperature for methane–silane gas flow ratio from 0.35 to 0.85 were achieved, respectively. UV-visible spectra were used to obtain the optical band gap and the Tauc parameter. Optical band gap as wide as 3.55 eV was achieved in the regime of high carbon incorporation. Accordingly, deposition under low power density and high hydrogen dilution reduces the roughness, improves the structure of the network, and stabilizes the film properties as a greater percentage of carbon is incorporated. The biofunctionalization of a-Si{sub x}C{sub 1−x}:H surfaces with NH{sub 2}-terminated self-assembled monolayers was obtained through silanization with 3-aminopropyltrimethoxysilane. This knowledge opens a window for the inclusion of these a-Si{sub x}C{sub 1−x}:H thin films in devices such as biosensors.

  14. Quantitative analysis of Si1-xGex alloy films by SIMS and XPS depth profiling using a reference material

    Science.gov (United States)

    Oh, Won Jin; Jang, Jong Shik; Lee, Youn Seoung; Kim, Ansoon; Kim, Kyung Joong

    2018-02-01

    Quantitative analysis methods of multi-element alloy films were compared. The atomic fractions of Si1-xGex alloy films were measured by depth profiling analysis with secondary ion mass spectrometry (SIMS) and X-ray Photoelectron Spectroscopy (XPS). Intensity-to-composition conversion factor (ICF) was used as a mean to convert the intensities to compositions instead of the relative sensitivity factors. The ICFs were determined from a reference Si1-xGex alloy film by the conventional method, average intensity (AI) method and total number counting (TNC) method. In the case of SIMS, although the atomic fractions measured by oxygen ion beams were not quantitative due to severe matrix effect, the results by cesium ion beam were very quantitative. The quantitative analysis results by SIMS using MCs2+ ions are comparable to the results by XPS. In the case of XPS, the measurement uncertainty was highly improved by the AI method and TNC method.

  15. New intelligent multifunctional SiO2/VO2 composite films with enhanced infrared light regulation performance, solar modulation capability, and superhydrophobicity.

    Science.gov (United States)

    Wang, Chao; Zhao, Li; Liang, Zihui; Dong, Binghai; Wan, Li; Wang, Shimin

    2017-01-01

    Highly transparent, energy-saving, and superhydrophobic nanostructured SiO 2 /VO 2 composite films have been fabricated using a sol-gel method. These composite films are composed of an underlying infrared (IR)-regulating VO 2 layer and a top protective layer that consists of SiO 2 nanoparticles. Experimental results showed that the composite structure could enhance the IR light regulation performance, solar modulation capability, and hydrophobicity of the pristine VO 2 layer. The transmittance of the composite films in visible region ( T lum ) was higher than 60%, which was sufficient to meet the requirements of glass lighting. Compared with pristine VO 2 films and tungsten-doped VO 2 film, the near IR control capability of the composite films was enhanced by 13.9% and 22.1%, respectively, whereas their solar modulation capability was enhanced by 10.9% and 22.9%, respectively. The water contact angles of the SiO 2 /VO 2 composite films were over 150°, indicating superhydrophobicity. The transparent superhydrophobic surface exhibited a high stability toward illumination as all the films retained their initial superhydrophobicity even after exposure to 365 nm light with an intensity of 160 mW . cm -2 for 10 h. In addition, the films possessed anti-oxidation and anti-acid properties. These characteristics are highly advantageous for intelligent windows or solar cell applications, given that they can provide surfaces with anti-fogging, rainproofing, and self-cleaning effects. Our technique offers a simple and low-cost solution to the development of stable and visible light transparent superhydrophobic surfaces for industrial applications.

  16. Electrical evaluation of crack generation in SiN{sub x} and SiO{sub x}N{sub y} thin-film encapsulation layers for OLED displays

    Energy Technology Data Exchange (ETDEWEB)

    Park, Eun Kil [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Display Research Center, Samsung Display Co., Ltd., Yongin-City, Gyeonggi-Do 446-711 (Korea, Republic of); Kim, Sungmin [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Heo, Jaeyeong, E-mail: jheo@jnu.ac.kr [Department of Materials Science and Engineering, and the Optoelectronics Convergence Research Center, Chonnam National University, Gwangju 500-757 (Korea, Republic of); Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of)

    2016-05-01

    Highlights: • Crack generation in encapsulation layers were detected by leakage current. • Atomic concentration of SiO{sub x}N{sub y} films affected the bending reliability. • The shapes of the crack tips were affected by the stoichiometry of the SiO{sub x}N{sub y} films. - Abstract: By measuring leakage current density, we detected crack generation in silicon nitride (SiN{sub x}) and silicon oxynitride (SiO{sub x}N{sub y}) thin-film encapsulation layers, and correlated with the films’ water vapor permeability characteristics. After repeated bending cycles, both the changes in water vapor transmission rate and leakage current density were directly proportional to the crack density. Thick SiN{sub x} films had better water vapor barrier characteristics in their pristine state, but cyclic loading led to fast failure. Varying the atomic concentration of the SiO{sub x}N{sub y} films affected their bending reliability. We attribute these differences to changes in the shape of the crack tip as the oxygen content varies.

  17. Agglomeration of amorphous silicon film with high energy density excimer laser irradiation

    International Nuclear Information System (INIS)

    He Ming; Ishihara, Ryoichi; Metselaar, Wim; Beenakker, Kees

    2007-01-01

    In this paper, agglomeration phenomena of amorphous Si (α-Si) films due to high energy density excimer laser irradiation are systematically investigated. The agglomeration, which creates holes or breaks the continuous Si film up into spherical beads, is a type of serious damage. Therefore, it determines an upper energy limit for excimer laser crystallization. It is speculated that the agglomeration is caused by the boiling of molten Si. During this process, outbursts of heterogeneously nucleated vapor bubbles are promoted by the poor wetting property of molten silicon on the SiO 2 layer underneath. The onset of the agglomeration is defined by extrapolating the hole density as a function of the energy density of the laser pulse. A SiO 2 capping layer (CL) is introduced on top of the α-Si film to investigate its influence on the agglomeration. It is found that effects of the CL depend on its thickness. The CL with a thickness less than 300 nm can be used to suppress the agglomeration. A thin CL acts as a confining layer and puts a constraint on bubble burst, and hence suppresses the agglomeration

  18. Impedance spectroscopy of heterojunction solar cell a-SiC/c-Si with ITO antireflection film investigated at different temperatures

    Science.gov (United States)

    Šály, V.; Perný, M.; Janíček, F.; Huran, J.; Mikolášek, M.; Packa, J.

    2017-04-01

    Progressive smart photovoltaic technologies including heterostructures a-SiC/c-Si with ITO antireflection film are one of the prospective replacements of conventional photovoltaic silicon technology. Our paper is focused on the investigation of heterostructures a-SiC/c-Si provided with a layer of ITO (indium oxide/tin oxide 90/10 wt.%) which acts as a passivating and antireflection coating. Prepared photovoltaic cell structure was investigated at various temperatures and the influence of temperature on its operation was searched. The investigation of the dynamic properties of heterojunction PV cells was carried out using impedance spectroscopy. The equivalent AC circuit which approximates the measured impedance data was proposed. Assessment of the influence of the temperature on the operation of prepared heterostructure was carried out by analysis of the temperature dependence of AC equivalent circuit elements.

  19. Annealing temperature effect on structure and electrical properties of films formed of Ge nanoparticles in SiO2

    International Nuclear Information System (INIS)

    Stavarache, Ionel; Lepadatu, Ana-Maria; Stoica, Toma; Ciurea, Magdalena Lidia

    2013-01-01

    Ge–SiO 2 films with high Ge/Si atomic ratio of about 1.86 were obtained by co-sputtering of Ge and SiO 2 targets and subsequently annealed at different temperatures between 600 and 1000 °C in a conventional furnace in order to show how the annealing process influences the film morphology concerning the Ge nanocrystal and/or amorphous nanoparticle formation and to study their electrical behaviour. Atomic force microscopy (AFM) imaging, Raman spectroscopy and electrical conductance measurements were performed in order to find out the annealing effect on the film surface morphology, as well as the Ge nanoparticle formation in correlation with the hopping conductivity of the films. AFM images show that the films annealed at 600 and 700 °C present a granular surface with particle height of about 15 nm, while those annealed at higher temperatures have smoother surface. The Raman investigations evidence Ge nanocrystals (including small ones) coexisting with amorphous Ge in the films annealed at 600 °C and show that almost all Ge is crystallized in the films annealed at 700 °C. The annealing at 800 °C disadvantages the Ge nanocrystal formation due to the strong Ge diffusion. This transition in Ge nanocrystals formation process by annealing temperature increase from 700 to 800 °C revealed by AFM and Raman spectroscopy measurements corresponds to a change in the electrical transport mechanism. Thus, in the 700 °C annealed films, the current depends on temperature according to a T −1/2 law which is typical for a tunnelling mechanism between neighbour Ge nanocrystals. In the 800 °C annealed films, the current–temperature characteristic has a T −1/4 dependence showing a hopping mechanism within an electronic band of localized states related to diffused Ge in SiO 2 .

  20. Enhancement of sp3 hybridized C in amorphous carbon films by Ar ion bombardment and Si incorporation

    International Nuclear Information System (INIS)

    Jung, Hae-Suk; Park, Hyung-Ho; Mendieta, I.R.; Smith, D.A.

    2003-01-01

    We report an effective method of increasing the sp 3 hybridization fraction in sputtered amorphous carbon (a-C) film by the combination of Ar ion bombardment and Si incorporation. In the deposition of an a-C film, Ar ion bombardment by controlling the applied bias voltage plays a role in creating high stress in film and causes the local bonding configuration to change to a sp 3 hybridized bond. Simultaneously, the incorporated Si in an a-C network breaks the sp 2 hybridized bonded ring and promotes the formation of a sp 3 hybridized bond. This enhancement of the sp 3 hybridized bonding characteristic is maximized for an a-C film with 23 at. % of Si and 100-150 V of applied bias voltage. In this region, the increase of resistivity, optical band gap, and mechanical hardness of a-C is attributed to the reduction of the sp 2 hybridized bonded ring and increased fraction of the sp 3 hybridized bond. However, at a higher bias voltage above 150 V, the enhancement effect is reduced due to the resputtering and thermally activated reconversion of a sp 3 to a sp 2 hybridized bond