WorldWideScience

Sample records for high-level trigger steering

  1. Development of the ATLAS High-Level Trigger Steering and Inclusive Searches for Supersymmetry

    CERN Document Server

    Eifert, T

    2009-01-01

    The presented thesis is divided into two distinct parts. The subject of the first part is the ATLAS high-level trigger (HLT), in particular the development of the HLT Steering, and the trigger user-interface. The second part presents a study of inclusive supersymmetry searches, including a novel background estimation method for the relevant Standard Model (SM) processes. The trigger system of the ATLAS experiment at the Large Hadron Collider (LHC) performs the on-line physics selection in three stages: level-1 (LVL1), level-2 (LVL2), and the event filter (EF). LVL2 and EF together form the HLT. The HLT receives events containing detector data from high-energy proton (or heavy ion) collisions, which pass the LVL1 selection at a maximum rate of 75 kHz. It must reduce this rate to ~200 Hz, while retaining the most interesting physics. The HLT is a software trigger and runs on a large computing farm. At the heart of the HLT is the Steering software. The HLT Steering must reach a decision whether or not to accept ...

  2. The ATLAS High Level Trigger Steering Framework and the Trigger 
Configuration System.

    CERN Document Server

    Pérez Cavalcanti, Tiago; The ATLAS collaboration

    2011-01-01

    The ATLAS High Level Trigger Steering Framework and the Trigger 
Configuration System.
 
The ATLAS detector system installed in the Large Hadron Collider (LHC) 
at CERN is designed to study proton-proton and nucleus-nucleus 
collisions with a maximum center of mass energy of 14 TeV at a bunch 
collision rate of 40MHz.  In March 2010 the four LHC experiments saw 
the first proton-proton collisions at 7 TeV. Still within the year a 
collision rate of nearly 10 MHz is expected. At ATLAS, events of 
potential interest for ATLAS physics are selected by a three-leveltrigger system, with a final recording rate of about 200 Hz. The first 
level (L1) is implemented in custom hardware; the two levels of 
the high level trigger (HLT) are software triggers, running on large 
farms of standard computers and network devices. 

Within the ATLAS physics program more than 500 trigger signatures are 
defined. The HLT tests each signature on each L1-accepted event; the 
test outcome is recor...

  3. The ATLAS High Level Trigger Steering Framework and the Trigger Configuration System.

    CERN Document Server

    Perez Cavalcanti, Tiago; The ATLAS collaboration

    2011-01-01

    The ATLAS detector system installed in the Large Hadron Collider (LHC) at CERN is designed to study proton-proton and nucleus-nucleus collisions with a maximum centre of mass energy of 14 TeV at a bunch collision rate of 40MHz. In March 2010 the four LHC experiments saw the first proton-proton collisions at 7 TeV. Still within the year a collision rate of nearly 10 MHz is expected. At ATLAS, events of potential interest for ATLAS physics are selected by a three-level trigger system, with a final recording rate of about 200 Hz. The first level (L1) is implemented in custom hardware; the two levels of the high level trigger (HLT) are software triggers, running on large farms of standard computers and network devices. Within the ATLAS physics program more than 500 trigger signatures are defined. The HLT tests each signature on each L1-accepted event; the test outcome is recorded for later analysis. The HLT-Steering is responsible for this. It foremost ensures the independent test of each signature, guarantying u...

  4. The ATLAS High Level Trigger Configuration and Steering, Experience with the First 7 TeV Collisions

    CERN Document Server

    Stelzer, J; The ATLAS collaboration

    2011-01-01

    In March 2010 the four LHC experiments saw the first proton-proton collisions at a center-of-mass energy of 7 TeV. Still within the year a collision rate of nearly 10 MHz was expected. At ATLAS, events of potential physics interest for are selected by a three-level trigger system, with a final recording rate of about 200 Hz. The first level (L1) is implemented in customized hardware, the two levels of the high level trigger (HLT) are software triggers. For the ATLAS physics program more than 500 trigger signatures are defined. The HLT tests each signature on each L1-accepted event, the test outcome is recorded for later analysis. The HLT-Steering is responsible for this. It foremost ensures the independence of each signature test and an unbiased trigger decisions. Yet, to minimize data readout and execution time, cached detector data and once-calculated trigger objects are reused to form the decision. Some signature tests are performed only on a scaled-down fraction of candidate events, in order to reduce the...

  5. The ATLAS High-Level Calorimeter Trigger in Run-2

    CERN Document Server

    Wiglesworth, Craig; The ATLAS collaboration

    2018-01-01

    The ATLAS Experiment uses a two-level triggering system to identify and record collision events containing a wide variety of physics signatures. It reduces the event rate from the bunch-crossing rate of 40 MHz to an average recording rate of 1 kHz, whilst maintaining high efficiency for interesting collision events. It is composed of an initial hardware-based level-1 trigger followed by a software-based high-level trigger. A central component of the high-level trigger is the calorimeter trigger. This is responsible for processing data from the electromagnetic and hadronic calorimeters in order to identify electrons, photons, taus, jets and missing transverse energy. In this talk I will present the performance of the high-level calorimeter trigger in Run-2, noting the improvements that have been made in response to the challenges of operating at high luminosity.

  6. DZERO Level 3 DAQ/Trigger Closeout

    CERN Multimedia

    CERN. Geneva

    2012-01-01

    The Tevatron Collider, located at the Fermi National Accelerator Laboratory, delivered its last 1.96 TeV proton-antiproton collisions on September 30th, 2011. The DZERO experiment continues to take cosmic data for final alignment for several more months . Since Run 2 started, in March 2001, all DZERO data has been collected by the DZERO Level 3 Trigger/DAQ System. The system is a modern, networked, commodity hardware trigger and data acquisition system based around a large central switch with about 60 front ends and 200 trigger computers. DZERO front end crates are VME based. Single Board Computer interfaces between detector data on VME and the network transport for the DAQ system. Event flow is controlled by the Routing Master which can steer events to clusters of farm nodes based on the low level trigger bits that fired. The farm nodes are multi-core commodity computer boxes, without special hardware, that run isolated software to make the final Level 3 trigger decision. Passed events are transferred to th...

  7. ALICE High Level Trigger

    CERN Multimedia

    Alt, T

    2013-01-01

    The ALICE High Level Trigger (HLT) is a computing farm designed and build for the real-time, online processing of the raw data produced by the ALICE detectors. Events are fully reconstructed from the raw data, analyzed and compressed. The analysis summary together with the compressed data and a trigger decision is sent to the DAQ. In addition the reconstruction of the events allows for on-line monitoring of physical observables and this information is provided to the Data Quality Monitor (DQM). The HLT can process event rates of up to 2 kHz for proton-proton and 200 Hz for Pb-Pb central collisions.

  8. Use of Gaudi in the LVL2 Trigger: The Steering Controller

    CERN Document Server

    González, S; Wiedenmann, W

    2002-01-01

    A proposal is made for a thin interface layer between the Dataflow framework and the Level-2 event selection framework. After consideration of the Dataflow and the Level-2 Trigger requirements, we determine that both frameworks can be interfaced by means of a Finite State Machine, defining a clear boundary between the HLT data collection and the HLT event selection software. A prototype for this interface -- the Steering Controller -- is developed based on LHCb's Gaudi framework, which is also the basis for the ATLAS Event Filter and offline frameworks. The implications of choosing these "off-the-shelf" software components, both in terms of performance and architecture, are the subject of this note.

  9. The CMS High-Level Trigger

    International Nuclear Information System (INIS)

    Covarelli, R.

    2009-01-01

    At the startup of the LHC, the CMS data acquisition is expected to be able to sustain an event readout rate of up to 100 kHz from the Level-1 trigger. These events will be read into a large processor farm which will run the 'High-Level Trigger'(HLT) selection algorithms and will output a rate of about 150 Hz for permanent data storage. In this report HLT performances are shown for selections based on muons, electrons, photons, jets, missing transverse energy, τ leptons and b quarks: expected efficiencies, background rates and CPU time consumption are reported as well as relaxation criteria foreseen for a LHC startup instantaneous luminosity.

  10. The CMS High-Level Trigger

    CERN Document Server

    Covarelli, Roberto

    2009-01-01

    At the startup of the LHC, the CMS data acquisition is expected to be able to sustain an event readout rate of up to 100 kHz from the Level-1 trigger. These events will be read into a large processor farm which will run the "High-Level Trigger" (HLT) selection algorithms and will output a rate of about 150 Hz for permanent data storage. In this report HLT performances are shown for selections based on muons, electrons, photons, jets, missing transverse energy, tau leptons and b quarks: expected efficiencies, background rates and CPU time consumption are reported as well as relaxation criteria foreseen for a LHC startup instantaneous luminosity.

  11. The CMS High-Level Trigger

    Science.gov (United States)

    Covarelli, R.

    2009-12-01

    At the startup of the LHC, the CMS data acquisition is expected to be able to sustain an event readout rate of up to 100 kHz from the Level-1 trigger. These events will be read into a large processor farm which will run the "High-Level Trigger" (HLT) selection algorithms and will output a rate of about 150 Hz for permanent data storage. In this report HLT performances are shown for selections based on muons, electrons, photons, jets, missing transverse energy, τ leptons and b quarks: expected efficiencies, background rates and CPU time consumption are reported as well as relaxation criteria foreseen for a LHC startup instantaneous luminosity.

  12. The ALICE Dimuon Spectrometer High Level Trigger

    CERN Document Server

    Becker, B; Cicalo, Corrado; Das, Indranil; de Vaux, Gareth; Fearick, Roger; Lindenstruth, Volker; Marras, Davide; Sanyal, Abhijit; Siddhanta, Sabyasachi; Staley, Florent; Steinbeck, Timm; Szostak, Artur; Usai, Gianluca; Vilakazi, Zeblon

    2009-01-01

    The ALICE Dimuon Spectrometer High Level Trigger (dHLT) is an on-line processing stage whose primary function is to select interesting events that contain distinct physics signals from heavy resonance decays such as J/psi and Gamma particles, amidst unwanted background events. It forms part of the High Level Trigger of the ALICE experiment, whose goal is to reduce the large data rate of about 25 GB/s from the ALICE detectors by an order of magnitude, without loosing interesting physics events. The dHLT has been implemented as a software trigger within a high performance and fault tolerant data transportation framework, which is run on a large cluster of commodity compute nodes. To reach the required processing speeds, the system is built as a concurrent system with a hierarchy of processing steps. The main algorithms perform partial event reconstruction, starting with hit reconstruction on the level of the raw data received from the spectrometer. Then a tracking algorithm finds track candidates from the recon...

  13. Studies for a common selection software environment in ATLAS from the Level-2 Trigger to the offline reconstruction

    CERN Document Server

    Wiedenmann, W; Baines, J T M; Bee, C P; Biglietti, M; Bogaerts, A; Boisvert, V; Bosman, M; Brandt, S; Caron, B; Casado, M P; Cataldi, G; Cavalli, D; Cervetto, M; Comune, G; Corso-Radu, A; Di Mattia, A; Díaz-Gómez, M; Dos Anjos, A; Drohan, J; Ellis, Nick; Elsing, M; Epp, B; Etienne, F; Falciano, S; Farilla, A; George, S; Ghete, V M; González, S; Grothe, M; Kaczmarska, A; Karr, K M; Khomich, A; Konstantinidis, N P; Krasny, W; Li, W; Lowe, A; Luminari, L; Meessen, C; Mello, A G; Merino, G; Morettini, P; Moyse, E; Nairz, A; Negri, A; Nikitin, N V; Nisati, A; Padilla, C; Parodi, F; Pérez-Réale, V; Pinfold, J L; Pinto, P; Polesello, G; Qian, Z; Resconi, S; Rosati, S; Scannicchio, D A; Schiavi, C; Schörner-Sadenius, T; Segura, E; De Seixas, J M; Shears, T G; Sivoklokov, S Yu; Smizanska, M; Soluk, R A; Stanescu, C; Tapprogge, Stefan; Touchard, F; Vercesi, V; Watson, A T; Wengler, T; Werner, P; Wheeler, S; Wickens, F J; Wielers, M; Zobernig, G; NSS-MIC 2003 - IEEE Nuclear Science Symposium and Medical Imaging Conference, Part 1

    2004-01-01

    The Atlas High Level Trigger's primary function of event selection will be accomplished with a Level-2 trigger farm and an Event Filter farm, both running software components developed in the Atlas offline reconstruction framework. While this approach provides a unified software framework for event selection, it poses strict requirements on offline components critical for the Level-2 trigger. A Level-2 decision in Atlas must typically be accomplished within 10 ms and with multiple event processing in concurrent threads. In order to address these constraints, prototypes have been developed that incorporate elements of the Atlas Data Flow -, High Level Trigger -, and offline framework software. To realize a homogeneous software environment for offline components in the High Level Trigger, the Level-2 Steering Controller was developed. With electron/gamma- and muon-selection slices it has been shown that the required performance can be reached, if the offline components used are carefully designed and optimized ...

  14. Performance of the CMS High Level Trigger

    CERN Document Server

    Perrotta, Andrea

    2015-01-01

    The CMS experiment has been designed with a 2-level trigger system. The first level is implemented using custom-designed electronics. The second level is the so-called High Level Trigger (HLT), a streamlined version of the CMS offline reconstruction software running on a computer farm. For Run II of the Large Hadron Collider, the increases in center-of-mass energy and luminosity will raise the event rate to a level challenging for the HLT algorithms. The increase in the number of interactions per bunch crossing, on average 25 in 2012, and expected to be around 40 in Run II, will be an additional complication. We present here the expected performance of the main triggers that will be used during the 2015 data taking campaign, paying particular attention to the new approaches that have been developed to cope with the challenges of the new run. This includes improvements in HLT electron and photon reconstruction as well as better performing muon triggers. We will also present the performance of the improved trac...

  15. Tracking at High Level Trigger in CMS

    CERN Document Server

    Tosi, Mia

    2016-01-01

    The trigger systems of the LHC detectors play a crucial role in determining the physics capabili- ties of the experiments. A reduction of several orders of magnitude of the event rate is needed to reach values compatible with detector readout, offline storage and analysis capability. The CMS experiment has been designed with a two-level trigger system: the Level-1 Trigger (L1T), implemented on custom-designed electronics, and the High Level Trigger (HLT), a stream- lined version of the CMS offline reconstruction software running on a computer farm. A software trigger system requires a trade-off between the complexity of the algorithms, the sustainable out- put rate, and the selection efficiency. With the computing power available during the 2012 data taking the maximum reconstruction time at HLT was about 200 ms per event, at the nominal L1T rate of 100 kHz. Track reconstruction algorithms are widely used in the HLT, for the reconstruction of the physics objects as well as in the identification of b-jets and ...

  16. Multi-threaded algorithms for GPGPU in the ATLAS High Level Trigger

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00212700; The ATLAS collaboration

    2017-01-01

    General purpose Graphics Processor Units (GPGPU) are being evaluated for possible future inclusion in an upgraded ATLAS High Level Trigger farm. We have developed a demonstrator including GPGPU implementations of Inner Detector and Muon tracking and Calorimeter clustering within the ATLAS software framework. ATLAS is a general purpose particle physics experiment located on the LHC collider at CERN. The ATLAS Trigger system consists of two levels, with Level-1 implemented in hardware and the High Level Trigger implemented in software running on a farm of commodity CPU. The High Level Trigger reduces the trigger rate from the 100 kHz Level-1 acceptance rate to 1.5 kHz for recording, requiring an average per-event processing time of ∼ 250 ms for this task. The selection in the high level trigger is based on reconstructing tracks in the Inner Detector and Muon Spectrometer and clusters of energy deposited in the Calorimeter. Performing this reconstruction within the available farm resources presents a significa...

  17. CMS High Level Trigger Timing Measurements

    International Nuclear Information System (INIS)

    Richardson, Clint

    2015-01-01

    The two-level trigger system employed by CMS consists of the Level 1 (L1) Trigger, which is implemented using custom-built electronics, and the High Level Trigger (HLT), a farm of commercial CPUs running a streamlined version of the offline CMS reconstruction software. The operational L1 output rate of 100 kHz, together with the number of CPUs in the HLT farm, imposes a fundamental constraint on the amount of time available for the HLT to process events. Exceeding this limit impacts the experiment's ability to collect data efficiently. Hence, there is a critical need to characterize the performance of the HLT farm as well as the algorithms run prior to start up in order to ensure optimal data taking. Additional complications arise from the fact that the HLT farm consists of multiple generations of hardware and there can be subtleties in machine performance. We present our methods of measuring the timing performance of the CMS HLT, including the challenges of making such measurements. Results for the performance of various Intel Xeon architectures from 2009-2014 and different data taking scenarios are also presented. (paper)

  18. The ATLAS trigger high-level trigger commissioning and operation during early data taking

    CERN Document Server

    Goncalo, R

    2008-01-01

    The ATLAS experiment is one of the two general-purpose experiments due to start operation soon at the Large Hadron Collider (LHC). The LHC will collide protons at a centre of mass energy of 14~TeV, with a bunch-crossing rate of 40~MHz. The ATLAS three-level trigger will reduce this input rate to match the foreseen offline storage capability of 100-200~Hz. After the Level 1 trigger, which is implemented in custom hardware, the High-Level Trigger (HLT) further reduces the rate from up to 100~kHz to the offline storage rate while retaining the most interesting physics. The HLT is implemented in software running in commercially available computer farms and consists of Level 2 and Event Filter. To reduce the network data traffic and the processing time to manageable levels, the HLT uses seeded, step-wise reconstruction, aiming at the earliest possible rejection. Data produced during LHC commissioning will be vital for calibrating and aligning sub-detectors, as well as for testing the ATLAS trigger and setting up t...

  19. The ATLAS trigger: high-level trigger commissioning and operation during early data taking

    International Nuclear Information System (INIS)

    Goncalo, R

    2008-01-01

    The ATLAS experiment is one of the two general-purpose experiments due to start operation soon at the Large Hadron Collider (LHC). The LHC will collide protons at a centre of mass energy of 14 TeV, with a bunch-crossing rate of 40 MHz. The ATLAS three-level trigger will reduce this input rate to match the foreseen offline storage capability of 100-200 Hz. This paper gives an overview of the ATLAS High Level Trigger focusing on the system design and its innovative features. We then present the ATLAS trigger strategy for the initial phase of LHC exploitation. Finally, we report on the valuable experience acquired through in-situ commissioning of the system where simulated events were used to exercise the trigger chain. In particular we show critical quantities such as event processing times, measured in a large-scale HLT farm using a complex trigger menu

  20. Data analysis at the CMS level-1 trigger: migrating complex selection algorithms from offline analysis and high-level trigger to the trigger electronics

    CERN Document Server

    Wulz, Claudia

    2017-01-01

    With ever increasing luminosity at the LHC, optimum online data selection is becoming more and more important. While in the case of some experiments (LHCb and ALICE) this task is being completely transferred to computer farms, the others -- ATLAS and CMS -- will not be able to do this in the medium-term future for technological, detector-related reasons. Therefore, these experiments pursue the complementary approach of migrating more and more of the offline and high-level trigger intelligence into the trigger electronics. The presentation illustrates how the level-1 trigger of the CMS experiment and in particular its concluding stage, the so-called ``Global Trigger", take up this challenge.

  1. Global tracker for the ALICE high level trigger

    International Nuclear Information System (INIS)

    Vik, Thomas

    2006-01-01

    This thesis deals with two main topics. The first is the implementation and testing of a Kalman filter algorithm in the HLT (High Level Trigger) reconstruction code. This will perform the global tracking in the HLT, that is merging tracklets and hits from the different sub-detectors in the central barrel detector. The second topic is a trigger mode of the HLT which uses the global tracking of particles through the TRD (Transition Radiation Detector), TPC (Time Projection Chamber) and the ITS (Inner Tracking System): The dielectron trigger. Global tracking: The Kalman filter algorithm has been introduced to the HLT tracking scheme. (Author)

  2. High-level trigger system for the LHC ALICE experiment

    CERN Document Server

    Bramm, R; Lien, J A; Lindenstruth, V; Loizides, C; Röhrich, D; Skaali, B; Steinbeck, T M; Stock, Reinhard; Ullaland, K; Vestbø, A S; Wiebalck, A

    2003-01-01

    The central detectors of the ALICE experiment at LHC will produce a data size of up to 75 MB/event at an event rate less than approximately equals 200 Hz resulting in a data rate of similar to 15 GB/s. Online processing of the data is necessary in order to select interesting (sub)events ("High Level Trigger"), or to compress data efficiently by modeling techniques. Processing this data requires a massive parallel computing system (High Level Trigger System). The system will consist of a farm of clustered SMP-nodes based on off- the-shelf PCs connected with a high bandwidth low latency network.

  3. The ATLAS high level trigger region of interest builder

    International Nuclear Information System (INIS)

    Blair, R.; Dawson, J.; Drake, G.; Haberichter, W.; Schlereth, J.; Zhang, J.; Ermoline, Y.; Pope, B.; Aboline, M.; High Energy Physics; Michigan State Univ.

    2008-01-01

    This article describes the design, testing and production of the ATLAS Region of Interest Builder (RoIB). This device acts as an interface between the Level 1 trigger and the high level trigger (HLT) farm for the ATLAS LHC detector. It distributes all of the Level 1 data for a subset of events to a small number of (16 or less) individual commodity processors. These processors in turn provide this information to the HLT. This allows the HLT to use the Level 1 information to narrow data requests to areas of the detector where Level 1 has identified interesting objects

  4. Diagnostic Systems and Resources utilization of the ATLAS High Level Trigger

    CERN Document Server

    Sidoti, A; The ATLAS collaboration; Ospanov, R

    2010-01-01

    Since the LHC started colliding protons in December 2009, the ATLAS trigger has operated very successfully with a collision rate which has increased by several orders of magnitude. The trigger monitoring and data quality infrastructure was essential to this success. We describe the software tools used to monitor the trigger system performance and assess the overall quality of the trigger selection during collisions running. ATLAS has broad physics goals which require a large number of different active triggers due to complex event topology, requiring quite sophisticated software structures and concepts. The trigger of the ATLAS experiment is built as a three level system. The first level is realized in hardware while the high level triggers (HLT) are software based and run on large PC farms. The trigger reduces the bunch crossing rate of 40 MHz, at design, to an average event rate of about 200 Hz for storage. Since the ATLAS detector is a general purpose detector, the trigger must be sensitive to a large numb...

  5. Multi-threading in the ATLAS High-Level Trigger

    CERN Document Server

    Barton, Adam Edward; The ATLAS collaboration

    2018-01-01

    Over the next decade of LHC data-taking the instantaneous luminosity will reach up 7.5 times the design value with over 200 interactions per bunch-crossing and will pose unprecedented challenges for the ATLAS trigger system. With the evolution of the CPU market to many-core systems, both the ATLAS offline reconstruction and High-Level Trigger (HLT) software will have to transition from a multi-process to a multithreaded processing paradigm in order not to exhaust the available physical memory of a typical compute node. The new multithreaded ATLAS software framework, AthenaMT, has been designed from the ground up to support both the offline and online use-cases with the aim to further harmonize the offline and trigger algorithms. The latter is crucial both in terms of maintenance effort and to guarantee the high trigger efficiency and rejection factors needed for the next two decades of data-taking. We report on an HLT prototype in which the need for HLT­specific components has been reduced to a minimum while...

  6. Multi-Threaded Algorithms for GPGPU in the ATLAS High Level Trigger

    Science.gov (United States)

    Conde Muíño, P.; ATLAS Collaboration

    2017-10-01

    General purpose Graphics Processor Units (GPGPU) are being evaluated for possible future inclusion in an upgraded ATLAS High Level Trigger farm. We have developed a demonstrator including GPGPU implementations of Inner Detector and Muon tracking and Calorimeter clustering within the ATLAS software framework. ATLAS is a general purpose particle physics experiment located on the LHC collider at CERN. The ATLAS Trigger system consists of two levels, with Level-1 implemented in hardware and the High Level Trigger implemented in software running on a farm of commodity CPU. The High Level Trigger reduces the trigger rate from the 100 kHz Level-1 acceptance rate to 1.5 kHz for recording, requiring an average per-event processing time of ∼ 250 ms for this task. The selection in the high level trigger is based on reconstructing tracks in the Inner Detector and Muon Spectrometer and clusters of energy deposited in the Calorimeter. Performing this reconstruction within the available farm resources presents a significant challenge that will increase significantly with future LHC upgrades. During the LHC data taking period starting in 2021, luminosity will reach up to three times the original design value. Luminosity will increase further to 7.5 times the design value in 2026 following LHC and ATLAS upgrades. Corresponding improvements in the speed of the reconstruction code will be needed to provide the required trigger selection power within affordable computing resources. Key factors determining the potential benefit of including GPGPU as part of the HLT processor farm are: the relative speed of the CPU and GPGPU algorithm implementations; the relative execution times of the GPGPU algorithms and serial code remaining on the CPU; the number of GPGPU required, and the relative financial cost of the selected GPGPU. We give a brief overview of the algorithms implemented and present new measurements that compare the performance of various configurations exploiting GPGPU cards.

  7. Supervision of the ATLAS High Level Trigger System

    CERN Document Server

    Wheeler, S.; Meessen, C.; Qian, Z.; Touchard, F.; Negri, France A.; Zobernig, H.; CHEP 2003 Computing in High Energy Physics; Negri, France A.

    2003-01-01

    The ATLAS High Level Trigger (HLT) system provides software-based event selection after the initial LVL1 hardware trigger. It is composed of two stages, the LVL2 trigger and the Event Filter. The HLT is implemented as software tasks running on large processor farms. An essential part of the HLT is the supervision system, which is responsible for configuring, coordinating, controlling and monitoring the many hundreds of processes running in the HLT. A prototype implementation of the supervision system, using tools from the ATLAS Online Software system is presented. Results from scalability tests are also presented where the supervision system was shown to be capable of controlling over 1000 HLT processes running on 230 nodes.

  8. The ATLAS online High Level Trigger framework experience reusing offline software components in the ATLAS trigger

    CERN Document Server

    Wiedenmann, W

    2009-01-01

    Event selection in the Atlas High Level Trigger is accomplished to a large extent by reusing software components and event selection algorithms developed and tested in an offline environment. Many of these offline software modules are not specifically designed to run in a heavily multi-threaded online data flow environment. The Atlas High Level Trigger (HLT) framework based on the Gaudi and Atlas Athena frameworks, forms the interface layer, which allows the execution of the HLT selection and monitoring code within the online run control and data flow software. While such an approach provides a unified environment for trigger event selection across all of Atlas, it also poses strict requirements on the reused software components in terms of performance, memory usage and stability. Experience of running the HLT selection software in the different environments and especially on large multi-node trigger farms has been gained in several commissioning periods using preloaded Monte Carlo events, in data taking peri...

  9. Frameworks to monitor and predict resource usage in the ATLAS High Level Trigger

    CERN Document Server

    Martin, Tim; The ATLAS collaboration

    2016-01-01

    The ATLAS High Level Trigger Farm consists of around 30,000 CPU cores which filter events at up to 100 kHz input rate. A costing framework is built into the high level trigger, this enables detailed monitoring of the system and allows for data-driven predictions to be made utilising specialist datasets. This talk will present an overview of how ATLAS collects in-situ monitoring data on both CPU usage and dataflow over the data-acquisition network during the trigger execution, and how these data are processed to yield both low level monitoring of individual selection-algorithms and high level data on the overall performance of the farm. For development and prediction purposes, ATLAS uses a special `Enhanced Bias' event selection. This mechanism will be explained along with how is used to profile expected resource usage and output event-rate of new physics selections, before they are executed on the actual high level trigger farm.

  10. High-voltage Pulse-triggered SR Latch Level-Shifter Design Considerations

    DEFF Research Database (Denmark)

    Larsen, Dennis Øland; Llimos Muntal, Pere; Jørgensen, Ivan Harald Holger

    2014-01-01

    translating a signal from 0- 3 : 3 V to 87 : 5 - 100 V. The operation of this level-shifter is verified with measurements on a fabricated chip. The shortcomings of the implemented level-shifter in terms of power dissipation, transition delay, area, and startup behavior are then considered and an improved......This paper compares pulse-triggered level shifters with a traditional level-triggered topology for high-voltage ap- plications with supply voltages in the 50 V to 100 V range. It is found that the pulse-triggered SR (Set/Reset) latch level- shifter has a superior power consumption of 1800 W = MHz...... circuit is suggested which has been designed in three variants being able to translate the low-voltage 0- 3 : 3 V signal to 45 - 50 V, 85 - 90 V, and 95 - 100 V respectively. The improved 95 - 100 V level shifter achieves a considerably lower power consumption of 438 W = MHz along with a significantly...

  11. Using MaxCompiler for High Level Synthesis of Trigger Algorithms

    CERN Document Server

    Summers, Sioni Paris; Sanders, P.

    2017-01-01

    Firmware for FPGA trigger applications at the CMS experiment is conventionally written using hardware description languages such as Verilog and VHDL. MaxCompiler is an alternative, Java based, tool for developing FPGA applications which uses a higher level of abstraction from the hardware than a hardware description language. An implementation of the jet and energy sum algorithms for the CMS Level-1 calorimeter trigger has been written using MaxCompiler to benchmark against the VHDL implementation in terms of accuracy, latency, resource usage, and code size. A Kalman Filter track fitting algorithm has been developed using MaxCompiler for a proposed CMS Level-1 track trigger for the High-Luminosity LHC upgrade. The design achieves a low resource usage, and has a latency of 187.5 ns per iteration.

  12. Commissioning of the CMS High-Level Trigger with Cosmic Rays

    CERN Document Server

    Chatrchyan, S; Sirunyan, A M; Adam, W; Arnold, B; Bergauer, H; Bergauer, T; Dragicevic, M; Eichberger, M; Erö, J; Friedl, M; Frühwirth, R; Ghete, V M; Hammer, J; Hänsel, S; Hoch, M; Hörmann, N; Hrubec, J; Jeitler, M; Kasieczka, G; Kastner, K; Krammer, M; Liko, D; Magrans de Abril, I; Mikulec, I; Mittermayr, F; Neuherz, B; Oberegger, M; Padrta, M; Pernicka, M; Rohringer, H; Schmid, S; Schöfbeck, R; Schreiner, T; Stark, R; Steininger, H; Strauss, J; Taurok, A; Teischinger, F; Themel, T; Uhl, D; Wagner, P; Waltenberger, W; Walzel, G; Widl, E; Wulz, C E; Chekhovsky, V; Dvornikov, O; Emeliantchik, I; Litomin, A; Makarenko, V; Marfin, I; Mossolov, V; Shumeiko, N; Solin, A; Stefanovitch, R; Suarez Gonzalez, J; Tikhonov, A; Fedorov, A; Karneyeu, A; Korzhik, M; Panov, V; Zuyeuski, R; Kuchinsky, P; Beaumont, W; Benucci, L; Cardaci, M; De Wolf, E A; Delmeire, E; Druzhkin, D; Hashemi, M; Janssen, X; Maes, T; Mucibello, L; Ochesanu, S; Rougny, R; Selvaggi, M; Van Haevermaet, H; Van Mechelen, P; Van Remortel, N; Adler, V; Beauceron, S; Blyweert, S; D'Hondt, J; De Weirdt, S; Devroede, O; Heyninck, J; Kalogeropoulos, A; Maes, J; Maes, M; Mozer, M U; Tavernier, S; Van Doninck, W; Van Mulders, P; Villella, I; Bouhali, O; Chabert, E C; Charaf, O; Clerbaux, B; De Lentdecker, G; Dero, V; Elgammal, S; Gay, A P R; Hammad, G H; Marage, P E; Rugovac, S; Vander Velde, C; Vanlaer, P; Wickens, J; Grunewald, M; Klein, B; Marinov, A; Ryckbosch, D; Thyssen, F; Tytgat, M; Vanelderen, L; Verwilligen, P; Basegmez, S; Bruno, G; Caudron, J; Delaere, C; Demin, P; Favart, D; Giammanco, A; Grégoire, G; Lemaitre, V; Militaru, O; Ovyn, S; Piotrzkowski, K; Quertenmont, L; Schul, N; Beliy, N; Daubie, E; Alves, G A; Pol, M E; Souza, M H G; Carvalho, W; De Jesus Damiao, D; De Oliveira Martins, C; Fonseca De Souza, S; Mundim, L; Oguri, V; Santoro, A; Silva Do Amaral, S M; Sznajder, A; Fernandez Perez Tomei, T R; Ferreira Dias, M A; Gregores, E M; Novaes, S F; Abadjiev, K; Anguelov, T; Damgov, J; Darmenov, N; Dimitrov, L; Genchev, V; Iaydjiev, P; Piperov, S; Stoykova, S; Sultanov, G; Trayanov, R; Vankov, I; Dimitrov, A; Dyulendarova, M; Kozhuharov, V; Litov, L; Marinova, E; Mateev, M; Pavlov, B; Petkov, P; Toteva, Z; Chen, G M; Chen, H S; Guan, W; Jiang, C H; Liang, D; Liu, B; Meng, X; Tao, J; Wang, J; Wang, Z; Xue, Z; Zhang, Z; Ban, Y; Cai, J; Ge, Y; Guo, S; Hu, Z; Mao, Y; Qian, S J; Teng, H; Zhu, B; Avila, C; Baquero Ruiz, M; Carrillo Montoya, C A; Gomez, A; Gomez Moreno, B; Ocampo Rios, A A; Osorio Oliveros, A F; Reyes Romero, D; Sanabria, J C; Godinovic, N; Lelas, K; Plestina, R; Polic, D; Puljak, I; Antunovic, Z; Dzelalija, M; Brigljevic, V; Duric, S; Kadija, K; Morovic, S; Fereos, R; Galanti, M; Mousa, J; Papadakis, A; Ptochos, F; Razis, P A; Tsiakkouri, D; Zinonos, Z; Hektor, A; Kadastik, M; Kannike, K; Müntel, M; Raidal, M; Rebane, L; Anttila, E; Czellar, S; Härkönen, J; Heikkinen, A; Karimäki, V; Kinnunen, R; Klem, J; Kortelainen, M J; Lampén, T; Lassila-Perini, K; Lehti, S; Lindén, T; Luukka, P; Mäenpää, T; Nysten, J; Tuominen, E; Tuominiemi, J; Ungaro, D; Wendland, L; Banzuzi, K; Korpela, A; Tuuva, T; Nedelec, P; Sillou, D; Besancon, M; Chipaux, R; Dejardin, M; Denegri, D; Descamps, J; Fabbro, B; Faure, J L; Ferri, F; Ganjour, S; Gentit, F X; Givernaud, A; Gras, P; Hamel de Monchenault, G; Jarry, P; Lemaire, M C; Locci, E; Malcles, J; Marionneau, M; Millischer, L; Rander, J; Rosowsky, A; Rousseau, D; Titov, M; Verrecchia, P; Baffioni, S; Bianchini, L; Bluj, M; Busson, P; Charlot, C; Dobrzynski, L; Granier de Cassagnac, R; Haguenauer, M; Miné, P; Paganini, P; Sirois, Y; Thiebaux, C; Zabi, A; Agram, J L; Besson, A; Bloch, D; Bodin, D; Brom, J M; Conte, E; Drouhin, F; Fontaine, J C; Gelé, D; Goerlach, U; Gross, L; Juillot, P; Le Bihan, A C; Patois, Y; Speck, J; Van Hove, P; Baty, C; Bedjidian, M; Blaha, J; Boudoul, G; Brun, H; Chanon, N; Chierici, R; Contardo, D; Depasse, P; Dupasquier, T; El Mamouni, H; Fassi, F; Fay, J; Gascon, S; Ille, B; Kurca, T; Le Grand, T; Lethuillier, M; Lumb, N; Mirabito, L; Perries, S; Vander Donckt, M; Verdier, P; Djaoshvili, N; Roinishvili, N; Roinishvili, V; Amaglobeli, N; Adolphi, R; Anagnostou, G; Brauer, R; Braunschweig, W; Edelhoff, M; Esser, H; Feld, L; Karpinski, W; Khomich, A; Klein, K; Mohr, N; Ostaptchouk, A; Pandoulas, D; Pierschel, G; Raupach, F; Schael, S; Schultz von Dratzig, A; Schwering, G; Sprenger, D; Thomas, M; Weber, M; Wittmer, B; Wlochal, M; Actis, O; Altenhöfer, G; Bender, W; Biallass, P; Erdmann, M; Fetchenhauer, G; Frangenheim, J; Hebbeker, T; Hilgers, G; Hinzmann, A; Hoepfner, K; Hof, C; Kirsch, M; Klimkovich, T; Kreuzer, P; Lanske, D; Merschmeyer, M; Meyer, A; Philipps, B; Pieta, H; Reithler, H; Schmitz, S A; Sonnenschein, L; Sowa, M; Steggemann, J; Szczesny, H; Teyssier, D; Zeidler, C; Bontenackels, M; Davids, M; Duda, M; Flügge, G; Geenen, H; Giffels, M; Haj Ahmad, W; Hermanns, T; Heydhausen, D; Kalinin, S; Kress, T; Linn, A; Nowack, A; Perchalla, L; Poettgens, M; Pooth, O; Sauerland, P; Stahl, A; Tornier, D; Zoeller, M H; Aldaya Martin, M; Behrens, U; Borras, K; Campbell, A; Castro, E; Dammann, D; Eckerlin, G; Flossdorf, A; Flucke, G; Geiser, A; Hatton, D; Hauk, J; Jung, H; Kasemann, M; Katkov, I; Kleinwort, C; Kluge, H; Knutsson, A; Kuznetsova, E; Lange, W; Lohmann, W; Mankel, R; Marienfeld, M; Meyer, A B; Miglioranzi, S; Mnich, J; Ohlerich, M; Olzem, J; Parenti, A; Rosemann, C; Schmidt, R; Schoerner-Sadenius, T; Volyanskyy, D; Wissing, C; Zeuner, W D; Autermann, C; Bechtel, F; Draeger, J; Eckstein, D; Gebbert, U; Kaschube, K; Kaussen, G; Klanner, R; Mura, B; Naumann-Emme, S; Nowak, F; Pein, U; Sander, C; Schleper, P; Schum, T; Stadie, H; Steinbrück, G; Thomsen, J; Wolf, R; Bauer, J; Blüm, P; Buege, V; Cakir, A; Chwalek, T; De Boer, W; Dierlamm, A; Dirkes, G; Feindt, M; Felzmann, U; Frey, M; Furgeri, A; Gruschke, J; Hackstein, C; Hartmann, F; Heier, S; Heinrich, M; Held, H; Hirschbuehl, D; Hoffmann, K H; Honc, S; Jung, C; Kuhr, T; Liamsuwan, T; Martschei, D; Mueller, S; Müller, Th; Neuland, M B; Niegel, M; Oberst, O; Oehler, A; Ott, J; Peiffer, T; Piparo, D; Quast, G; Rabbertz, K; Ratnikov, F; Ratnikova, N; Renz, M; Saout, C; Sartisohn, G; Scheurer, A; Schieferdecker, P; Schilling, F P; Schott, G; Simonis, H J; Stober, F M; Sturm, P; Troendle, D; Trunov, A; Wagner, W; Wagner-Kuhr, J; Zeise, M; Zhukov, V; Ziebarth, E B; Daskalakis, G; Geralis, T; Karafasoulis, K; Kyriakis, A; Loukas, D; Markou, A; Markou, C; Mavrommatis, C; Petrakou, E; Zachariadou, A; Gouskos, L; Katsas, P; Panagiotou, A; Evangelou, I; Kokkas, P; Manthos, N; Papadopoulos, I; Patras, V; Triantis, F A; Bencze, G; Boldizsar, L; Debreczeni, G; Hajdu, C; Hernath, S; Hidas, P; Horvath, D; Krajczar, K; Laszlo, A; Patay, G; Sikler, F; Toth, N; Vesztergombi, G; Beni, N; Christian, G; Imrek, J; Molnar, J; Novak, D; Palinkas, J; Szekely, G; Szillasi, Z; Tokesi, K; Veszpremi, V; Kapusi, A; Marian, G; Raics, P; Szabo, Z; Trocsanyi, Z L; Ujvari, B; Zilizi, G; Bansal, S; Bawa, H S; Beri, S B; Bhatnagar, V; Jindal, M; Kaur, M; Kaur, R; Kohli, J M; Mehta, M Z; Nishu, N; Saini, L K; Sharma, A; Singh, A; Singh, J B; Singh, S P; Ahuja, S; Arora, S; Bhattacharya, S; Chauhan, S; Choudhary, B C; Gupta, P; Jain, S; Jha, M; Kumar, A; Ranjan, K; Shivpuri, R K; Srivastava, A K; Choudhury, R K; Dutta, D; Kailas, S; Kataria, S K; Mohanty, A K; Pant, L M; Shukla, P; Topkar, A; Aziz, T; Guchait, M; Gurtu, A; Maity, M; Majumder, D; Majumder, G; Mazumdar, K; Nayak, A; Saha, A; Sudhakar, K; Banerjee, S; Dugad, S; Mondal, N K; Arfaei, H; Bakhshiansohi, H; Fahim, A; Jafari, A; Mohammadi Najafabadi, M; Moshaii, A; Paktinat Mehdiabadi, S; Rouhani, S; Safarzadeh, B; Zeinali, M; Felcini, M; Abbrescia, M; Barbone, L; Chiumarulo, F; Clemente, A; Colaleo, A; Creanza, D; Cuscela, G; De Filippis, N; De Palma, M; De Robertis, G; Donvito, G; Fedele, F; Fiore, L; Franco, M; Iaselli, G; Lacalamita, N; Loddo, F; Lusito, L; Maggi, G; Maggi, M; Manna, N; Marangelli, B; My, S; Natali, S; Nuzzo, S; Papagni, G; Piccolomo, S; Pierro, G A; Pinto, C; Pompili, A; Pugliese, G; Rajan, R; Ranieri, A; Romano, F; Roselli, G; Selvaggi, G; Shinde, Y; Silvestris, L; Tupputi, S; Zito, G; Abbiendi, G; Bacchi, W; Benvenuti, A C; Boldini, M; Bonacorsi, D; Braibant-Giacomelli, S; Cafaro, V D; Caiazza, S S; Capiluppi, P; Castro, A; Cavallo, F R; Codispoti, G; Cuffiani, M; D'Antone, I; Dallavalle, G M; Fabbri, F; Fanfani, A; Fasanella, D; Giacomelli, P; Giordano, V; Giunta, M; Grandi, C; Guerzoni, M; Marcellini, S; Masetti, G; Montanari, A; Navarria, F L; Odorici, F; Pellegrini, G; Perrotta, A; Rossi, A M; Rovelli, T; Siroli, G; Torromeo, G; Travaglini, R; Albergo, S; Costa, S; Potenza, R; Tricomi, A; Tuve, C; Barbagli, G; Broccolo, G; Ciulli, V; Civinini, C; D'Alessandro, R; Focardi, E; Frosali, S; Gallo, E; Genta, C; Landi, G; Lenzi, P; Meschini, M; Paoletti, S; Sguazzoni, G; Tropiano, A; Benussi, L; Bertani, M; Bianco, S; Colafranceschi, S; Colonna, D; Fabbri, F; Giardoni, M; Passamonti, L; Piccolo, D; Pierluigi, D; Ponzio, B; Russo, A; Fabbricatore, P; Musenich, R; Benaglia, A; Calloni, M; Cerati, G B; D'Angelo, P; De Guio, F; Farina, F M; Ghezzi, A; Govoni, P; Malberti, M; Malvezzi, S; Martelli, A; Menasce, D; Miccio, V; Moroni, L; Negri, P; Paganoni, M; Pedrini, D; Pullia, A; Ragazzi, S; Redaelli, N; Sala, S; Salerno, R; Tabarelli de Fatis, T; Tancini, V; Taroni, S; Buontempo, S; Cavallo, N; Cimmino, A; De Gruttola, M; Fabozzi, F; Iorio, A O M; Lista, L; Lomidze, D; Noli, P; Paolucci, P; Sciacca, C; Azzi, P; Bacchetta, N; Barcellan, L; Bellan, P; Bellato, M; Benettoni, M; Biasotto, M; Bisello, D; Borsato, E; Branca, A; Carlin, R; Castellani, L; Checchia, P; Conti, E; Dal Corso, F; De Mattia, M; Dorigo, T; Dosselli, U; Fanzago, F; Gasparini, F; Gasparini, U; Giubilato, P; Gonella, F; Gresele, A; Gulmini, M; Kaminskiy, A; Lacaprara, S; Lazzizzera, I; Margoni, M; Maron, G; Mattiazzo, S; Mazzucato, M; Meneghelli, M; Meneguzzo, A T; Michelotto, M; Montecassiano, F; Nespolo, M; Passaseo, M; Pegoraro, M; Perrozzi, L; Pozzobon, N; Ronchese, P; Simonetto, F; Toniolo, N; Torassa, E; Tosi, M; Triossi, A; Vanini, S; Ventura, S; Zotto, P; Zumerle, G; Baesso, P; Berzano, U; Bricola, S; Necchi, M M; Pagano, D; Ratti, S P; Riccardi, C; Torre, P; Vicini, A; Vitulo, P; Viviani, C; Aisa, D; Aisa, S; Babucci, E; Biasini, M; Bilei, G M; Caponeri, B; Checcucci, B; Dinu, N; Fanò, L; Farnesini, L; Lariccia, P; Lucaroni, A; Mantovani, G; Nappi, A; Piluso, A; Postolache, V; Santocchia, A; Servoli, L; Tonoiu, D; Vedaee, A; Volpe, R; Azzurri, P; Bagliesi, G; Bernardini, J; Berretta, L; Boccali, T; Bocci, A; Borrello, L; Bosi, F; Calzolari, F; Castaldi, R; Dell'Orso, R; Fiori, F; Foà, L; Gennai, S; Giassi, A; Kraan, A; Ligabue, F; Lomtadze, T; Mariani, F; Martini, L; Massa, M; Messineo, A; Moggi, A; Palla, F; Palmonari, F; Petragnani, G; Petrucciani, G; Raffaelli, F; Sarkar, S; Segneri, G; Serban, A T; Spagnolo, P; Tenchini, R; Tolaini, S; Tonelli, G; Venturi, A; Verdini, P G; Baccaro, S; Barone, L; Bartoloni, A; Cavallari, F; Dafinei, I; Del Re, D; Di Marco, E; Diemoz, M; Franci, D; Longo, E; Organtini, G; Palma, A; Pandolfi, F; Paramatti, R; Pellegrino, F; Rahatlou, S; Rovelli, C; Alampi, G; Amapane, N; Arcidiacono, R; Argiro, S; Arneodo, M; Biino, C; Borgia, M A; Botta, C; Cartiglia, N; Castello, R; Cerminara, G; Costa, M; Dattola, D; Dellacasa, G; Demaria, N; Dughera, G; Dumitrache, F; Graziano, A; Mariotti, C; Marone, M; Maselli, S; Migliore, E; Mila, G; Monaco, V; Musich, M; Nervo, M; Obertino, M M; Oggero, S; Panero, R; Pastrone, N; Pelliccioni, M; Romero, A; Ruspa, M; Sacchi, R; Solano, A; Staiano, A; Trapani, P P; Trocino, D; Vilela Pereira, A; Visca, L; Zampieri, A; Ambroglini, F; Belforte, S; Cossutti, F; Della Ricca, G; Gobbo, B; Penzo, A; Chang, S; Chung, J; Kim, D H; Kim, G N; Kong, D J; Park, H; Son, D C; Bahk, S Y; Song, S; Jung, S Y; Hong, B; Kim, H; Kim, J H; Lee, K S; Moon, D H; Park, S K; Rhee, H B; Sim, K S; Kim, J; Choi, M; Hahn, G; Park, I C; Choi, S; Choi, Y; Goh, J; Jeong, H; Kim, T J; Lee, J; Lee, S; Janulis, M; Martisiute, D; Petrov, P; Sabonis, T; Castilla Valdez, H; Sánchez Hernández, A; Carrillo Moreno, S; Morelos Pineda, A; Allfrey, P; Gray, R N C; Krofcheck, D; Bernardino Rodrigues, N; Butler, P H; Signal, T; Williams, J C; Ahmad, M; Ahmed, I; Ahmed, W; Asghar, M I; Awan, M I M; Hoorani, H R; Hussain, I; Khan, W A; Khurshid, T; Muhammad, S; Qazi, S; Shahzad, H; Cwiok, M; Dabrowski, R; Dominik, W; Doroba, K; Konecki, M; Krolikowski, J; Pozniak, K; Romaniuk, Ryszard; Zabolotny, W; Zych, P; Frueboes, T; Gokieli, R; Goscilo, L; Górski, M; Kazana, M; Nawrocki, K; Szleper, M; Wrochna, G; Zalewski, P; Almeida, N; Antunes Pedro, L; Bargassa, P; David, A; Faccioli, P; Ferreira Parracho, P G; Freitas Ferreira, M; Gallinaro, M; Guerra Jordao, M; Martins, P; Mini, G; Musella, P; Pela, J; Raposo, L; Ribeiro, P Q; Sampaio, S; Seixas, J; Silva, J; Silva, P; Soares, D; Sousa, M; Varela, J; Wöhri, H K; Altsybeev, I; Belotelov, I; Bunin, P; Ershov, Y; Filozova, I; Finger, M; Finger, M., Jr.; Golunov, A; Golutvin, I; Gorbounov, N; Kalagin, V; Kamenev, A; Karjavin, V; Konoplyanikov, V; Korenkov, V; Kozlov, G; Kurenkov, A; Lanev, A; Makankin, A; Mitsyn, V V; Moisenz, P; Nikonov, E; Oleynik, D; Palichik, V; Perelygin, V; Petrosyan, A; Semenov, R; Shmatov, S; Smirnov, V; Smolin, D; Tikhonenko, E; Vasil'ev, S; Vishnevskiy, A; Volodko, A; Zarubin, A; Zhiltsov, V; Bondar, N; Chtchipounov, L; Denisov, A; Gavrikov, Y; Gavrilov, G; Golovtsov, V; Ivanov, Y; Kim, V; Kozlov, V; Levchenko, P; Obrant, G; Orishchin, E; Petrunin, A; Shcheglov, Y; Shchetkovskiy, A; Sknar, V; Smirnov, I; Sulimov, V; Tarakanov, V; Uvarov, L; Vavilov, S; Velichko, G; Volkov, S; Vorobyev, A; Andreev, Yu; Anisimov, A; Antipov, P; Dermenev, A; Gninenko, S; Golubev, N; Kirsanov, M; Krasnikov, N; Matveev, V; Pashenkov, A; Postoev, V E; Solovey, A; Toropin, A; Troitsky, S; Baud, A; Epshteyn, V; Gavrilov, V; Ilina, N; Kaftanov, V; Kolosov, V; Kossov, M; Krokhotin, A; Kuleshov, S; Oulianov, A; Safronov, G; Semenov, S; Shreyber, I; Stolin, V; Vlasov, E; Zhokin, A; Boos, E; Dubinin, M; Dudko, L; Ershov, A; Gribushin, A; Klyukhin, V; Kodolova, O; Lokhtin, I; Petrushanko, S; Sarycheva, L; Savrin, V; Snigirev, A; Vardanyan, I; Dremin, I; Kirakosyan, M; Konovalova, N; Rusakov, S V; Vinogradov, A; Akimenko, S; Artamonov, A; Azhgirey, I; Bitioukov, S; Burtovoy, V; Grishin, V; Kachanov, V; Konstantinov, D; Krychkine, V; Levine, A; Lobov, I; Lukanin, V; Mel'nik, Y; Petrov, V; Ryutin, R; Slabospitsky, S; Sobol, A; Sytine, A; Tourtchanovitch, L; Troshin, S; Tyurin, N; Uzunian, A; Volkov, A; Adzic, P; Djordjevic, M; Jovanovic, D; Krpic, D; Maletic, D; Puzovic, J; Smiljkovic, N; Aguilar-Benitez, M; Alberdi, J; Alcaraz Maestre, J; Arce, P; Barcala, J M; Battilana, C; Burgos Lazaro, C; Caballero Bejar, J; Calvo, E; Cardenas Montes, M; Cepeda, M; Cerrada, M; Chamizo Llatas, M; Clemente, F; Colino, N; Daniel, M; De La Cruz, B; Delgado Peris, A; Diez Pardos, C; Fernandez Bedoya, C; Fernández Ramos, J P; Ferrando, A; Flix, J; Fouz, M C; Garcia-Abia, P; Garcia-Bonilla, A C; Gonzalez Lopez, O; Goy Lopez, S; Hernandez, J M; Josa, M I; Marin, J; Merino, G; Molina, J; Molinero, A; Navarrete, J J; Oller, J C; Puerta Pelayo, J; Romero, L; Santaolalla, J; Villanueva Munoz, C; Willmott, C; Yuste, C; Albajar, C; Blanco Otano, M; de Trocóniz, J F; Garcia Raboso, A; Lopez Berengueres, J O; Cuevas, J; Fernandez Menendez, J; Gonzalez Caballero, I; Lloret Iglesias, L; Naves Sordo, H; Vizan Garcia, J M; Cabrillo, I J; Calderon, A; Chuang, S H; Diaz Merino, I; Diez Gonzalez, C; Duarte Campderros, J; Fernandez, M; Gomez, G; Gonzalez Sanchez, J; Gonzalez Suarez, R; Jorda, C; Lobelle Pardo, P; Lopez Virto, A; Marco, J; Marco, R; Martinez Rivero, C; Martinez Ruiz del Arbol, P; Matorras, F; Rodrigo, T; Ruiz Jimeno, A; Scodellaro, L; Sobron Sanudo, M; Vila, I; Vilar Cortabitarte, R; Abbaneo, D; Albert, E; Alidra, M; Ashby, S; Auffray, E; Baechler, J; Baillon, P; Ball, A H; Bally, S L; Barney, D; Beaudette, F; Bellan, R; Benedetti, D; Benelli, G; Bernet, C; Bloch, P; Bolognesi, S; Bona, M; Bos, J; Bourgeois, N; Bourrel, T; Breuker, H; Bunkowski, K; Campi, D; Camporesi, T; Cano, E; Cattai, A; Chatelain, J P; Chauvey, M; Christiansen, T; Coarasa Perez, J A; Conde Garcia, A; Covarelli, R; Curé, B; De Roeck, A; Delachenal, V; Deyrail, D; Di Vincenzo, S; Dos Santos, S; Dupont, T; Edera, L M; Elliott-Peisert, A; Eppard, M; Favre, M; Frank, N; Funk, W; Gaddi, A; Gastal, M; Gateau, M; Gerwig, H; Gigi, D; Gill, K; Giordano, D; Girod, J P; Glege, F; Gomez-Reino Garrido, R; Goudard, R; Gowdy, S; Guida, R; Guiducci, L; Gutleber, J; Hansen, M; Hartl, C; Harvey, J; Hegner, B; Hoffmann, H F; Holzner, A; Honma, A; Huhtinen, M; Innocente, V; Janot, P; Le Godec, G; Lecoq, P; Leonidopoulos, C; Loos, R; Lourenço, C; Lyonnet, A; Macpherson, A; Magini, N; Maillefaud, J D; Maire, G; Mäki, T; Malgeri, L; Mannelli, M; Masetti, L; Meijers, F; Meridiani, P; Mersi, S; Meschi, E; Meynet Cordonnier, A; Moser, R; Mulders, M; Mulon, J; Noy, M; Oh, A; Olesen, G; Onnela, A; Orimoto, T; Orsini, L; Perez, E; Perinic, G; Pernot, J F; Petagna, P; Petiot, P; Petrilli, A; Pfeiffer, A; Pierini, M; Pimiä, M; Pintus, R; Pirollet, B; Postema, H; Racz, A; Ravat, S; Rew, S B; Rodrigues Antunes, J; Rolandi, G; Rovere, M; Ryjov, V; Sakulin, H; Samyn, D; Sauce, H; Schäfer, C; Schlatter, W D; Schröder, M; Schwick, C; Sciaba, A; Segoni, I; Sharma, A; Siegrist, N; Siegrist, P; Sinanis, N; Sobrier, T; Sphicas, P; Spiga, D; Spiropulu, M; Stöckli, F; Traczyk, P; Tropea, P; Troska, J; Tsirou, A; Veillet, L; Veres, G I; Voutilainen, M; Wertelaers, P; Zanetti, M; Bertl, W; Deiters, K; Erdmann, W; Gabathuler, K; Horisberger, R; Ingram, Q; Kaestli, H C; König, S; Kotlinski, D; Langenegger, U; Meier, F; Renker, D; Rohe, T; Sibille, J; Starodumov, A; Betev, B; Caminada, L; Chen, Z; Cittolin, S; Da Silva Di Calafiori, D R; Dambach, S; Dissertori, G; Dittmar, M; Eggel, C; Eugster, J; Faber, G; Freudenreich, K; Grab, C; Hervé, A; Hintz, W; Lecomte, P; Luckey, P D; Lustermann, W; Marchica, C; Milenovic, P; Moortgat, F; Nardulli, A; Nessi-Tedaldi, F; Pape, L; Pauss, F; Punz, T; Rizzi, A; Ronga, F J; Sala, L; Sanchez, A K; Sawley, M C; Sordini, V; Stieger, B; Tauscher, L; Thea, A; Theofilatos, K; Treille, D; Trüb, P; Weber, M; Wehrli, L; Weng, J; Zelepoukine, S; Amsler, C; Chiochia, V; De Visscher, S; Regenfus, C; Robmann, P; Rommerskirchen, T; Schmidt, A; Tsirigkas, D; Wilke, L; Chang, Y H; Chen, E A; Chen, W T; Go, A; Kuo, C M; Li, S W; Lin, W; Bartalini, P; Chang, P; Chao, Y; Chen, K F; Hou, W S; Hsiung, Y; Lei, Y J; Lin, S W; Lu, R S; Schümann, J; Shiu, J G; Tzeng, Y M; Ueno, K; Velikzhanin, Y; Wang, C C; Wang, M; Adiguzel, A; Ayhan, A; Azman Gokce, A; Bakirci, M N; Cerci, S; Dumanoglu, I; Eskut, E; Girgis, S; Gurpinar, E; Hos, I; Karaman, T; Kayis Topaksu, A; Kurt, P; Önengüt, G; Önengüt Gökbulut, G; Ozdemir, K; Ozturk, S; Polatöz, A; Sogut, K; Tali, B; Topakli, H; Uzun, D; Vergili, L N; Vergili, M; Akin, I V; Aliev, T; Bilmis, S; Deniz, M; Gamsizkan, H; Guler, A M; Öcalan, K; Serin, M; Sever, R; Surat, U E; Zeyrek, M; Deliomeroglu, M; Demir, D; Gülmez, E; Halu, A; Isildak, B; Kaya, M; Kaya, O; Ozkorucuklu, S; Sonmez, N; Levchuk, L; Lukyanenko, S; Soroka, D; Zub, S; Bostock, F; Brooke, J J; Cheng, T L; Cussans, D; Frazier, R; Goldstein, J; Grant, N; Hansen, M; Heath, G P; Heath, H F; Hill, C; Huckvale, B; Jackson, J; Mackay, C K; Metson, S; Newbold, D M; Nirunpong, K; Smith, V J; Velthuis, J; Walton, R; Bell, K W; Brew, C; Brown, R M; Camanzi, B; Cockerill, D J A; Coughlan, J A; Geddes, N I; Harder, K; Harper, S; Kennedy, B W; Murray, P; Shepherd-Themistocleous, C H; Tomalin, I R; Williams, J H; Womersley, W J; Worm, S D; Bainbridge, R; Ball, G; Ballin, J; Beuselinck, R; Buchmuller, O; Colling, D; Cripps, N; Davies, G; Della Negra, M; Foudas, C; Fulcher, J; Futyan, D; Hall, G; Hays, J; Iles, G; Karapostoli, G; MacEvoy, B C; Magnan, A M; Marrouche, J; Nash, J; Nikitenko, A; Papageorgiou, A; Pesaresi, M; Petridis, K; Pioppi, M; Raymond, D M; Rompotis, N; Rose, A; Ryan, M J; Seez, C; Sharp, P; Sidiropoulos, G; Stettler, M; Stoye, M; Takahashi, M; Tapper, A; Timlin, C; Tourneur, S; Vazquez Acosta, M; Virdee, T; Wakefield, S; Wardrope, D; Whyntie, T; Wingham, M; Cole, J E; Goitom, I; Hobson, P R; Khan, A; Kyberd, P; Leslie, D; Munro, C; Reid, I D; Siamitros, C; Taylor, R; Teodorescu, L; Yaselli, I; Bose, T; Carleton, M; Hazen, E; Heering, A H; Heister, A; John, J St; Lawson, P; Lazic, D; Osborne, D; Rohlf, J; Sulak, L; Wu, S; Andrea, J; Avetisyan, A; Bhattacharya, S; Chou, J P; Cutts, D; Esen, S; Kukartsev, G; Landsberg, G; Narain, M; Nguyen, D; Speer, T; Tsang, K V; Breedon, R; Calderon De La Barca Sanchez, M; Case, M; Cebra, D; Chertok, M; Conway, J; Cox, P T; Dolen, J; Erbacher, R; Friis, E; Ko, W; Kopecky, A; Lander, R; Lister, A; Liu, H; Maruyama, S; Miceli, T; Nikolic, M; Pellett, D; Robles, J; Searle, M; Smith, J; Squires, M; Stilley, J; Tripathi, M; Vasquez Sierra, R; Veelken, C; Andreev, V; Arisaka, K; Cline, D; Cousins, R; Erhan, S; Hauser, J; Ignatenko, M; Jarvis, C; Mumford, J; Plager, C; Rakness, G; Schlein, P; Tucker, J; Valuev, V; Wallny, R; Yang, X; Babb, J; Bose, M; Chandra, A; Clare, R; Ellison, J A; Gary, J W; Hanson, G; Jeng, G Y; Kao, S C; Liu, F; Liu, H; Luthra, A; Nguyen, H; Pasztor, G; Satpathy, A; Shen, B C; Stringer, R; Sturdy, J; Sytnik, V; Wilken, R; Wimpenny, S; Branson, J G; Dusinberre, E; Evans, D; Golf, F; Kelley, R; Lebourgeois, M; Letts, J; Lipeles, E; Mangano, B; Muelmenstaedt, J; Norman, M; Padhi, S; Petrucci, A; Pi, H; Pieri, M; Ranieri, R; Sani, M; Sharma, V; Simon, S; Würthwein, F; Yagil, A; Campagnari, C; D'Alfonso, M; Danielson, T; Garberson, J; Incandela, J; Justus, C; Kalavase, P; Koay, S A; Kovalskyi, D; Krutelyov, V; Lamb, J; Lowette, S; Pavlunin, V; Rebassoo, F; Ribnik, J; Richman, J; Rossin, R; Stuart, D; To, W; Vlimant, J R; Witherell, M; Apresyan, A; Bornheim, A; Bunn, J; Chiorboli, M; Gataullin, M; Kcira, D; Litvine, V; Ma, Y; Newman, H B; Rogan, C; Timciuc, V; Veverka, J; Wilkinson, R; Yang, Y; Zhang, L; Zhu, K; Zhu, R Y; Akgun, B; Carroll, R; Ferguson, T; Jang, D W; Jun, S Y; Paulini, M; Russ, J; Terentyev, N; Vogel, H; Vorobiev, I; Cumalat, J P; Dinardo, M E; Drell, B R; Ford, W T; Heyburn, B; Luiggi Lopez, E; Nauenberg, U; Stenson, K; Ulmer, K; Wagner, S R; Zang, S L; Agostino, L; Alexander, J; Blekman, F; Cassel, D; Chatterjee, A; Das, S; Gibbons, L K; Heltsley, B; Hopkins, W; Khukhunaishvili, A; Kreis, B; Kuznetsov, V; Patterson, J R; Puigh, D; Ryd, A; Shi, X; Stroiney, S; Sun, W; Teo, W D; Thom, J; Vaughan, J; Weng, Y; Wittich, P; Beetz, C P; Cirino, G; Sanzeni, C; Winn, D; Abdullin, S; Afaq, M A; Albrow, M; Ananthan, B; Apollinari, G; Atac, M; Badgett, W; Bagby, L; Bakken, J A; Baldin, B; Banerjee, S; Banicz, K; Bauerdick, L A T; Beretvas, A; Berryhill, J; Bhat, P C; Biery, K; Binkley, M; Bloch, I; Borcherding, F; Brett, A M; Burkett, K; Butler, J N; Chetluru, V; Cheung, H W K; Chlebana, F; Churin, I; Cihangir, S; Crawford, M; Dagenhart, W; Demarteau, M; Derylo, G; Dykstra, D; Eartly, D P; Elias, J E; Elvira, V D; Evans, D; Feng, L; Fischler, M; Fisk, I; Foulkes, S; Freeman, J; Gartung, P; Gottschalk, E; Grassi, T; Green, D; Guo, Y; Gutsche, O; Hahn, A; Hanlon, J; Harris, R M; Holzman, B; Howell, J; Hufnagel, D; James, E; Jensen, H; Johnson, M; Jones, C D; Joshi, U; Juska, E; Kaiser, J; Klima, B; Kossiakov, S; Kousouris, K; Kwan, S; Lei, C M; Limon, P; Lopez Perez, J A; Los, S; Lueking, L; Lukhanin, G; Lusin, S; Lykken, J; Maeshima, K; Marraffino, J M; Mason, D; McBride, P; Miao, T; Mishra, K; Moccia, S; Mommsen, R; Mrenna, S; Muhammad, A S; Newman-Holmes, C; Noeding, C; O'Dell, V; Prokofyev, O; Rivera, R; Rivetta, C H; Ronzhin, A; Rossman, P; Ryu, S; Sekhri, V; Sexton-Kennedy, E; Sfiligoi, I; Sharma, S; Shaw, T M; Shpakov, D; Skup, E; Smith, R P; Soha, A; Spalding, W J; Spiegel, L; Suzuki, I; Tan, P; Tanenbaum, W; Tkaczyk, S; Trentadue, R; Uplegger, L; Vaandering, E W; Vidal, R; Whitmore, J; Wicklund, E; Wu, W; Yarba, J; Yumiceva, F; Yun, J C; Acosta, D; Avery, P; Barashko, V; Bourilkov, D; Chen, M; Di Giovanni, G P; Dobur, D; Drozdetskiy, A; Field, R D; Fu, Y; Furic, I K; Gartner, J; Holmes, D; Kim, B; Klimenko, S; Konigsberg, J; Korytov, A; Kotov, K; Kropivnitskaya, A; Kypreos, T; Madorsky, A; Matchev, K; Mitselmakher, G; Pakhotin, Y; Piedra Gomez, J; Prescott, C; Rapsevicius, V; Remington, R; Schmitt, M; Scurlock, B; Wang, D; Yelton, J; Ceron, C; Gaultney, V; Kramer, L; Lebolo, L M; Linn, S; Markowitz, P; Martinez, G; Rodriguez, J L; Adams, T; Askew, A; Baer, H; Bertoldi, M; Chen, J; Dharmaratna, W G D; Gleyzer, S V; Haas, J; Hagopian, S; Hagopian, V; Jenkins, M; Johnson, K F; Prettner, E; Prosper, H; Sekmen, S; Baarmand, M M; Guragain, S; Hohlmann, M; Kalakhety, H; Mermerkaya, H; Ralich, R; Vodopiyanov, I; Abelev, B; Adams, M R; Anghel, I M; Apanasevich, L; Bazterra, V E; Betts, R R; Callner, J; Castro, M A; Cavanaugh, R; Dragoiu, C; Garcia-Solis, E J; Gerber, C E; Hofman, D J; Khalatian, S; Mironov, C; Shabalina, E; Smoron, A; Varelas, N; Akgun, U; Albayrak, E A; Ayan, A S; Bilki, B; Briggs, R; Cankocak, K; Chung, K; Clarida, W; Debbins, P; Duru, F; Ingram, F D; Lae, C K; McCliment, E; Merlo, J P; Mestvirishvili, A; Miller, M J; Moeller, A; Nachtman, J; Newsom, C R; Norbeck, E; Olson, J; Onel, Y; Ozok, F; Parsons, J; Schmidt, I; Sen, S; Wetzel, J; Yetkin, T; Yi, K; Barnett, B A; Blumenfeld, B; Bonato, A; Chien, C Y; Fehling, D; Giurgiu, G; Gritsan, A V; Guo, Z J; Maksimovic, P; Rappoccio, S; Swartz, M; Tran, N V; Zhang, Y; Baringer, P; Bean, A; Grachov, O; Murray, M; Radicci, V; Sanders, S; Wood, J S; Zhukova, V; Bandurin, D; Bolton, T; Kaadze, K; Liu, A; Maravin, Y; Onoprienko, D; Svintradze, I; Wan, Z; Gronberg, J; Hollar, J; Lange, D; Wright, D; Baden, D; Bard, R; Boutemeur, M; Eno, S C; Ferencek, D; Hadley, N J; Kellogg, R G; Kirn, M; Kunori, S; Rossato, K; Rumerio, P; Santanastasio, F; Skuja, A; Temple, J; Tonjes, M B; Tonwar, S C; Toole, T; Twedt, E; Alver, B; Bauer, G; Bendavid, J; Busza, W; Butz, E; Cali, I A; Chan, M; D'Enterria, D; Everaerts, P; Gomez Ceballos, G; Hahn, K A; Harris, P; Jaditz, S; Kim, Y; Klute, M; Lee, Y J; Li, W; Loizides, C; Ma, T; Miller, M; Nahn, S; Paus, C; Roland, C; Roland, G; Rudolph, M; Stephans, G; Sumorok, K; Sung, K; Vaurynovich, S; Wenger, E A; Wyslouch, B; Xie, S; Yilmaz, Y; Yoon, A S; Bailleux, D; Cooper, S I; Cushman, P; Dahmes, B; De Benedetti, A; Dolgopolov, A; Dudero, P R; Egeland, R; Franzoni, G; Haupt, J; Inyakin, A; Klapoetke, K; Kubota, Y; Mans, J; Mirman, N; Petyt, D; Rekovic, V; Rusack, R; Schroeder, M; Singovsky, A; Zhang, J; Cremaldi, L M; Godang, R; Kroeger, R; Perera, L; Rahmat, R; Sanders, D A; Sonnek, P; Summers, D; Bloom, K; Bockelman, B; Bose, S; Butt, J; Claes, D R; Dominguez, A; Eads, M; Keller, J; Kelly, T; Kravchenko, I; Lazo-Flores, J; Lundstedt, C; Malbouisson, H; Malik, S; Snow, G R; Baur, U; Iashvili, I; Kharchilava, A; Kumar, A; Smith, K; Strang, M; Alverson, G; Barberis, E; Boeriu, O; Eulisse, G; Govi, G; McCauley, T; Musienko, Y; Muzaffar, S; Osborne, I; Paul, T; Reucroft, S; Swain, J; Taylor, L; Tuura, L; Anastassov, A; Gobbi, B; Kubik, A; Ofierzynski, R A; Pozdnyakov, A; Schmitt, M; Stoynev, S; Velasco, M; Won, S; Antonelli, L; Berry, D; Hildreth, M; Jessop, C; Karmgard, D J; Kolberg, T; Lannon, K; Lynch, S; Marinelli, N; Morse, D M; Ruchti, R; Slaunwhite, J; Warchol, J; Wayne, M; Bylsma, B; Durkin, L S; Gilmore, J; Gu, J; Killewald, P; Ling, T Y; Williams, G; Adam, N; Berry, E; Elmer, P; Garmash, A; Gerbaudo, D; Halyo, V; Hunt, A; Jones, J; Laird, E; Marlow, D; Medvedeva, T; Mooney, M; Olsen, J; Piroué, P; Stickland, D; Tully, C; Werner, J S; Wildish, T; Xie, Z; Zuranski, A; Acosta, J G; Bonnett Del Alamo, M; Huang, X T; Lopez, A; Mendez, H; Oliveros, S; Ramirez Vargas, J E; Santacruz, N; Zatzerklyany, A; Alagoz, E; Antillon, E; Barnes, V E; Bolla, G; Bortoletto, D; Everett, A; Garfinkel, A F; Gecse, Z; Gutay, L; Ippolito, N; Jones, M; Koybasi, O; Laasanen, A T; Leonardo, N; Liu, C; Maroussov, V; Merkel, P; Miller, D H; Neumeister, N; Sedov, A; Shipsey, I; Yoo, H D; Zheng, Y; Jindal, P; Parashar, N; Cuplov, V; Ecklund, K M; Geurts, F J M; Liu, J H; Maronde, D; Matveev, M; Padley, B P; Redjimi, R; Roberts, J; Sabbatini, L; Tumanov, A; Betchart, B; Bodek, A; Budd, H; Chung, Y S; de Barbaro, P; Demina, R; Flacher, H; Gotra, Y; Harel, A; Korjenevski, S; Miner, D C; Orbaker, D; Petrillo, G; Vishnevskiy, D; Zielinski, M; Bhatti, A; Demortier, L; Goulianos, K; Hatakeyama, K; Lungu, G; Mesropian, C; Yan, M; Atramentov, O; Bartz, E; Gershtein, Y; Halkiadakis, E; Hits, D; Lath, A; Rose, K; Schnetzer, S; Somalwar, S; Stone, R; Thomas, S; Watts, T L; Cerizza, G; Hollingsworth, M; Spanier, S; Yang, Z C; York, A; Asaadi, J; Aurisano, A; Eusebi, R; Golyash, A; Gurrola, A; Kamon, T; Nguyen, C N; Pivarski, J; Safonov, A; Sengupta, S; Toback, D; Weinberger, M; Akchurin, N; Berntzon, L; Gumus, K; Jeong, C; Kim, H; Lee, S W; Popescu, S; Roh, Y; Sill, A; Volobouev, I; Washington, E; Wigmans, R; Yazgan, E; Engh, D; Florez, C; Johns, W; Pathak, S; Sheldon, P; Andelin, D; Arenton, M W; Balazs, M; Boutle, S; Buehler, M; Conetti, S; Cox, B; Hirosky, R; Ledovskoy, A; Neu, C; Phillips II, D; Ronquest, M; Yohay, R; Gollapinni, S; Gunthoti, K; Harr, R; Karchin, P E; Mattson, M; Sakharov, A; Anderson, M; Bachtis, M; Bellinger, J N; Carlsmith, D; Crotty, I; Dasu, S; Dutta, S; Efron, J; Feyzi, F; Flood, K; Gray, L; Grogg, K S; Grothe, M; Hall-Wilton, R; Jaworski, M; Klabbers, P; Klukas, J; Lanaro, A; Lazaridis, C; Leonard, J; Loveless, R; Magrans de Abril, M; Mohapatra, A; Ott, G; Polese, G; Reeder, D; Savin, A; Smith, W H; Sourkov, A; Swanson, J; Weinberg, M; Wenman, D; Wensveen, M; White, A

    2010-01-01

    The CMS High-Level Trigger (HLT) is responsible for ensuring that data samples with potentially interesting events are recorded with high efficiency and good quality. This paper gives an overview of the HLT and focuses on its commissioning using cosmic rays. The selection of triggers that were deployed is presented and the online grouping of triggered events into streams and primary datasets is discussed. Tools for online and offline data quality monitoring for the HLT are described, and the operational performance of the muon HLT algorithms is reviewed. The average time taken for the HLT selection and its dependence on detector and operating conditions are presented. The HLT performed reliably and helped provide a large dataset. This dataset has proven to be invaluable for understanding the performance of the trigger and the CMS experiment as a whole.

  13. Commissioning of the CMS High-Level Trigger with cosmic rays

    International Nuclear Information System (INIS)

    2010-01-01

    The CMS High-Level Trigger (HLT) is responsible for ensuring that data samples with potentially interesting events are recorded with high efficiency and good quality. This paper gives an overview of the HLT and focuses on its commissioning using cosmic rays. The selection of triggers that were deployed is presented and the online grouping of triggered events into streams and primary datasets is discussed. Tools for online and offline data quality monitoring for the HLT are described, and the operational performance of the muon HLT algorithms is reviewed. The average time taken for the HLT selection and its dependence on detector and operating conditions are presented. The HLT performed reliably and helped provide a large dataset. This dataset has proven to be invaluable for understanding the performance of the trigger and the CMS experiment as a whole.

  14. Studies of ATM for ATLAS high-level triggers

    CERN Document Server

    Bystrický, J; Huet, M; Le Dû, P; Mandjavidze, I D

    2001-01-01

    This paper presents some of the conclusions of our studies on asynchronous transfer mode (ATM) and fast Ethernet in the ATLAS level-2 trigger pilot project. We describe the general concept and principles of our data-collection and event-building scheme that could be transposed to various experiments in high-energy and nuclear physics. To validate the approach in view of ATLAS high-level triggers, we assembled a testbed composed of up to 48 computers linked by a 7.5-Gbit/s ATM switch. This modular switch is used as a single entity or is split into several smaller interconnected switches. This allows study of how to construct a large network from smaller units. Alternatively, the ATM network can be replaced by fast Ethernet. We detail the operation of the system and present series of performance measurements made with event-building traffic pattern. We extrapolate these results to show how today's commercial networking components could be used to build a 1000-port network adequate for ATLAS needs. Lastly, we li...

  15. The CMS High Level Trigger System

    CERN Document Server

    Afaq, A; Bauer, G; Biery, K; Boyer, V; Branson, J; Brett, A; Cano, E; Carboni, A; Cheung, H; Ciganek, M; Cittolin, S; Dagenhart, W; Erhan, S; Gigi, D; Glege, F; Gómez-Reino, Robert; Gulmini, M; Gutiérrez-Mlot, E; Gutleber, J; Jacobs, C; Kim, J C; Klute, M; Kowalkowski, J; Lipeles, E; Lopez-Perez, Juan Antonio; Maron, G; Meijers, F; Meschi, E; Moser, R; Murray, S; Oh, A; Orsini, L; Paus, C; Petrucci, A; Pieri, M; Pollet, L; Rácz, A; Sakulin, H; Sani, M; Schieferdecker, P; Schwick, C; Sexton-Kennedy, E; Sumorok, K; Suzuki, I; Tsirigkas, D; Varela, J

    2007-01-01

    The CMS Data Acquisition (DAQ) System relies on a purely software driven High Level Trigger (HLT) to reduce the full Level-1 accept rate of 100 kHz to approximately 100 Hz for archiving and later offline analysis. The HLT operates on the full information of events assembled by an event builder collecting detector data from the CMS front-end systems. The HLT software consists of a sequence of reconstruction and filtering modules executed on a farm of O(1000) CPUs built from commodity hardware. This paper presents the architecture of the CMS HLT, which integrates the CMS reconstruction framework in the online environment. The mechanisms to configure, control, and monitor the Filter Farm and the procedures to validate the filtering code within the DAQ environment are described.

  16. A high-speed DAQ framework for future high-level trigger and event building clusters

    International Nuclear Information System (INIS)

    Caselle, M.; Perez, L.E. Ardila; Balzer, M.; Dritschler, T.; Kopmann, A.; Mohr, H.; Rota, L.; Vogelgesang, M.; Weber, M.

    2017-01-01

    Modern data acquisition and trigger systems require a throughput of several GB/s and latencies of the order of microseconds. To satisfy such requirements, a heterogeneous readout system based on FPGA readout cards and GPU-based computing nodes coupled by InfiniBand has been developed. The incoming data from the back-end electronics is delivered directly into the internal memory of GPUs through a dedicated peer-to-peer PCIe communication. High performance DMA engines have been developed for direct communication between FPGAs and GPUs using 'DirectGMA (AMD)' and 'GPUDirect (NVIDIA)' technologies. The proposed infrastructure is a candidate for future generations of event building clusters, high-level trigger filter farms and low-level trigger system. In this paper the heterogeneous FPGA-GPU architecture will be presented and its performance be discussed.

  17. Multi­-Threaded Algorithms for General purpose Graphics Processor Units in the ATLAS High Level Trigger

    CERN Document Server

    Conde Mui\\~no, Patricia; The ATLAS collaboration

    2016-01-01

    General purpose Graphics Processor Units (GPGPU) are being evaluated for possible future inclusion in an upgraded ATLAS High Level Trigger farm. We have developed a demonstrator including GPGPU implementations of Inner Detector and Muon tracking and Calorimeter clustering within the ATLAS software framework. ATLAS is a general purpose particle physics experiment located on the LHC collider at CERN. The ATLAS Trigger system consists of two levels, with level 1 implemented in hardware and the High Level Trigger implemented in software running on a farm of commodity CPU. The High Level Trigger reduces the trigger rate from the 100 kHz level 1 acceptance rate to 1 kHz for recording, requiring an average per­-event processing time of ~250 ms for this task. The selection in the high level trigger is based on reconstructing tracks in the Inner Detector and Muon Spectrometer and clusters of energy deposited in the Calorimeter. Performing this reconstruction within the available farm resources presents a significant ...

  18. FPGA based compute nodes for high level triggering in PANDA

    International Nuclear Information System (INIS)

    Kuehn, W; Gilardi, C; Kirschner, D; Lang, J; Lange, S; Liu, M; Perez, T; Yang, S; Schmitt, L; Jin, D; Li, L; Liu, Z; Lu, Y; Wang, Q; Wei, S; Xu, H; Zhao, D; Korcyl, K; Otwinowski, J T; Salabura, P

    2008-01-01

    PANDA is a new universal detector for antiproton physics at the HESR facility at FAIR/GSI. The PANDA data acquisition system has to handle interaction rates of the order of 10 7 /s and data rates of several 100 Gb/s. FPGA based compute nodes with multi-Gb/s bandwidth capability using the ATCA architecture are designed to handle tasks such as event building, feature extraction and high level trigger processing. Data connectivity is provided via optical links as well as multiple Gb Ethernet ports. The boards will support trigger algorithms such us pattern recognition for RICH detectors, EM shower analysis, fast tracking algorithms and global event characterization. Besides VHDL, high level C-like hardware description languages will be considered to implement the firmware

  19. The ATLAS online High Level Trigger framework: Experience reusing offline software components in the ATLAS trigger

    International Nuclear Information System (INIS)

    Wiedenmann, Werner

    2010-01-01

    Event selection in the ATLAS High Level Trigger is accomplished to a large extent by reusing software components and event selection algorithms developed and tested in an offline environment. Many of these offline software modules are not specifically designed to run in a heavily multi-threaded online data flow environment. The ATLAS High Level Trigger (HLT) framework based on the GAUDI and ATLAS ATHENA frameworks, forms the interface layer, which allows the execution of the HLT selection and monitoring code within the online run control and data flow software. While such an approach provides a unified environment for trigger event selection across all of ATLAS, it also poses strict requirements on the reused software components in terms of performance, memory usage and stability. Experience of running the HLT selection software in the different environments and especially on large multi-node trigger farms has been gained in several commissioning periods using preloaded Monte Carlo events, in data taking periods with cosmic events and in a short period with proton beams from LHC. The contribution discusses the architectural aspects of the HLT framework, its performance and its software environment within the ATLAS computing, trigger and data flow projects. Emphasis is also put on the architectural implications for the software by the use of multi-core processors in the computing farms and the experiences gained with multi-threading and multi-process technologies.

  20. Tracking and flavour tagging selection in the ATLAS High Level Trigger

    CERN Document Server

    Calvetti, Milene; The ATLAS collaboration

    2017-01-01

    In high-energy physics experiments, track based selection in the online environment is crucial for the detection of physics processes of interest for further study. This is of particular importance at the Large Hadron Collider (LHC), where the increasingly harsh collision environment is challenging participating experiments to improve the performance of their online selection. Principle among these challenges is the increasing number of interactions per bunch crossing, known as pileup. In the ATLAS experiment the challenge has been addressed with multiple strategies. Firstly, individual trigger groups focusing on specific physics objects have implemented novel algorithms which make use of the detailed tracking and vertexing performed within the trigger to improve rejection without losing efficiency. Secondly, since 2015 all trigger areas have also benefited from a new high performance inner detector software tracking system implemented in the High Level Trigger. Finally, performance will be further enhanced i...

  1. A readout buffer prototype for ATLAS high-level triggers

    CERN Document Server

    Calvet, D; Huet, M; Le Dû, P; Mandjavidze, I D; Mur, M

    2001-01-01

    Readout buffers are critical components in the dataflow chain of the ATLAS trigger/data-acquisition system. At up to 75 kHz, after each Level-1 trigger accept signal, these devices receive and store digitized data from groups of front-end electronic channels. Several readout buffers are grouped to form a readout buffer complex that acts as a data server for the high-level trigger selection algorithms and for the final data-collection system. This paper describes a functional prototype of a readout buffer based on a custom-made PCI mezzanine card that is designed to accept input data at up to 160 MB /s, to store up to 8 MB of data, and to distribute data chunks at the desired request rate. We describe the hardware of the card that is based on an Intel 1960 processor and complex programmable logic devices. We present the integration of several of these cards in a readout buffer complex. We measure various performance figures and discuss to which extent these can fulfil ATLAS needs. (5 refs).

  2. Using MaxCompiler for the high level synthesis of trigger algorithms

    International Nuclear Information System (INIS)

    Summers, S.; Rose, A.; Sanders, P.

    2017-01-01

    Firmware for FPGA trigger applications at the CMS experiment is conventionally written using hardware description languages such as Verilog and VHDL. MaxCompiler is an alternative, Java based, tool for developing FPGA applications which uses a higher level of abstraction from the hardware than a hardware description language. An implementation of the jet and energy sum algorithms for the CMS Level-1 calorimeter trigger has been written using MaxCompiler to benchmark against the VHDL implementation in terms of accuracy, latency, resource usage, and code size. A Kalman Filter track fitting algorithm has been developed using MaxCompiler for a proposed CMS Level-1 track trigger for the High-Luminosity LHC upgrade. The design achieves a low resource usage, and has a latency of 187.5 ns per iteration.

  3. Using MaxCompiler for the high level synthesis of trigger algorithms

    Science.gov (United States)

    Summers, S.; Rose, A.; Sanders, P.

    2017-02-01

    Firmware for FPGA trigger applications at the CMS experiment is conventionally written using hardware description languages such as Verilog and VHDL. MaxCompiler is an alternative, Java based, tool for developing FPGA applications which uses a higher level of abstraction from the hardware than a hardware description language. An implementation of the jet and energy sum algorithms for the CMS Level-1 calorimeter trigger has been written using MaxCompiler to benchmark against the VHDL implementation in terms of accuracy, latency, resource usage, and code size. A Kalman Filter track fitting algorithm has been developed using MaxCompiler for a proposed CMS Level-1 track trigger for the High-Luminosity LHC upgrade. The design achieves a low resource usage, and has a latency of 187.5 ns per iteration.

  4. Data analysis at Level-1 Trigger level

    CERN Document Server

    Wittmann, Johannes; Aradi, Gregor; Bergauer, Herbert; Jeitler, Manfred; Wulz, Claudia; Apanasevich, Leonard; Winer, Brian; Puigh, Darren Michael

    2017-01-01

    With ever increasing luminosity at the LHC, optimum online data selection is getting more and more important. While in the case of some experiments (LHCb and ALICE) this task is being completely transferred to computer farms, the others - ATLAS and CMS - will not be able to do this in the medium-term future for technological, detector-related reasons. Therefore, these experiments pursue the complementary approach of migrating more and more of the offline and High-Level Trigger intelligence into the trigger electronics. This paper illustrates how the Level-1 Trigger of the CMS experiment and in particular its concluding stage, the Global Trigger, take up this challenge.

  5. Resource utilization by the ATLAS High Level Trigger during 2010 and 2011 LHC running

    CERN Document Server

    Ospanov, R

    2012-01-01

    In 2010 and 2011, the ATLAS experiment successfully recorded data from LHC collisions with high efficiency and excellent data quality. ATLAS employs a three-level trigger system to select events of interest for physics analyses and detector commissioning. The trigger system consists of a custom-designed hardware trigger at level-1 and software algorithms at the two higher levels. The trigger selection is defined by a trigger menu which consists of more than 300 individual trigger signatures, such as electrons, muons, particle jets, etc. An execution of a trigger signature incurs computing and data storage costs. Th composition of the deployed trigger menu depends on the instantaneous LHC luminosity, the experiment's goals for the recorded data, and the limits imposed by the available computing power, network bandwidth and storage space. This paper describes a trigger monitoring framework for assigning computing costs for individual trigger signatures and trigger menus as a whole. These costs can be extrapolat...

  6. Frameworks to monitor and predict rates and resource usage in the ATLAS High Level Trigger

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00219969; The ATLAS collaboration

    2017-01-01

    The ATLAS High Level Trigger Farm consists of around 40,000 CPU cores which filter events at an input rate of up to 100 kHz. A costing framework is built into the high level trigger thus enabling detailed monitoring of the system and allowing for data-driven predictions to be made utilising specialist datasets. An overview is presented in to how ATLAS collects in-situ monitoring data on CPU usage during the trigger execution, and how these data are processed to yield both low level monitoring of individual selection-algorithms and high level data on the overall performance of the farm. For development and prediction purposes, ATLAS uses a special ‘Enhanced Bias’ event selection. This mechanism is explained along with how it is used to profile expected resource usage and output event rate of new physics selections, before they are executed on the actual high level trigger farm.

  7. The CMS High Level Trigger System: Experience and Future Development

    CERN Document Server

    Bauer, Gerry; Bowen, Matthew; Branson, James G; Bukowiec, Sebastian; Cittolin, Sergio; Coarasa, J A; Deldicque, Christian; Dobson, Marc; Dupont, Aymeric; Erhan, Samim; Flossdorf, Alexander; Gigi, Dominique; Glege, Frank; Gomez-Reino, R; Hartl, Christian; Hegeman, Jeroen; Holzner, André; Y L Hwong; Masetti, Lorenzo; Meijers, Frans; Meschi, Emilio; Mommsen, R K; O'Dell, Vivian; Orsini, Luciano; Paus, Christoph; Petrucci, Andrea; Pieri, Marco; Polese, Giovanni; Racz, Attila; Raginel, Olivier; Sakulin, Hannes; Sani, Matteo; Schwick, Christoph; Shpakov, Dennis; Simon, M; Spataru, A C; Sumorok, Konstanty

    2012-01-01

    The CMS experiment at the LHC features a two-level trigger system. Events accepted by the first level trigger, at a maximum rate of 100 kHz, are read out by the Data Acquisition system (DAQ), and subsequently assembled in memory in a farm of computers running a software high-level trigger (HLT), which selects interesting events for offline storage and analysis at a rate of order few hundred Hz. The HLT algorithms consist of sequences of offline-style reconstruction and filtering modules, executed on a farm of 0(10000) CPU cores built from commodity hardware. Experience from the operation of the HLT system in the collider run 2010/2011 is reported. The current architecture of the CMS HLT, its integration with the CMS reconstruction framework and the CMS DAQ, are discussed in the light of future development. The possible short- and medium-term evolution of the HLT software infrastructure to support extensions of the HLT computing power, and to address remaining performance and maintenance issues, are discussed.

  8. The ATLAS Data Acquisition and High Level Trigger system

    International Nuclear Information System (INIS)

    2016-01-01

    This paper describes the data acquisition and high level trigger system of the ATLAS experiment at the Large Hadron Collider at CERN, as deployed during Run 1. Data flow as well as control, configuration and monitoring aspects are addressed. An overview of the functionality of the system and of its performance is presented and design choices are discussed.

  9. Tracking and flavour tagging selection in the ATLAS High Level Trigger

    CERN Document Server

    Calvetti, Milene; The ATLAS collaboration

    2017-01-01

    In high-energy physics experiments, track based selection in the online environment is crucial for the efficient real time selection of the rare physics process of interest. This is of particular importance at the Large Hadron Collider (LHC), where the increasingly harsh collision environment is challenging the experiments to improve the performance of their online selection. Principal among these challenges is the increasing number of interactions per bunch crossing, known as pileup. In the ATLAS experiment the challenge has been addressed with multiple strategies. Firstly, specific trigger objects have been improved by building algorithms using detailed tracking and vertexing in specific detector regions to improve background rejection without loosing signal efficiency. Secondly, since 2015 all trigger areas have benefited from a new high performance Inner Detector (ID) software tracking system implemented in the High Level Trigger. Finally, performance will be further enhanced in future by the installation...

  10. A read-out buffer prototype for ATLAS high level triggers

    CERN Document Server

    Calvet, D; Huet, M; Le Dû, P; Mandjavidze, I D; Mur, M

    2000-01-01

    Read-Out Buffers are critical components in the dataflow chain of the ATLAS Trigger/DAQ system. At up to 75 kHz, after each Level-1 trigger accept signal, these devices receive and store digitized data from groups of front-end electronic channels. Several Read-Out Buffers are grouped to form a Read-Out Buffer Complex that acts as a data server for the High Level Triggers selection algorithms and for the final data collection system. This paper describes a functional prototype of a Read-Out Buffer based on a custom made PCI mezzanine card that is designed to accept input data at up to 160 MB/s, to store up to 8 MB of data and to distribute data chunks at the desired request rate. We describe the hardware of the card that is based on an Intel I960 processor and CPLDs. We present the integration of several of these cards in a Read-Out Buffer Complex. We measure various performance figures and we discuss to which extent these can fulfill ATLAS needs. 5 Refs.

  11. Commissioning of the ATLAS High Level Trigger with single beam and cosmic rays

    International Nuclear Information System (INIS)

    Di Mattia, A

    2010-01-01

    ATLAS is one of the two general-purpose detectors at the Large Hadron Collider (LHC). The trigger system is responsible for making the online selection of interesting collision events. At the LHC design luminosity of 10 34 cm -2 s -1 it will need to achieve a rejection factor of the order of 10 -7 against random proton-proton interactions, while selecting with high efficiency events that are needed for physics analyses. After a first processing level using custom electronics based on FPGAs and ASICs, the trigger selection is made by software running on two processor farms, containing a total of around two thousand multi-core machines. This system is known as the High Level Trigger (HLT). To reduce the network data traffic and the processing time to manageable levels, the HLT uses seeded, step-wise reconstruction, aiming at the earliest possible rejection of background events. The recent LHC startup and short single-beam run provided a 'stress test' of the system and some initial calibration data. Following this period, ATLAS continued to collect cosmic-ray events for detector alignment and calibration purposes. After giving an overview of the trigger design and its innovative features, this paper focuses on the experience gained from operating the ATLAS trigger with single LHC beams and cosmic-rays.

  12. Real-time TPC analysis with the ALICE High-Level Trigger

    International Nuclear Information System (INIS)

    Lindenstruth, V.; Loizides, C.; Roehrich, D.; Skaali, B.; Steinbeck, T.; Stock, R.; Tilsner, H.; Ullaland, K.; Vestboe, A.; Vik, T.

    2004-01-01

    The ALICE High-Level Trigger processes data online, to either select interesting (sub-) events, or to compress data efficiently by modeling techniques. Focusing on the main data source, the Time Projection Chamber, the architecture of the system and the current state of the tracking and compression methods are outlined

  13. An Overview of the ATLAS High Level Trigger Dataflow and Supervision

    CERN Document Server

    Wheeler, S; Baines, J T M; Bee, C P; Biglietti, M; Bogaerts, A; Boisvert, V; Bosman, M; Brandt, S; Caron, B; Casado, M P; Cataldi, G; Cavalli, D; Cervetto, M; Comune, G; Corso-Radu, A; Di Mattia, A; Díaz-Gómez, M; Dos Anjos, A; Drohan, J; Ellis, Nick; Elsing, M; Epp, B; Etienne, F; Falciano, S; Farilla, A; George, S; Ghete, V M; González, S; Grothe, M; Kaczmarska, A; Karr, K M; Khomich, A; Konstantinidis, N P; Krasny, W; Li, W; Lowe, A; Luminari, L; Meessen, C; Mello, A G; Merino, G; Morettini, P; Moyse, E; Nairz, A; Negri, A; Nikitin, N V; Nisati, A; Padilla, C; Parodi, F; Pérez-Réale, V; Pinfold, J L; Pinto, P; Polesello, G; Qian, Z; Resconi, S; Rosati, S; Scannicchio, D A; Schiavi, C; Schörner-Sadenius, T; Segura, E; De Seixas, J M; Shears, T G; Sivoklokov, S Yu; Smizanska, M; Soluk, R A; Stanescu, C; Tapprogge, Stefan; Touchard, F; Vercesi, V; Watson, A; Wengler, T; Werner, P; Wickens, F J; Wiedenmann, W; Wielers, M; Zobernig, G; RT 2003 13th IEEE-NPSS Real Time Conference

    2004-01-01

    The ATLAS High Level Trigger (HLT) system provides software-based event selection after the initial LVL1 hardware trigger. It is composed of two stages, the LVL2 trigger and the Event Filter (EF). The LVL2 trigger performs event selection with optimized algorithms using selected data guided by Region of Interest pointers provided by the LVL1 trigger. Those events selected by LVL2, are built into complete events, which are passed to the EF for a further stage of event selection and classification using off-line algorithms. Events surviving the EF selection are passed for off-line storage. The two stages of HLT are implemented on processor farms. The concept of distributing the selection process between LVL2 and EF is a key element in the architecture, which allows it to be flexible to changes (luminosity, detector knowledge, background conditions etc.) Although there are some differences in the requirements between these sub-systems there are many commonalities. An overview of the dataflow (event selection) an...

  14. Progress in the High Level Trigger Integration

    CERN Multimedia

    Cristobal Padilla

    2007-01-01

    During the week from March 19th to March 23rd, the DAQ/HLT group performed another of its technical runs. On this occasion the focus was on integrating the Level 2 and Event Filter triggers, with a much fuller integration of HLT components than had been done previously. For the first time this included complete trigger slices, with a menu to run the selection algorithms for muons, electrons, jets and taus at the Level-2 and Event Filter levels. This Technical run again used the "Pre-Series" system (a vertical slice prototype of the DAQ/HLT system, see the ATLAS e-news January issue for details). Simulated events, provided by our colleagues working in the streaming tests, were pre-loaded into the ROS (Read Out System) nodes. These are the PC's where the data from the detector is stored after coming out of the front-end electronics, the "first part of the TDAQ system" and the interface to the detectors. These events used a realistic beam interaction mixture and had been subjected to a Level-1 selection. The...

  15. High level trigger system for the ALICE experiment

    International Nuclear Information System (INIS)

    Frankenfeld, U.; Roehrich, D.; Ullaland, K.; Vestabo, A.; Helstrup, H.; Lien, J.; Lindenstruth, V.; Schulz, M.; Steinbeck, T.; Wiebalck, A.; Skaali, B.

    2001-01-01

    The ALICE experiment at the Large Hadron Collider (LHC) at CERN will detect up to 20,000 particles in a single Pb-Pb event resulting in a data rate of ∼75 MByte/event. The event rate is limited by the bandwidth of the data storage system. Higher rates are possible by selecting interesting events and subevents (High Level trigger) or compressing the data efficiently with modeling techniques. Both require a fast parallel pattern recognition. One possible solution to process the detector data at such rates is a farm of clustered SMP nodes, based on off-the-shelf PCs, and connected by a high bandwidth, low latency network

  16. The ALICE High Level Trigger: status and plans

    CERN Document Server

    Krzewicki, Mikolaj; Gorbunov, Sergey; Breitner, Timo; Lehrbach, Johannes; Lindenstruth, Volker; Berzano, Dario

    2015-01-01

    The ALICE High Level Trigger (HLT) is an online reconstruction, triggering and data compression system used in the ALICE experiment at CERN. Unique among the LHC experiments, it extensively uses modern coprocessor technologies like general purpose graphic processing units (GPGPU) and field programmable gate arrays (FPGA) in the data flow. Realtime data compression is performed using a cluster finder algorithm implemented on FPGA boards. These data, instead of raw clusters, are used in the subsequent processing and storage, resulting in a compression factor of around 4. Track finding is performed using a cellular automaton and a Kalman filter algorithm on GPGPU hardware, where both CUDA and OpenCL technologies can be used interchangeably. The ALICE upgrade requires further development of online concepts to include detector calibration and stronger data compression. The current HLT farm will be used as a test bed for online calibration and both synchronous and asynchronous processing frameworks already before t...

  17. L1Track: A fast Level 1 track trigger for the ATLAS high luminosity upgrade

    International Nuclear Information System (INIS)

    Cerri, Alessandro

    2016-01-01

    With the planned high-luminosity upgrade of the LHC (HL-LHC), the ATLAS detector will see its collision rate increase by approximately a factor of 5 with respect to the current LHC operation. The earliest hardware-based ATLAS trigger stage (“Level 1”) will have to provide a higher rejection factor in a more difficult environment: a new improved Level 1 trigger architecture is under study, which includes the possibility of extracting with low latency and high accuracy tracking information in time for the decision taking process. In this context, the feasibility of potential approaches aimed at providing low-latency high-quality tracking at Level 1 is discussed. - Highlights: • HL-LH requires highly performing event selection. • ATLAS is studying the implementation of tracking at the very first trigger level. • Low latency and high-quality seem to be achievable with dedicated hardware and adequate detector readout architecture.

  18. Online Measurement of LHC Beam Parameters with the ATLAS High Level Trigger

    CERN Document Server

    Strauss, E; The ATLAS collaboration

    2011-01-01

    We present an online measurement of the LHC beam parameters in ATLAS using the High Level Trigger (HLT). When a significant change is detected in the measured beamspot, it is distributed to the HLT. There, trigger algorithms like b-tagging which calculate impact parameters or decay lengths benefit from a precise, up-to-date set of beamspot parameters. Additionally, online feedback is sent to the LHC operators in real time. The measurement is performed by an algorithm running on the Level 2 trigger farm, leveraging the high rate of usable events. Dedicated algorithms perform a full scan of the silicon detector to reconstruct event vertices from registered tracks. The distribution of these vertices is aggregated across the farm and their shape is extracted through fits every 60 seconds to determine the beamspot position, size, and tilt. The reconstructed beam values are corrected for detector resolution effects, measured in situ using the separation of vertices whose tracks have been split into two collections....

  19. Online measurement of LHC beam parameters with the ATLAS High Level Trigger

    CERN Document Server

    Strauss, E; The ATLAS collaboration

    2011-01-01

    We present an online measurement of the LHC beam parameters in ATLAS using the High Level Trigger (HLT). When a significant change is detected in the measured beamspot, it is distributed to the HLT. There, trigger algorithms like b-tagging which calculate impact parameters or decay lengths benefit from a precise,up-to-date set of beamspot parameters. Additionally, online feedback is sent to the LHC operators in real time. The measurement is performed by an algorithm running on the Level 2 trigger farm, leveraging the high rate of usable events. Dedicated algorithms perform a full scan of the silicon detector to reconstruct event vertices from registered tracks. The distribution of these vertices is aggregated across the farm and their shape is extracted through fits every 60 seconds to determine the beamspot position, size, and tilt. The reconstructed beam values are corrected for detector resolution effects, measured in situ using the separation of vertices whose tracks have been split into two collections. ...

  20. The Software Architecture of the LHCb High Level Trigger

    CERN Multimedia

    CERN. Geneva

    2012-01-01

    The LHCb experiment is a spectrometer dedicated to the study of heavy flavor at the LHC. The rate of proton-proton collisions at the LHC is 15 MHz, but disk space limitations mean that only 3 kHz can be written to tape for offline processing. For this reason the LHCb data acquisition system -- trigger -- plays a key role in selecting signal events and rejecting background. In contrast to previous experiments at hadron colliders like for example CDF or D0, the bulk of the LHCb trigger is implemented in software and deployed on a farm of 20k parallel processing nodes. This system, called the High Level Trigger (HLT) is responsible for reducing the rate from the maximum at which the detector can be read out, 1.1 MHz, to the 3 kHz which can be processed offline,and has 20 ms in which to process and accept/reject each event. In order to minimize systematic uncertainties, the HLT was designed from the outset to reuse the offline reconstruction and selection code, and is based around multiple independent and redunda...

  1. Flexible trigger menu implementation on the Global Trigger for the CMS Level-1 trigger upgrade

    CERN Document Server

    Matsushita, Takashi

    2017-01-01

    The CMS experiment at the Large Hadron Collider (LHC) has continued to explore physics at the high-energy frontier in 2016. The integrated luminosity delivered by the LHC in 2016 was 41~fb$^{-1}$ with a peak luminosity of 1.5 $\\times$ 10$^{34}$ cm$^{-2}$s$^{-1}$ and peak mean pile-up of about 50, all exceeding the initial estimations for 2016. The CMS experiment has upgraded its hardware-based Level-1 trigger system to maintain its performance for new physics searches and precision measurements at high luminosities. The Global Trigger is the final step of the CMS \\mbox{Level-1} trigger and implements a trigger menu, a set of selection requirements applied to the final list of objects from calorimeter and muon triggers, for reducing the 40 MHz collision rate to 100 kHz. The Global Trigger has been upgraded with state-of-the-art FPGA processors on Advanced Mezzanine Cards with optical links running at 10 GHz in a MicroTCA crate. The powerful processing resources of the upgraded system enable implemen...

  2. The ATLAS Level-1 Calorimeter Trigger

    International Nuclear Information System (INIS)

    Achenbach, R; Andrei, V; Adragna, P; Apostologlou, P; Barnett, B M; Brawn, I P; Davis, A O; Edwards, J P; Asman, B; Bohm, C; Ay, C; Bauss, B; Bendel, M; Dahlhoff, A; Eckweiler, S; Booth, J R A; Thomas, P Bright; Charlton, D G; Collins, N J; Curtis, C J

    2008-01-01

    The ATLAS Level-1 Calorimeter Trigger uses reduced-granularity information from all the ATLAS calorimeters to search for high transverse-energy electrons, photons, τ leptons and jets, as well as high missing and total transverse energy. The calorimeter trigger electronics has a fixed latency of about 1 μs, using programmable custom-built digital electronics. This paper describes the Calorimeter Trigger hardware, as installed in the ATLAS electronics cavern

  3. The ATLAS Level-1 Calorimeter Trigger

    Energy Technology Data Exchange (ETDEWEB)

    Achenbach, R; Andrei, V [Kirchhoff-Institut fuer Physik, University of Heidelberg, D-69120 Heidelberg (Germany); Adragna, P [Physics Department, Queen Mary, University of London, London E1 4NS (United Kingdom); Apostologlou, P; Barnett, B M; Brawn, I P; Davis, A O; Edwards, J P [STFC Rutherford Appleton Laboratory, Harwell Science and Innovation Campus, Didcot, Oxon OX11 0QX (United Kingdom); Asman, B; Bohm, C [Fysikum, Stockholm University, SE-106 91 Stockholm (Sweden); Ay, C; Bauss, B; Bendel, M; Dahlhoff, A; Eckweiler, S [Institut fuer Physik, University of Mainz, D-55099 Mainz (Germany); Booth, J R A; Thomas, P Bright; Charlton, D G; Collins, N J; Curtis, C J [School of Physics and Astronomy, University of Birmingham, Birmingham B15 2TT (United Kingdom)], E-mail: e.eisenhandler@qmul.ac.uk (and others)

    2008-03-15

    The ATLAS Level-1 Calorimeter Trigger uses reduced-granularity information from all the ATLAS calorimeters to search for high transverse-energy electrons, photons, {tau} leptons and jets, as well as high missing and total transverse energy. The calorimeter trigger electronics has a fixed latency of about 1 {mu}s, using programmable custom-built digital electronics. This paper describes the Calorimeter Trigger hardware, as installed in the ATLAS electronics cavern.

  4. Commissioning of the ATLAS High Level Trigger with single beam and cosmic rays

    Energy Technology Data Exchange (ETDEWEB)

    Di Mattia, A, E-mail: dimattia@mail.cern.c [Michigan State University - Department of Physics and Astronomy 3218 Biomedical Physical Science - East Lansing, MI 48824-2320 (United States)

    2010-04-01

    ATLAS is one of the two general-purpose detectors at the Large Hadron Collider (LHC). The trigger system is responsible for making the online selection of interesting collision events. At the LHC design luminosity of 10{sup 34} cm{sup -2}s{sup -1} it will need to achieve a rejection factor of the order of 10{sup -7} against random proton-proton interactions, while selecting with high efficiency events that are needed for physics analyses. After a first processing level using custom electronics based on FPGAs and ASICs, the trigger selection is made by software running on two processor farms, containing a total of around two thousand multi-core machines. This system is known as the High Level Trigger (HLT). To reduce the network data traffic and the processing time to manageable levels, the HLT uses seeded, step-wise reconstruction, aiming at the earliest possible rejection of background events. The recent LHC startup and short single-beam run provided a 'stress test' of the system and some initial calibration data. Following this period, ATLAS continued to collect cosmic-ray events for detector alignment and calibration purposes. After giving an overview of the trigger design and its innovative features, this paper focuses on the experience gained from operating the ATLAS trigger with single LHC beams and cosmic-rays.

  5. Commissioning of the ATLAS high-level trigger with single beam and cosmic rays

    CERN Document Server

    Özcan, V Erkcan

    2010-01-01

    ATLAS is one of the two general-purpose detectors at the Large Hadron Collider (LHC). Using fast reconstruction algorithms, its trigger system needs to efficiently reject a huge rate of background events and still select potentially interesting ones with good efficiency. After a first processing level using custom electronics, the trigger selection is made by software running on two processor farms, designed to have a total of around two thousand multi-core machines. This system is known as the High Level Trigger (HLT). To reduce the network data traffic and the processing time to manageable levels, the HLT uses seeded, step-wise reconstruction, aiming at the earliest possible rejection of background events. The recent LHC startup and short single-beam run provided a "stress test" of the trigger. Following this period, ATLAS continued to collect cosmic-ray events for detector alignment and calibration purposes. These running periods allowed strict tests of the HLT reconstruction and selection algorithms as we...

  6. Flexible trigger menu implementation on the Global Trigger for the CMS Level-1 trigger upgrade

    Science.gov (United States)

    MATSUSHITA, Takashi; CMS Collaboration

    2017-10-01

    The CMS experiment at the Large Hadron Collider (LHC) has continued to explore physics at the high-energy frontier in 2016. The integrated luminosity delivered by the LHC in 2016 was 41 fb-1 with a peak luminosity of 1.5 × 1034 cm-2s-1 and peak mean pile-up of about 50, all exceeding the initial estimations for 2016. The CMS experiment has upgraded its hardware-based Level-1 trigger system to maintain its performance for new physics searches and precision measurements at high luminosities. The Global Trigger is the final step of the CMS Level-1 trigger and implements a trigger menu, a set of selection requirements applied to the final list of objects from calorimeter and muon triggers, for reducing the 40 MHz collision rate to 100 kHz. The Global Trigger has been upgraded with state-of-the-art FPGA processors on Advanced Mezzanine Cards with optical links running at 10 GHz in a MicroTCA crate. The powerful processing resources of the upgraded system enable implementation of more algorithms at a time than previously possible, allowing CMS to be more flexible in how it handles the available trigger bandwidth. Algorithms for a trigger menu, including topological requirements on multi-objects, can be realised in the Global Trigger using the newly developed trigger menu specification grammar. Analysis-like trigger algorithms can be represented in an intuitive manner and the algorithms are translated to corresponding VHDL code blocks to build a firmware. The grammar can be extended in future as the needs arise. The experience of implementing trigger menus on the upgraded Global Trigger system will be presented.

  7. Online measurement of LHC beam parameters with the ATLAS High Level Trigger

    International Nuclear Information System (INIS)

    Strauss, E

    2012-01-01

    We present an online measurement of the LHC beamspot parameters in ATLAS using the High Level Trigger (HLT). When a significant change is detected in the measured beamspot, it is distributed to the HLT. There, trigger algorithms like b-tagging which calculate impact parameters or decay lengths benefit from a precise, up-to-date set of beamspot parameters. Additionally, online feedback is sent to the LHC operators in real time. The measurement is performed by an algorithm running on the Level 2 trigger farm, leveraging the high rate of usable events. Dedicated algorithms perform a full scan of the silicon detector to reconstruct event vertices from registered tracks. The distribution of these vertices is aggregated across the farm and their shape is extracted through fits every 60 seconds to determine the beamspot position, size, and tilt. The reconstructed beamspot values are corrected for detector resolution effects, measured in situ using the separation of vertices whose tracks have been split into two collections. Furthermore, measurements for individual bunch crossings have allowed for studies of single-bunch distributions as well as the behavior of bunch trains. This talk will cover the constraints imposed by the online environment and describe how these measurements are accomplished with the given resources. The algorithm tasks must be completed within the time constraints of the Level 2 trigger, with limited CPU and bandwidth allocations. This places an emphasis on efficient algorithm design and the minimization of data requests.

  8. Performance of the ATLAS Muon Trigger and Phase-1 Upgrade of Level-1 Endcap Muon Trigger

    CERN Document Server

    Mizukami, Atsushi; The ATLAS collaboration

    2017-01-01

    The ATLAS experiment utilises a trigger system to efficiently record interesting events. It consists of first-level and high-level triggers. The first-level trigger is implemented with custom-built hardware to reduce the event rate from 40 MHz to100 kHz. Then the software-based high-level triggers refine the trigger decisions reducing the output rate down to 1 kHz. Events with muons in the final state are an important signature for many physics topics at the LHC. An efficient trigger on muons and a detailed understanding of its performance are required. Trigger efficiencies are, for example, obtained from the muon decay of Z boson, with a Tag&Probe method, using proton-proton collision data collected in 2016 at a centre-of-mass energy of 13 TeV. The LHC is expected to increase its instantaneous luminosity to $3\\times10^{34} \\rm{cm^{-2}s^{-1}}$ after the phase-1 upgrade between 2018-2020. The upgrade of the ATLAS trigger system is mandatory to cope with this high-luminosity. In the phase-1 upgrade, new det...

  9. Level-1 Calorimeter Trigger starts firing

    CERN Multimedia

    Stephen Hillier

    2007-01-01

    L1Calo is one of the major components of ATLAS First Level trigger, along with the Muon Trigger and Central Trigger Processor. It forms all of the first-level calorimeter-based triggers, including electron, jet, tau and missing ET. The final system consists of over 250 custom designed 9U VME boards, most containing a dense array of FPGAs or ASICs. It is subdivided into a PreProcessor, which digitises the incoming trigger signals from the Liquid Argon and Tile calorimeters, and two separate processor systems, which perform the physics algorithms. All of these are highly flexible, allowing the possibility to adapt to beam conditions and luminosity. All parts of the system are read out through Read-Out Drivers, which provide monitoring data and Region of Interest (RoI) information for the Level-2 trigger. Production of the modules is now essentially complete, and enough modules exist to populate the full scale system in USA15. Installation is proceeding rapidly - approximately 90% of the final modules are insta...

  10. ATLAS High-Level Trigger Performance for Calorimeter-Based Algorithms in LHC Run-I

    CERN Document Server

    Mann, A; The ATLAS collaboration

    2013-01-01

    The ATLAS detector operated during the three years of the Run-I of the Large Hadron Collider collecting information on a large number of proton-proton events. One the most important results obtained so far is the discovery of one Higgs boson. More precise measurements of this particle must be performed as well as there are other very important physics topics still to be explored. One of the key components of the ATLAS detector is its trigger system. It is composed of three levels: one (called Level 1 - L1) built on custom hardware and the two others based on software algorithms - called Level 2 (L2) and Event Filter (EF) – altogether referred to as the ATLAS High Level Trigger. The ATLAS trigger is responsible for reducing almost 20 million of collisions per second produced by the accelerator to less than 1000. The L2 operates only in the regions tagged by the first hardware level as containing possible interesting physics while the EF operates in the full detector, normally using offline-like algorithms to...

  11. A new Highly Selective First Level ATLAS Muon Trigger With MDT Chamber Data for HL-LHC

    CERN Document Server

    Nowak, Sebastian; The ATLAS collaboration

    2015-01-01

    Highly selective first level triggers are essential for the physics programme of the ATLAS experiment at the HL-LHC where the instantaneous luminosity will exceed the LHC's instantaneous luminosity by almost an order of magnitude. The ATLAS first level muon trigger rate is dominated by low momentum sub-trigger threshold muons due to the poor momentum resolution at trigger level caused by the moderate spatial resolution of the resistive plate and thin gap trigger chambers. This limitation can be overcome by including the data of the precision muon drift tube chambers in the first level trigger decision. This requires the implementation of a fast MDT read-out chain and a fast MDT track reconstruction. A hardware demonstrator of the fast read-out chain was successfully tested under HL-LHC operating conditions at CERN's Gamma Irradiation Facility. It could be shown that the data provided by the demonstrator can be processed with a fast track reconstruction algorithm on an ARM CPU within the 6 microseconds latency...

  12. Recent experience and future evolution of the CMS High Level Trigger System

    CERN Document Server

    Bauer, Gerry; Branson, James; Bukowiec, Sebastian Czeslaw; Chaze, Olivier; Cittolin, Sergio; Coarasa Perez, Jose Antonio; Deldicque, Christian; Dobson, Marc; Dupont, Aymeric; Erhan, Samim; Gigi, Dominique; Glege, Frank; Gomez-Reino Garrido, Robert; Hartl, Christian; Holzner, Andre Georg; Masetti, Lorenzo; Meijers, Franciscus; Meschi, Emilio; Mommsen, Remigius; Nunez Barranco Fernandez, Carlos; O'Dell, Vivian; Orsini, Luciano; Paus, Christoph Maria Ernst; Petrucci, Andrea; Pieri, Marco; Polese, Giovanni; Racz, Attila; Raginel, Olivier; Sakulin, Hannes; Sani, Matteo; Schwick, Christoph; Spataru, Andrei Cristian; Stoeckli, Fabian; Sumorok, Konstanty

    2012-01-01

    The CMS experiment at the LHC uses a two-stage trigger system, with events flowing from the first level trigger at a rate of 100 kHz. These events are read out by the Data Acquisition system (DAQ), assembled in memory in a farm of computers, and finally fed into the high-level trigger (HLT) software running on the farm. The HLT software selects interesting events for offline storage and analysis at a rate of a few hundred Hz. The HLT algorithms consist of sequences of offline-style reconstruction and filtering modules, executed on a farm of 0(10000) CPU cores built from commodity hardware. Experience from the 2010-2011 collider run is detailed, as well as the current architecture of the CMS HLT, and its integration with the CMS reconstruction framework and CMS DAQ. The short- and medium-term evolution of the HLT software infrastructure is discussed, with future improvements aimed at supporting extensions of the HLT computing power, and addressing remaining performance and maintenance issues.

  13. Towards a Level-1 tracking trigger for the ATLAS experiment at the High Luminosity LHC

    CERN Document Server

    Martin, T A D; The ATLAS collaboration

    2014-01-01

    At the high luminosity HL-LHC, upwards of 160 individual proton-proton interactions (pileup) are expected per bunch-crossing at luminosities of around $5\\times10^{34}$ cm$^{-2}$s$^{-1}$. A proposal by the ATLAS collaboration to split the ATLAS first level trigger in to two stages is briefly detailed. The use of fast track finding in the new first level trigger is explored as a method to provide the discrimination required to reduce the event rate to acceptable levels for the read out system while maintaining high efficiency on the selection of the decay products of electroweak bosons at HL-LHC luminosities. It is shown that available bandwidth in the proposed new strip tracker is sufficiency for a region of interest based track trigger given certain optimisations, further methods for improving upon the proposal are discussed.

  14. Electrons and photons at High Level Trigger in CMS for Run II

    CERN Document Server

    Bin Anuar, Afiq Aizuddin

    2015-01-01

    The CMS experiment has been designed with a 2-level trigger system. The first level is implemented using custom-designed electronics. The second level is the so-called High Level Trigger (HLT), a streamlined version of the CMS offline reconstruction software running on a computer farm. For Run II of the Large Hadron Collider, the increase in center-of-mass energy and luminosity will raise the event rate to a level challenging for the HLT algorithms. New approaches have been studied to keep the HLT output rate manageable while maintaining thresholds low enough to cover physics analyses. The strategy mainly relies on porting online the ingredients that have been successfully applied in the offline reconstruction, thus allowing to move HLT selection closer to offline cuts. Improvements in HLT electron and photon definitions will be presented, focusing in particular on updated clustering algorithm and the energy calibration procedure, new Particle-Flow-based isolation approach and pileup mitigation techniques, a...

  15. Prototype of a file-based high-level trigger in CMS

    International Nuclear Information System (INIS)

    Bauer, G; Darlea, G-L; Gomez-Ceballos, G; Bawej, T; Chaze, O; Coarasa, J A; Deldicque, C; Dobson, M; Dupont, A; Gigi, D; Glege, F; Gomez-Reino, R; Hartl, C; Hegeman, J; Masetti, L; Behrens, U; Branson, J; Cittolin, S; Holzner, A; Erhan, S

    2014-01-01

    The DAQ system of the CMS experiment at the LHC is upgraded during the accelerator shutdown in 2013/14. To reduce the interdependency of the DAQ system and the high-level trigger (HLT), we investigate the feasibility of using a file-system-based HLT. Events of ∼1 MB size are built at the level-1 trigger rate of 100 kHz. The events are assembled by ∼50 builder units (BUs). Each BU writes the raw events at ∼2GB/s to a local file system shared with Q(10) filter-unit machines (FUs) running the HLT code. The FUs read the raw data from the file system, select Q(1%) of the events, and write the selected events together with monitoring meta-data back to a disk. This data is then aggregated over several steps and made available for offline reconstruction and online monitoring. We present the challenges, technical choices, and performance figures from the prototyping phase. In addition, the steps to the final system implementation will be discussed.

  16. A Highly Selective First-Level Muon Trigger With MDT Chamber Data for ATLAS at HL-LHC

    CERN Document Server

    Nowak, Sebastian; The ATLAS collaboration

    2015-01-01

    Highly selective triggers are essential for the physics programme of the ATLAS experiment at HL-LHC where the instantaneous luminosity will be about an order of magnitude larger than the LHC design luminosity. The Level-1 muon trigger rate is dominated by low momentum muons below the nominal trigger threshold due to the limited momentum resolution of the Resistive Plate and Thin Gap trigger chambers. The resulting high trigger rates at HL-LHC can be sufficient reduced by using the data of the precision Muon Drift Tube chambers for the trigger decision. This requires the implementation of a fast MDT read-out chain and of a fast MDT track reconstruction algorithm with a latency of at most 6~$\\mu$s. A hardware demonstrator of the fast read-out chain has been successfully tested at the high HL-LHC background rates at the CERN Gamma Irradiation Facility. The fast track reconstruction algorithm has been implemented on a fas trigger processor.

  17. Using the CMS high level trigger as a cloud resource

    International Nuclear Information System (INIS)

    Colling, David; Huffman, Adam; Bauer, Daniela; McCrae, Alison; Cinquilli, Mattia; Gowdy, Stephen; Coarasa, Jose Antonio; Ozga, Wojciech; Chaze, Olivier; Lahiff, Andrew; Grandi, Claudio; Tiradani, Anthony; Sgaravatto, Massimo

    2014-01-01

    The CMS High Level Trigger is a compute farm of more than 10,000 cores. During data taking this resource is heavily used and is an integral part of the experiment's triggering system. However, outside of data taking periods this resource is largely unused. We describe why CMS wants to use the HLT as a cloud resource (outside of data taking periods) and how this has been achieved. In doing this we have turned a single-use cluster into an agile resource for CMS production computing. While we are able to use the HLT as a production cloud resource, there is still considerable further work that CMS needs to carry out before this resource can be used with the desired agility. This report, therefore, represents a snapshot of this activity at the time of CHEP 2013.

  18. Towards a Level-1 Tracking Trigger for the ATLAS Experiment

    CERN Document Server

    De Santo, A; The ATLAS collaboration

    2016-01-01

    In preparation for the high-luminosity phase of the Large Hadron Collider, ATLAS is planning a trigger upgrade that will enable the experiment to use tracking information already at the first trigger level. This will provide enhanced background rejection power at trigger level while preserving much needed flexibility for the trigger system. The status and current plans for the new ATLAS Level-1 tracking trigger are presented.

  19. FPGA Co-processor for the ALICE High Level Trigger

    CERN Document Server

    Grastveit, G.; Lindenstruth, V.; Loizides, C.; Roehrich, D.; Skaali, B.; Steinbeck, T.; Stock, R.; Tilsner, H.; Ullaland, K.; Vestbo, A.; Vik, T.

    2003-01-01

    The High Level Trigger (HLT) of the ALICE experiment requires massive parallel computing. One of the main tasks of the HLT system is two-dimensional cluster finding on raw data of the Time Projection Chamber (TPC), which is the main data source of ALICE. To reduce the number of computing nodes needed in the HLT farm, FPGAs, which are an intrinsic part of the system, will be utilized for this task. VHDL code implementing the Fast Cluster Finder algorithm, has been written, a testbed for functional verification of the code has been developed, and the code has been synthesized

  20. A Highly Selective First-Level Muon Trigger With MDT Chamber Data for ATLAS at HL-LHC

    CERN Document Server

    INSPIRE-00390105

    2016-07-11

    Highly selective triggers are essential for the physics programme of the ATLAS experiment at HL-LHC where the instantaneous luminosity will be about an order of magnitude larger than the LHC instantaneous luminosity in Run 1. The first level muon trigger rate is dominated by low momentum muons below the nominal trigger threshold due to the moderate momentum resolution of the Resistive Plate and Thin Gap trigger chambers. The resulting high trigger rates at HL-LHC can be su?ciently reduced by using the data of the precision Muon Drift Tube chambers for the trigger decision. This requires the implementation of a fast MDT read-out chain and of a fast MDT track reconstruction algorithm with a latency of at most 6 microseconds. A hardware demonstrator of the fast read-out chain has been successfully tested at the HL-LHC operating conditions at the CERN Gamma Irradiation Facility. The fast track reconstruction algorithm has been implemented on a fast trigger processor.

  1. The ATLAS High Level Trigger Infrastructure, Performance and Future Developments

    CERN Document Server

    The ATLAS collaboration

    2009-01-01

    The ATLAS High Level Trigger (HLT) is a distributed real-time software system that performs the final online selection of events produced during proton-proton collisions at the Large Hadron Collider (LHC). It is designed as a two-stage event filter running on a farm of commodity PC hardware. Currently the system consists of about 850 multi-core processing nodes that will be extended incrementally following the increasing luminosity of the LHC to about 2000 nodes depending on the evolution of the processor technology. Due to the complexity and similarity of the algorithms a large fraction of the software is shared between the online and offline event reconstruction. The HLT Infrastructure serves as the interface between the two domains and provides common services for the trigger algorithms. The consequences of this design choice will be discussed and experiences from the operation of the ATLAS HLT during cosmic ray data taking and first beam in 2008 will be presented. Since the event processing time at the HL...

  2. Real-time configuration changes of the ATLAS High Level Trigger

    CERN Document Server

    Winklmeier, F

    2010-01-01

    The ATLAS High Level Trigger (HLT) is a distributed real-time software system that performs the final online selection of events produced during proton-proton collisions at the Large Hadron Collider (LHC). It is designed as a two-stage trigger and event filter running on a farm of commodity PC hardware. Currently the system consists of about 850 processing nodes and will be extended incrementally following the expected increase in luminosity of the LHC to about 2000 nodes. The event selection within the HLT applications is carried out by specialized reconstruction algorithms. The selection can be controlled via properties that are stored in a central database and are retrieved at the startup of the HLT processes, which then usually run continuously for many hours. To be able to respond to changes in the LHC beam conditions, it is essential that the algorithms can be re-configured without disrupting data taking while ensuring a consistent and reproducible configuration across the entire HLT farm. The technique...

  3. Study of a Level-3 Tau Trigger with the Pixel Detector

    CERN Document Server

    Kotlinski, Danek; Nikitenko, Alexander

    2001-01-01

    We present a Monte Carlo study of the performance of a Level-3 Tau trigger based on the Pixel Detector data. The trigger is designed to select of the Higgs bosons decaying into two tau leptons with tau jet(s) in the final state. The proposed trigger is particularly useful as it operates at an early stage of the CMS High Level Trigger system. The performance of the trigger is studied for the most difficult case of high luminosity LHC scenario.

  4. A self seeded first level track trigger for ATLAS

    International Nuclear Information System (INIS)

    Schöning, A

    2012-01-01

    For the planned high luminosity upgrade of the Large Hadron Collider, aiming to increase the instantaneous luminosity to 5 × 10 34 cm −2 s −1 , the implementation of a first level track trigger has been proposed. This trigger could be installed in the year ∼ 2021 along with the complete renewal of the ATLAS inner detector. The fast readout of the hit information from the Inner Detector is considered as the main challenge of such a track trigger. Different concepts for the implementation of a first level trigger are currently studied within the ATLAS collaboration. The so called 'Self Seeded' track trigger concept exploits fast frontend filtering algorithms based on cluster size reconstruction and fast vector tracking to select hits associated to high momentum tracks. Simulation studies have been performed and results on efficiencies, purities and trigger rates are presented for different layouts.

  5. Performance of a First-Level Muon Trigger with High Momentum Resolution Based on the ATLAS MDT Chambers for HL-LHC

    CERN Document Server

    Gadow, P.; Kortner, S.; Kroha, H.; Müller, F.; Richter, R.

    2016-01-01

    Highly selective first-level triggers are essential to exploit the full physics potential of the ATLAS experiment at High-Luminosity LHC (HL-LHC). The concept for a new muon trigger stage using the precision monitored drift tube (MDT) chambers to significantly improve the selectivity of the first-level muon trigger is presented. It is based on fast track reconstruction in all three layers of the existing MDT chambers, made possible by an extension of the first-level trigger latency to six microseconds and a new MDT read-out electronics required for the higher overall trigger rates at the HL-LHC. Data from $pp$-collisions at $\\sqrt{s} = 8\\,\\mathrm{TeV}$ is used to study the minimal muon transverse momentum resolution that can be obtained using the MDT precision chambers, and to estimate the resolution and efficiency of the MDT-based trigger. A resolution of better than $4.1\\%$ is found in all sectors under study. With this resolution, a first-level trigger with a threshold of $18\\,\\mathrm{GeV}$ becomes fully e...

  6. The ATLAS Level-2 Trigger Pilot Project

    CERN Document Server

    Wickens, F J

    2000-01-01

    The Level-2 Trigger Pilot Project of ATLAS, one of the two general purpose LHC experiments, is part of the on-going programme to develop the ATLAS High Level Triggers (HLT). The Level-2 Trigger will receive events at up to 100 kHz, which has to be reduced to a rate suitable for full event-building of the order of 1 kHz. To reduce the data collection bandwidth and processing power required for the challenging Level-2 task it is planned to use Region of Interest guidance (from Level-1) and sequential processing. The Pilot Project included the construction and use of testbeds of up to 48 processing nodes, development of optimised components and computer simulations of a full system. It has shown how the required performance can be achieved, using largely commodity components and operating systems, and validated an architecture for the Level-2 system. This paper describes the principal achievements and conclusions of this project. (28 refs).

  7. The ATLAS Level-2 Trigger Pilot Project

    CERN Document Server

    Blair, R; Haberichter, W N; Schlereth, J L; Bock, R; Bogaerts, A; Boosten, M; Dobinson, Robert W; Dobson, M; Ellis, Nick; Elsing, M; Giacomini, F; Knezo, E; Martin, B; Shears, T G; Tapprogge, Stefan; Werner, P; Hansen, J R; Wäänänen, A; Korcyl, K; Lokier, J; George, S; Green, B; Strong, J; Clarke, P; Cranfield, R; Crone, G J; Sherwood, P; Wheeler, S; Hughes-Jones, R E; Kolya, S; Mercer, D; Hinkelbein, C; Kornmesser, K; Kugel, A; Männer, R; Müller, M; Sessler, M; Simmler, H; Singpiel, H; Abolins, M; Ermoline, Y; González-Pineiro, B; Hauser, R; Pope, B; Sivoklokov, S Yu; Boterenbrood, H; Jansweijer, P; Kieft, G; Scholte, R; Slopsema, R; Vermeulen, J C; Baines, J T M; Belias, A; Botterill, David R; Middleton, R; Wickens, F J; Falciano, S; Bystrický, J; Calvet, D; Gachelin, O; Huet, M; Le Dû, P; Mandjavidze, I D; Levinson, L; González, S; Wiedenmann, W; Zobernig, H

    2002-01-01

    The Level-2 Trigger Pilot Project of ATLAS, one of the two general purpose LHC experiments, is part of the on-going program to develop the ATLAS high-level triggers (HLT). The Level-2 Trigger will receive events at up to 100 kHz, which has to be reduced to a rate suitable for full event-building of the order of 1 kHz. To reduce the data collection bandwidth and processing power required for the challenging Level-2 task it is planned to use Region of Interest guidance (from Level-1) and sequential processing. The Pilot Project included the construction and use of testbeds of up to 48 processing nodes, development of optimized components and computer simulations of a full system. It has shown how the required performance can be achieved, using largely commodity components and operating systems, and validated an architecture for the Level-2 system. This paper describes the principal achievements and conclusions of this project. (28 refs).

  8. The design of a fast Level-1 track trigger for the high luminosity upgrade of ATLAS.

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00413032; The ATLAS collaboration

    2016-01-01

    The high/luminosity upgrade of the LHC will increase the rate of the proton-proton collisions by approximately a factor of 5 with respect to the initial LHC-design. The ATLAS experiment will upgrade consequently, increasing its robustness and selectivity in the expected high radiation environment. In particular, the earliest, hardware based, ATLAS trigger stage ("Level 1") will require higher rejection power, still maintaining efficient selection on many various physics signatures. The key ingredient is the possibility of extracting tracking information from the brand new full-silicon detector and use it for the process. While fascinating, this solution poses a big challenge in the choice of the architecture, due to the reduced latency available at this trigger level (few tens of micro-seconds) and the high expected working rates (order of MHz). In this paper, we review the design possibilities of such a system in a potential new trigger and readout architecture, and present the performance resulting from a d...

  9. The CDF level-3 trigger

    International Nuclear Information System (INIS)

    Devlin, T.

    1993-01-01

    The Collider Detector at Fermilab (CDF) has been operating at the Tevatron and collecting data on proton-antiproton interactions with collision rates above 250,000 Hz. Three levels of filtering select events for data logging at a rate of about 4 Hz. The Level 3 trigger provides most of the capabilities of the offline production programs for event reconstruction and physics analysis. The type of physics triggers, application of cuts, and combinations of logical requirements for event selection are controlled at run time by a trigger table using a syntax fully integrated with the Level 1 and Level 2 hardware triggers. The level 3 software operates in 48 RISC/UNIX processors (over 1000 mips) served by four 20-MByte/sec data buses for input, output and control. The system architecture, debugging, code validation, error reporting, analysis capabilities and performance will be described

  10. The second level trigger system of FAST

    CERN Document Server

    Martínez,G; Berdugo, J; Casaus, J; Casella, V; De Laere, D; Deiters, K; Dick, P; Kirkby, J; Malgeri, L; Mañá, C; Marín, J; Pohl, M; Petitjean, C; Sánchez, E; Willmott, C

    2009-01-01

    The Fibre Active Scintillator Target (FAST) experiment is a novel imaging particle detector currently operating in a high-intensity π+ beam at the Paul Scherrer Institute (PSI), Villigen, Switzerland. The detector is designed to perform a high precision measurement of the μ+ lifetime, in order to determine the Fermi constant, Gf, to 1 ppm precision. A dedicated second level (LV2) hardware trigger system has been developed for the experiment. It performs an online analysis of the π/μ decay chain by identifying the stopping position of each beam particle and detecting the subsequent appearance of the muon. The LV2 trigger then records the muon stop pixel and selectively triggers the Time-to-Digital Converters (TDCs) in the vicinity. A detailed description of the trigger system is presented in this paper.

  11. The second level trigger system of FAST

    Energy Technology Data Exchange (ETDEWEB)

    Martinez, G. [CIEMAT, Avenida Complutense 22, 28040 Madrid (Spain)], E-mail: gustavo.martinez@ciemat.es; Barcyzk, A. [CERN, CH-1211 Geneva 23 (Switzerland); Berdugo, J.; Casaus, J. [CIEMAT, Avenida Complutense 22, 28040 Madrid (Spain); Casella, C.; De Laere, S. [Universite de Geneve, 30 quai Ernest-Anserment, CH-1211 Geneva 4 (Switzerland); Deiters, K.; Dick, P. [Paul Scherrer Institut, 5232 Villigen PSI (Switzerland); Kirkby, J.; Malgeri, L. [CERN, CH-1211 Geneva 23 (Switzerland); Mana, C.; Marin, J. [CIEMAT, Avenida Complutense 22, 28040 Madrid (Spain); Pohl, M. [Universite de Geneve, 30 quai Ernest-Anserment, CH-1211 Geneva 4 (Switzerland); Petitjean, C. [Paul Scherrer Institut, 5232 Villigen PSI (Switzerland); Sanchez, E.; Willmott, C. [CIEMAT, Avenida Complutense 22, 28040 Madrid (Spain)

    2009-10-11

    The Fibre Active Scintillator Target (FAST) experiment is a novel imaging particle detector currently operating in a high-intensity {pi}{sup +} beam at the Paul Scherrer Institute (PSI), Villigen, Switzerland. The detector is designed to perform a high precision measurement of the {mu}{sup +} lifetime, in order to determine the Fermi constant, G{sub f}, to 1 ppm precision. A dedicated second level (LV2) hardware trigger system has been developed for the experiment. It performs an online analysis of the {pi}/{mu} decay chain by identifying the stopping position of each beam particle and detecting the subsequent appearance of the muon. The LV2 trigger then records the muon stop pixel and selectively triggers the Time-to-Digital Converters (TDCs) in the vicinity. A detailed description of the trigger system is presented in this paper.

  12. A new model to compute the desired steering torque for steer-by-wire vehicles and driving simulators

    Science.gov (United States)

    Fankem, Steve; Müller, Steffen

    2014-05-01

    This paper deals with the control of the hand wheel actuator in steer-by-wire (SbW) vehicles and driving simulators (DSs). A novel model for the computation of the desired steering torque is presented. The introduced steering torque computation does not only aim to generate a realistic steering feel, which means that the driver should not miss the basic steering functionality of a modern conventional steering system such as an electric power steering (EPS) or hydraulic power steering (HPS), and this in every driving situation. In addition, the modular structure of the steering torque computation combined with suitably selected tuning parameters has the objective to offer a high degree of customisability of the steering feel and thus to provide each driver with his preferred steering feel in a very intuitive manner. The task and the tuning of each module are firstly described. Then, the steering torque computation is parameterised such that the steering feel of a series EPS system is reproduced. For this purpose, experiments are conducted in a hardware-in-the-loop environment where a test EPS is mounted on a steering test bench coupled with a vehicle simulator and parameter identification techniques are applied. Subsequently, how appropriate the steering torque computation mimics the test EPS system is objectively evaluated with respect to criteria concerning the steering torque level and gradient, the feedback behaviour and the steering return ability. Finally, the intuitive tuning of the modular steering torque computation is demonstrated for deriving a sportier steering feel configuration.

  13. Instrumentation of a Level-1 Track Trigger in the ATLAS detector for the High Luminosity LHC

    CERN Document Server

    Boisvert, V; The ATLAS collaboration

    2012-01-01

    One of the main challenges in particle physics experiments at hadron colliders is to build detector systems that can take advantage of the future luminosity increase that will take place during the next decade. More than 200 simultaneous collisions will be recorded in a single event which will make the task to extract the interesting physics signatures harder than ever before. Not all events can be recorded hence a fast trigger system is required to select events that will be stored for further analysis. In the ATLAS experiment at the Large Hadron Collider (LHC) two different architectures for accommodating a level-1 track trigger are being investigated. The tracker has more readout channels than can be readout in time for the trigger decision. Both architectures aim for a data reduction of 10-100 in order to make readout of data possible in time for a level-1 trigger decision. In the first architecture the data reduction is achieved by reading out only parts of the detector seeded by a high rate pre-trigger ...

  14. The Resource utilization by ATLAS High Level Triggers. The contributed talk for the Technology and Instrumentation in Particle Physics 2011.

    CERN Document Server

    Ospanov, R; The ATLAS collaboration

    2011-01-01

    In 2010 the ATLAS experiment has successfully recorded data from LHC collisions with high efficiency and excellent data quality. ATLAS employs a three-level trigger system to select events of interest for physics analyses and detector commissioning. The trigger system consists of a custom-designed hardware trigger at level-1 (L1) and software algorithms executing on commodity servers at the two higher levels: second level trigger (L2) and event filter (EF). The corresponding trigger rates are 75~kHz, 3~kHz and 200~Hz. The L2 uses custom algorithms to examine a small fraction of data at full detector granularity in Regions of Interest selected by the L1. The EF employs offline algorithms and full detector data for more computationally intensive analysis. The trigger selection is defined by trigger menus which consist of more than 500 individual trigger signatures, such as electrons, muons, particle jets, etc. An execution of a trigger signature incurs computing and data storage costs. A composition of the depl...

  15. Triggers for a high sensitivity charm experiment

    International Nuclear Information System (INIS)

    Christian, D.C.

    1994-07-01

    Any future charm experiment clearly should implement an E T trigger and a μ trigger. In order to reach the 10 8 reconstructed charm level for hadronic final states, a high quality vertex trigger will almost certainly also be necessary. The best hope for the development of an offline quality vertex trigger lies in further development of the ideas of data-driven processing pioneered by the Nevis/U. Mass. group

  16. Multi-threading in the ATLAS High-Level Trigger

    CERN Document Server

    Barton, Adam Edward; The ATLAS collaboration

    2017-01-01

    Over the next decade of LHC data-taking the instantaneous luminosity will reach up 7.5 times the design value with over 200 interactions per bunch-crossing and will pose unprecedented challenges for the ATLAS trigger system. We report on an HLT prototype in which the need for HLT­specific components has been reduced to a minimum while retaining the key aspects of trigger functionality including regional reconstruction and early event rejection. We report on the first experience of migrating trigger algorithms to this new framework and present the next steps towards a full implementation of the ATLAS trigger within AthenaMT.

  17. Feasibility studies of a Level-1 Tracking Trigger for ATLAS

    CERN Document Server

    Warren, M; Brenner, R; Konstantinidis, N; Sutton, M

    2009-01-01

    The existing ATLAS Level-1 trigger system is seriously challenged at the SLHC's higher luminosity. A hardware tracking trigger might be needed, but requires a detailed understanding of the detector. Simulation of high pile-up events, with various data-reduction techniques applied will be described. Two scenarios are envisaged: (a) regional readout - calorimeter and muon triggers are used to identify portions of the tracker; and (b) track-stub finding using special trigger layers. A proposed hardware system, including data reduction on the front-end ASICs, readout within a super-module and integrating regional triggering into all levels of the readout system, will be discussed.

  18. Reliable on-line storage in the ALICE High-Level Trigger

    Energy Technology Data Exchange (ETDEWEB)

    Kalcher, Sebastian; Lindenstruth, Volker [Kirchhoff Institute of Physics, University of Heidelberg (Germany)

    2009-07-01

    The on-line disk capacity within large computing clusters such as used in the ALICE High-Level Trigger (HLT) is often not used due to the inherent unreliability of the involved disks. With currently available hard drive capacities the total on-line capacity can be significant when compared to the storage requirements of present high energy physics experiments. In this talk we report on ClusterRAID, a reliable, distributed mass storage system, which allows to harness the (often unused) disk capacities of large cluster installations. The key paradigm of this system is to transform the local hard drive into a reliable device. It provides adjustable fault-tolerance by utilizing sophisticated error-correcting codes. To reduce the costs of coding and decoding operations the use of modern graphics processing units as co-processor has been investigated. Also, the utilization of low overhead, high performance communication networks has been examined. A prototype set up of the system exists within the HLT with 90 TB gross capacity.

  19. Error detection, handling and recovery at the High Level Trigger of the ATLAS experiment at the LHC

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00223972; The ATLAS collaboration

    2016-01-01

    The complexity of the ATLAS High Level Trigger (HLT) requires a robust system for error detection and handling during online data-taking; it also requires an offline system for the recovery of events where no trigger decision could be made online. The error detection and handling ensure smooth operation of the trigger system and provide debugging information necessary for offline analysis and diagnosis. In this presentation, we give an overview of the error detection, handling and recovery of problematic events at the HLT of ATLAS.

  20. Real Time Global Tests of the ALICE High Level Trigger Data Transport Framework

    CERN Document Server

    Becker, B.; Cicalo J.; Cleymans, C.; de Vaux, G.; Fearick, R.W.; Lindenstruth, V.; Richter, M.; Rorich, D.; Staley, F.; Steinbeck, T.M.; Szostak, A.; Tilsner, H.; Weis, R.; Vilakazi, Z.Z.

    2008-01-01

    The High Level Trigger (HLT) system of the ALICE experiment is an online event filter and trigger system designed for input bandwidths of up to 25 GB/s at event rates of up to 1 kHz. The system is designed as a scalable PC cluster, implementing several hundred nodes. The transport of data in the system is handled by an object-oriented data flow framework operating on the basis of the publisher-subscriber principle, being designed fully pipelined with lowest processing overhead and communication latency in the cluster. In this paper, we report the latest measurements where this framework has been operated on five different sites over a global north-south link extending more than 10,000 km, processing a ``real-time'' data flow.

  1. Development of High Level Trigger Software for Belle II at SuperKEKB

    International Nuclear Information System (INIS)

    Lee, S; Itoh, R; Katayama, N; Mineo, S

    2011-01-01

    The Belle collaboration has been trying for 10 years to reveal the mystery of the current matter-dominated universe. However, much more statistics is required to search for New Physics through quantum loops in decays of B mesons. In order to increase the experimental sensitivity, the next generation B-factory, SuperKEKB, is planned. The design luminosity of SuperKEKB is 8 x 10 35 cm −2 s −1 a factor 40 above KEKB's peak luminosity. At this high luminosity, the level 1 trigger of the Belle II experiment will stream events of 300 kB size at a 30 kHz rate. To reduce the data flow to a manageable level, a high-level trigger (HLT) is needed, which will be implemented using the full offline reconstruction on a large scale PC farm. There, physics level event selection is performed, reducing the event rate by ∼ 10 to a few kHz. To execute the reconstruction the HLT uses the offline event processing framework basf2, which has parallel processing capabilities used for multi-core processing and PC clusters. The event data handling in the HLT is totally object oriented utilizing ROOT I/O with a new method of object passing over the UNIX socket connection. Also under consideration is the use of the HLT output as well to reduce the pixel detector event size by only saving hits associated with a track, resulting in an additional data reduction of ∼ 100 for the pixel detector. In this contribution, the design and implementation of the Belle II HLT are presented together with a report of preliminary testing results.

  2. The ATLAS Level-1 Topological Trigger Performance

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00371751; The ATLAS collaboration

    2016-01-01

    The LHC will collide protons in the ATLAS detector with increasing luminosity through 2016, placing stringent operational and physical requirements to the ATLAS trigger system in order to reduce the 40 MHz collision rate to a manageable event storage rate of 1 kHz, while not rejecting interesting physics events. The Level-1 trigger is the first rate-reducing step in the ATLAS trigger system with an output rate of 100 kHz and decision latency smaller than 2.5 μs. It consists of a calorimeter trigger, muon trigger and a central trigger processor. During the LHC shutdown after the Run 1 finished in 2013, the Level-1 trigger system was upgraded including hardware, firmware and software updates. In particular, new electronics modules were introduced in the real-time data processing path: the Topological Processor System (L1Topo). It consists of a single AdvancedCTA shelf equipped with two Level-1 topological processor blades. They receive real-time information from the Level-1 calorimeter and muon triggers, which...

  3. The ZEUS second level calorimeter trigger

    International Nuclear Information System (INIS)

    Jong, S.J. de.

    1990-01-01

    ZEUS is a detector for the HERA ep collider, consisting of several large components. The most important being the inner tracking detectors, which are positioned nearest to the interaction point, the calorimeter surrounding the inner tracking detectors and the muon detectors on the outside of the experimental setup. Each component will deliver a vast amount of information. In order to keep this information manageable, data is preprocessed and condensed per component and then combined to obtain the final global trigger result. The main subject of this thesis is the second level calorimeter trigger processor of the ZEUS detector. In order to be able to reject the unwanted events passing the first level, the topological event signature will have to be used at the second level. The most demanding task of the second level is the recognition of local energy depositions corresponding to isolated electrons and hadron jets. Also part of the work performed by the first level will be repeated with a higher level of accuracy. Additional information not available to the first level trigger will be processed and will be made available to the global second level trigger decision module. For the second level calorimeter trigger processor a special VME module, containing two transputers, has been developed. The second level calorimeter trigger algorithm described in this thesis was tested with simulated events, that were tracked through a computer simulation of the ZEUS detector. A part of this thesis is therefore devoted to the description of the various Monte Carlo models and the justification of the way in which they were used. (author). 132 refs.; 76 figs.; 18 tabs

  4. Monitoring and Tracking the LHC Beam Spot within the ATLAS High Level Trigger

    CERN Document Server

    Winklmeier, F; The ATLAS collaboration

    2012-01-01

    The parameters of the beam spot produced by the LHC in the ATLAS interaction region are computed online using the ATLAS High Level Trigger (HLT) system. The high rate of triggered events is exploited to make precise measurements of the position, size and orientation of the luminous region in near real-time, as these parameters change significantly even during a single data-taking run. We present the challenges, solutions and results for the online determination, monitoring and beam spot feedback system in ATLAS. A specially designed algorithm, which uses tracks registered in the silicon detectors to reconstruct event vertices, is executed on the HLT processor farm of several thousand CPU cores. Monitoring histograms from all the cores are sampled and aggregated across the farm every 60 seconds. The reconstructed beam values are corrected for detector resolution effects, measured in situ from the separation of vertices whose tracks have been split into two collections. Furthermore, measurements for individual ...

  5. Using FPGA coprocessor for ATLAS level 2 trigger application

    International Nuclear Information System (INIS)

    Khomich, Andrei; Hinkelbein, Christian; Kugel, Andreas; Maenner, Reinhard; Mueller, Matthias

    2006-01-01

    Tracking has a central role in the event selection for the High-Level Triggers of ATLAS. It is particularly important to have fast tracking algorithms in the trigger system. This paper investigates the feasibility of using FPGA coprocessor for speeding up of the TRT LUT algorithm-one of the tracking algorithms for second level trigger for ATLAS experiment (CERN). Two realisations of the same algorithm have been compared: one in C++ and a hybrid C++/VHDL implementation. Using a FPGA coprocessor gives an increase of speed by a factor of two compared to a CPU-only implementation

  6. The second level trigger of the L3 experiment. Pt. 1

    International Nuclear Information System (INIS)

    Bertsch, Y.; Blaising, J.J.; Bonnefon, H.; Chollet-Leflour, F.; Degre, A.; Dromby, G.; Lecoq, J.; Morand, R.; Moynot, M.; Perrot, G.; Riccadonna, X.

    1994-01-01

    The second level trigger of the L3 experiment performs online background rejection and reduces the first level trigger rate to a value fitting with the third level trigger processing capability. Designed around a set of three bit-slice XOP microprocessors, it can process up to 500 first level triggers per second without significant dead time in the data acquisition. At each LEP beam crossing (45/90 kHz) the complete trigger information (5 kbytes) is memorized in a 1.4 gigabyte bandwidth real dual port memory. The XOP processor builds up the trigger block in less than 400 μs, and signs the background or physics origin of the current event in less than 3 ms. These very high performances rely essentially on the association of parallelism with high speed ECL technology, provided by dedicated processors fully integrated in Fastbus. Emphasis is given here to the specific hardware developed, to its operation and technical aspects of its installation and integration. The system described here ensures the L3 data taking since the beginning of LEP in July 1989 and the online rejection since 1990. (orig.)

  7. The ATLAS Level-1 Trigger Timing Setup

    CERN Document Server

    Spiwoks, R; Ellis, Nick; Farthouat, P; Gällnö, P; Haller, J; Krasznahorkay, A; Maeno, T; Pauly, T; Pessoa-Lima, H; Resurreccion-Arcas, I; Schuler, G; De Seixas, J M; Torga-Teixeira, R; Wengler, T

    2005-01-01

    The ATLAS detector at CERN's LHC will be exposed to proton-proton collisions at a bunch-crossing rate of 40 MHz. In order to reduce the data rate, a three-level trigger system selects potentially interesting physics. The first trigger level is implemented in electronics and firmware. It aims at reducing the output rate to less than 100 kHz. The Central Trigger Processor combines information from the calorimeter and muon trigger processors and makes the final Level-1-Accept decision. It is a central element in the timing setup of the experiment. Three aspects are considered in this article: the timing setup with respect to the Level-1 trigger, with respect to the expriment, and with respect to the world.

  8. Graphics Processors in HEP Low-Level Trigger Systems

    International Nuclear Information System (INIS)

    Ammendola, Roberto; Biagioni, Andrea; Chiozzi, Stefano; Ramusino, Angelo Cotta; Cretaro, Paolo; Lorenzo, Stefano Di; Fantechi, Riccardo; Fiorini, Massimiliano; Frezza, Ottorino; Lamanna, Gianluca; Cicero, Francesca Lo; Lonardo, Alessandro; Martinelli, Michele; Neri, Ilaria; Paolucci, Pier Stanislao; Pastorelli, Elena; Piandani, Roberto; Pontisso, Luca; Rossetti, Davide; Simula, Francesco; Sozzi, Marco; Vicini, Piero

    2016-01-01

    Usage of Graphics Processing Units (GPUs) in the so called general-purpose computing is emerging as an effective approach in several fields of science, although so far applications have been employing GPUs typically for offline computations. Taking into account the steady performance increase of GPU architectures in terms of computing power and I/O capacity, the real-time applications of these devices can thrive in high-energy physics data acquisition and trigger systems. We will examine the use of online parallel computing on GPUs for the synchronous low-level trigger, focusing on tests performed on the trigger system of the CERN NA62 experiment. To successfully integrate GPUs in such an online environment, latencies of all components need analysing, networking being the most critical. To keep it under control, we envisioned NaNet, an FPGA-based PCIe Network Interface Card (NIC) enabling GPUDirect connection. Furthermore, it is assessed how specific trigger algorithms can be parallelized and thus benefit from a GPU implementation, in terms of increased execution speed. Such improvements are particularly relevant for the foreseen Large Hadron Collider (LHC) luminosity upgrade where highly selective algorithms will be essential to maintain sustainable trigger rates with very high pileup

  9. ATLAS Level-1 Topological Trigger

    CERN Document Server

    Zheng, Daniel; The ATLAS collaboration

    2018-01-01

    The ATLAS experiment has introduced and recently commissioned a completely new hardware sub-system of its first-level trigger: the topological processor (L1Topo). L1Topo consist of two AdvancedTCA blades mounting state-of-the-art FPGA processors, providing high input bandwidth (up to 4 Gb/s) and low latency data processing (200 ns). L1Topo is able to select collision events by applying kinematic and topological requirements on candidate objects (energy clusters, jets, and muons) measured by calorimeters and muon sub-detectors. Results from data recorded using the L1Topo trigger will be presented. These results demonstrate a significantly improved background event rejection, thus allowing for a rate reduction without efficiency loss. This improvement has been shown for several physics processes leading to low-pT leptons, including H->tau tau and J/Psi->mu mu. In addition to describing the L1Topo trigger system, we will discuss the use of an accurate L1Topo simulation as a powerful tool to validate and optimize...

  10. Physics performances with the new ATLAS Level-1 Topological trigger in the LHC High-Luminosity Era

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00414333; The ATLAS collaboration

    2016-01-01

    The ATLAS trigger system aim at reducing the 40 MHz protons collision event rate to a manageable event storage rate of 1 kHz, preserving events with valuable physics meaning. The Level-1 trigger is the first rate-reducing step in the ATLAS trigger system, with an output rate of 100 kHz and decision latency of less than 2.5 micro seconds. It is composed of the calorimeter trigger, muon trigger and central trigger processor. During the last upgrade, a new electronics element was introduced to Level-1: L1Topo, the Topological Processor System. It will make it possible to use detailed realtime information from the Level-1 calorimeter and muon triggers, processed in individual state of the art FPGA processors to determine angles between jets and/or leptons and calculate kinematic variables based on lists of selected/sorted objects. Over hundred VHDL algorithms are producing trigger outputs to be incorporated into the central trigger processor. Such information will be essential to improve background rejection and ...

  11. A level-1 pixel based track trigger for the CMS HL-LHC upgrade

    CERN Document Server

    Moon, Chang-Seong

    2016-01-01

    We present feasibility studies to investigate the performance and interest of a Level-1 trigger based on pixels. The Level-1 (real-time) pixel based tracking trigger is a novel trigger system that is based on real-time track reconstruction algorithms able to cope with very high rates and high flux of data in a very harsh environment. The pixel detector has an especially crucial role in precisely identifying the primary vertex of rare physics events from the large pile-up of events. The goal of adding the pixel information already at the real-time level of the selection is to help reducing the total Level-1 trigger rate while keeping a high selection capability. This is quite an innovative and challenging objective for the upgrade of the experiments for the High Luminosity LHC.

  12. The Level-0 Muon Trigger for the LHCb experiment

    CERN Document Server

    Aslanides, E; Cogan, J; Duval, P Y; Le Gac, R; Leroy, O; Liotard, PL; Marin, F; Favard, S; Tsaregorodtsev, A

    2006-01-01

    The Level-0 Muon Trigger looks for straight tracks crossing the five muon stations of the LHCb muon detector and measures their transverse momentum. The tracking uses a road algorithm relying on the projectivity of the muon detector. The architecture of the Level-0 muon trigger is pipeline and massively parallel. Receiving 130 GBytes/s of input data, it reconstructs muon candidates for each bunch crossing (25 ns) in less than 1.2 $\\mu$S. It relies on an intensive use of high speed multigigabit serial links where high speed serializers/deserializers are embedded in Field Programmable Gate Arrays (FPGAs).

  13. ATLAS High Level Calorimeter Trigger Software Performance for Cosmic Ray Events

    CERN Document Server

    Oliveira Damazio, Denis; The ATLAS collaboration

    2009-01-01

    The ATLAS detector is undergoing intense commissioning effort with cosmic rays preparing for the first LHC collisions next spring. Combined runs with all of the ATLAS subsystems are being taken in order to evaluate the detector performance. This is an unique opportunity also for the trigger system to be studied with different detector operation modes, such as different event rates and detector configuration. The ATLAS trigger starts with a hardware based system which tries to identify detector regions where interesting physics objects may be found (eg: large energy depositions in the calorimeter system). An approved event will be further processed by more complex software algorithms at the second level where detailed features are extracted (full detector granularity data for small portions of the detector is available). Events accepted at this level will be further processed at the so-called event filter level. Full detector data at full granularity is available for offline like processing with complete calib...

  14. Concepts and design of the CMS high granularity calorimeter Level-1 trigger

    CERN Document Server

    Sauvan, Jean-Baptiste

    2016-01-01

    The CMS experiment has chosen a novel high granularity calorimeter for the forward region as part of its planned upgrade for the high luminosity LHC. The calorimeter will have a fine segmentation in both the transverse and longitudinal directions and will be the first such calorimeter specifically optimised for particle flow reconstruction to operate at a colliding beam experiment. The high granularity results in around six million readout channels in total and so presents a significant challenge in terms of data manipulation and processing for the trigger; the trigger data volumes will be an order of magnitude above those currently handled at CMS. In addition, the high luminosity will result in an average of 140 to 200 interactions per bunch crossing, giving a huge background rate in the forward region that needs to be efficiently reduced by the trigger algorithms. Efficient data reduction and reconstruction algorithms making use of the fine segmentation of the detector have been simulated and evaluated. The...

  15. A level-1 pixel based track trigger for the CMS HL-LHC upgrade

    CERN Document Server

    CMS Collaboration

    2016-01-01

    We present feasibility studies to investigate the performances and interest of a Level-1 trigger based on pixels. The Level-1 (real-time) pixel based tracking trigger is a novel trigger system that is based on the real-time track reconstruction algorithms able to cope with very high rates and high flux of data in a very harsh environment. The pixel detector has an especially crucial role in precisely identifying the primary vertex of the rare physics events from the large pile-up (PU) of events. The goal of adding the pixel information already at the real-time level of the selection is to help reducing the total level-1 trigger rate while keeping an high selection capability. This is quite an innovative and challenging objective for the experiments upgrade for the High Luminosity LHC (HL-LHC).

  16. Steering smog prediction

    NARCIS (Netherlands)

    R. van Liere (Robert); J.J. van Wijk (Jack)

    1997-01-01

    textabstractThe use of computational steering for smog prediction is described. This application is representative for many underlying issues found in steering high performance applications: high computing times, large data sets, and many different input parameters. After a short description of the

  17. Efficient, reliable and fast high-level triggering using a bonsai boosted decision tree

    International Nuclear Information System (INIS)

    Gligorov, V V; Williams, M

    2013-01-01

    High-level triggering is a vital component of many modern particle physics experiments. This paper describes a modification to the standard boosted decision tree (BDT) classifier, the so-called bonsai BDT, that has the following important properties: it is more efficient than traditional cut-based approaches; it is robust against detector instabilities, and it is very fast. Thus, it is fit-for-purpose for the online running conditions faced by any large-scale data acquisition system.

  18. Commissioning the ATLAS Level-1 Central Trigger System

    CERN Document Server

    Sherman, Daniel

    2010-01-01

    The ATLAS Level-1 central trigger is a critical part of ATLAS operation. It receives the 40 MHz bunch clock from the LHC and distributes it to all sub-detectors. It initiates their read-out by forming the Level-1 Accept decision, which is based on information from the calorimeter and muon trigger processors and a variety of additional trigger inputs from detectors in the forward region. It also provides trigger summary information to the data acquisition system and the Level-2 trigger system. In this paper, we present the completion of the installed central trigger system, its performance during cosmic-ray data taking and the experience gained with triggering on the first LHC beams.

  19. The impact of force feedback level on steering performance

    NARCIS (Netherlands)

    Anand, S.; Terken, J.; Hogema, J.H.

    2013-01-01

    Steer-by-wire systems provide designers the ability to customize and personalize force feedback on the steering wheel, based on individual preferences. Earlier studies using subjective responses have shown that there are individual differences in preferences for force feedback. It has also been

  20. The Level 0 Trigger Processor for the NA62 experiment

    International Nuclear Information System (INIS)

    Chiozzi, S.; Gamberini, E.; Gianoli, A.; Mila, G.; Neri, I.; Petrucci, F.; Soldi, D.

    2016-01-01

    In the NA62 experiment at CERN, the intense flux of particles requires a high-performance trigger for the data acquisition system. A Level 0 Trigger Processor (L0TP) was realized, performing the event selection based on trigger primitives coming from sub-detectors and reducing the trigger rate from 10 to 1 MHz. The L0TP is based on a commercial FPGA device and has been implemented in two different solutions. The performance of the two systems are highlighted and compared.

  1. The Level 0 Trigger Processor for the NA62 experiment

    Energy Technology Data Exchange (ETDEWEB)

    Chiozzi, S. [INFN, Ferrara (Italy); Gamberini, E. [University of Ferrara and INFN, Ferrara (Italy); Gianoli, A. [INFN, Ferrara (Italy); Mila, G. [University of Turin and INFN, Turin (Italy); Neri, I., E-mail: neri@fe.infn.it [University of Ferrara and INFN, Ferrara (Italy); Petrucci, F. [University of Ferrara and INFN, Ferrara (Italy); Soldi, D. [University of Turin and INFN, Turin (Italy)

    2016-07-11

    In the NA62 experiment at CERN, the intense flux of particles requires a high-performance trigger for the data acquisition system. A Level 0 Trigger Processor (L0TP) was realized, performing the event selection based on trigger primitives coming from sub-detectors and reducing the trigger rate from 10 to 1 MHz. The L0TP is based on a commercial FPGA device and has been implemented in two different solutions. The performance of the two systems are highlighted and compared.

  2. The ZEUS calorimeter first level trigger

    International Nuclear Information System (INIS)

    Smith, W.H.; Ali, I.; Behrens, B.; Fordham, C.; Foudas, C.; Goussiou, A.; Jaworski, M.; Kinnel, T.; Lackey, J.; Robl, P.; Silverstein, S.; Dawson, J.W.; Krakauer, D.A.; Talaga, R.L.; Schlereth, J.L.

    1994-10-01

    The design of the ZEUS Calorimeter First Level Trigger (CFLT) is presented. The CFLT utilizes a pipelined architecture to provide trigger data for a global first leel trigger decision 5 μsec after each beam crossing, occurring every 96 nsec. The charges from 13K phototubes are summed into 1792 trigger tower pulseheights which are digitized by flash ADC's. The digital values are linearized, stored and used for sums and pattern tests. Summary data is forwarded to the Global First Level Trigger for each crossing 2 μsec after the crossing occurred. The CFLT determines the total energy, the total transverse energy, the missing energy, and the energy and number of isolated electrons and muons. It also provides information on the electromagnetic and hadronic energy deposited in various regions of the calorimeter. The CFLT has kept the experimental trigger rate below ∼200 Hz at the highest luminosity experienced at HERA. Performance studies suggest that the CFLT will keep the trigger rate below 1 kHZ against a rate of proton-beam gas interactions on the order of the 100 kHz expected at design luminosity. (orig.)

  3. The ATLAS Level-1 Central Trigger Processor (CTP)

    CERN Document Server

    Spiwoks, Ralf; Ellis, Nick; Farthouat, P; Gällnö, P; Haller, J; Krasznahorkay, A; Maeno, T; Pauly, T; Pessoa-Lima, H; Resurreccion-Arcas, I; Schuler, G; De Seixas, J M; Torga-Teixeira, R; Wengler, T

    2005-01-01

    The ATLAS Level-1 Central Trigger Processor (CTP) combines information from calorimeter and muon trigger processors and makes the final Level-1 Accept (L1A) decision on the basis of lists of selection criteria (trigger menus). In addition to the event-selection decision, the CTP also provides trigger summary information to the Level-2 trigger and the data acquisition system. It further provides accumulated and bunch-by-bunch scaler data for monitoring of the trigger, detector and beam conditions. The CTP is presented and results are shown from tests with the calorimeter adn muon trigger processors connected to detectors in a particle beam, as well as from stand-alone full-system tests in the laboratory which were used to validate the CTP.

  4. Development of the new trigger processor board for the ATLAS Level-1 endcap muon trigger for Run-3

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00525035; The ATLAS collaboration

    2017-01-01

    The instantaneous luminosity of the LHC will be increased by up to a factor of three with respect to the original design value at Run-3 (starting 2021). The ATLAS Level-1 end-cap muon trigger in LHC Run-3 will identify muons by combining data from the Thin-Gap Chamber detector (TGC) and the New Small Wheel (NSW), which is a new detector and will be able to operate in a high background hit rate at Run-3, to suppress the Level-1 trigger rate. In order to handle data from both TGC and NSW, a new trigger processor board has been developed. The board has a modern FPGA to make use of Multi-Gigabit transceiver technology. The readout system for trigger data has also been designed with TCP/IP instead of a dedicated ASIC. This letter presents the electronics and its firmware of the ATLAS Level-1 end-cap muon trigger processor board for LHC Run-3.

  5. A real-time high level trigger system for CALIFA

    Energy Technology Data Exchange (ETDEWEB)

    Gernhaeuser, Roman; Heiss, Benjamin; Klenze, Philipp; Remmels, Patrick; Winkel, Max [Physik Department, Technische Universitaet Muenchen (Germany)

    2016-07-01

    The CALIFA calorimeter with its about 2600 scintillator crystals is a key component of the R{sup 3}B setup. For many experiments CALIFA will have to perform complex trigger decisions depending on the total energy deposition, γ multiplicities or geometrical patterns with a minimal latency. This selection is an essential tool for the accurate preselection of relevant events and provides a significant data reduction. The challenge is to aggregate local trigger information from up to 200 readout modules. The trigger tree transport protocol (T{sup 3}P) will use dedicated FPGA boards and bus systems to collect trigger information and perform hierarchical summations to ensure a trigger decision within 1 μs. The basic concept and implementation of T{sup 3}P are presented together with first tests on a prototype system.

  6. A Vehicle Haptic Steering by Wire System Based on High Gain GPI Observers

    Directory of Open Access Journals (Sweden)

    A. Rodriguez-Angeles

    2014-01-01

    Full Text Available A vehicle steering by wire (SBW haptic system based on high gain generalized proportional integral (GPI observers is introduced. The observers are considered for the estimation of dynamic perturbations that are present at the tire and steering wheel. To ensure efficient tracking between the commanded steering wheel angle and the tire orientation angle, the estimated perturbations are on line canceled. As to provide a haptic interface with the driver, the estimated dynamic effects at the steering rack are fed back to the steering wheel, yielding a master-slave haptic system with bilateral communication. For implementation purposes few sensors and minimum knowledge of the dynamic model are required, which is a major advantage compared to other approaches. Only position tracking errors are fed back, while all other signals are estimated by the high gain GPI observers. The scheme is robust to uncertainty on the input gain and cancels dynamic perturbation effects such as friction and aligning forces on the tire. Experimental results are presented on a prototype platform.

  7. Testing on a Large Scale Running the ATLAS Data Acquisition and High Level Trigger Software on 700 PC Nodes

    CERN Document Server

    Burckhart-Chromek, Doris; Adragna, P; Alexandrov, L; Amorim, A; Armstrong, S; Badescu, E; Baines, J T M; Barros, N; Beck, H P; Bee, C; Blair, R; Bogaerts, J A C; Bold, T; Bosman, M; Caprini, M; Caramarcu, C; Ciobotaru, M; Comune, G; Corso-Radu, A; Cranfield, R; Crone, G; Dawson, J; Della Pietra, M; Di Mattia, A; Dobinson, Robert W; Dobson, M; Dos Anjos, A; Dotti, A; Drake, G; Ellis, Nick; Ermoline, Y; Ertorer, E; Falciano, S; Ferrari, R; Ferrer, M L; Francis, D; Gadomski, S; Gameiro, S; Garitaonandia, H; Gaudio, G; George, S; Gesualdi-Mello, A; Gorini, B; Green, B; Haas, S; Haberichter, W N; Hadavand, H; Haeberli, C; Haller, J; Hansen, J; Hauser, R; Hillier, S J; Höcker, A; Hughes-Jones, R E; Joos, M; Kazarov, A; Kieft, G; Klous, S; Kohno, T; Kolos, S; Korcyl, K; Kordas, K; Kotov, V; Kugel, A; Landon, M; Lankford, A; Leahu, L; Leahu, M; Lehmann-Miotto, G; Le Vine, M J; Liu, W; Maeno, T; Männer, R; Mapelli, L; Martin, B; Masik, J; McLaren, R; Meessen, C; Meirosu, C; Mineev, M; Misiejuk, A; Morettini, P; Mornacchi, G; Müller, M; Garcia-Murillo, R; Nagasaka, Y; Negri, A; Padilla, C; Pasqualucci, E; Pauly, T; Perera, V; Petersen, J; Pope, B; Albuquerque-Portes, M; Pretzl, K; Prigent, D; Roda, C; Ryabov, Yu; Salvatore, D; Schiavi, C; Schlereth, J L; Scholtes, I; Sole-Segura, E; Seixas, M; Sloper, J; Soloviev, I; Spiwoks, R; Stamen, R; Stancu, S; Strong, S; Sushkov, S; Szymocha, T; Tapprogge, S; Teixeira-Dias, P; Torres, R; Touchard, F; Tremblet, L; Ünel, G; Van Wasen, J; Vandelli, W; Vaz-Gil-Lopes, L; Vermeulen, J C; von der Schmitt, H; Wengler, T; Werner, P; Wheeler, S; Wickens, F; Wiedenmann, W; Wiesmann, M; Wu, X; Yasu, Y; Yu, M; Zema, F; Zobernig, H; Computing In High Energy and Nuclear Physics

    2006-01-01

    The ATLAS Data Acquisition (DAQ) and High Level Trigger (HLT) software system will be comprised initially of 2000 PC nodes which take part in the control, event readout, second level trigger and event filter operations. This high number of PCs will only be purchased before data taking in 2007. The large CERN IT LXBATCH facility provided the opportunity to run in July 2005 online functionality tests over a period of 5 weeks on a stepwise increasing farm size from 100 up to 700 PC dual nodes. The interplay between the control and monitoring software with the event readout, event building and the trigger software has been exercised the first time as an integrated system on this large scale. New was also to run algorithms in the online environment for the trigger selection and in the event filter processing tasks on a larger scale. A mechanism has been developed to package the offline software together with the DAQ/HLT software and to distribute it via peer-to-peer software efficiently to this large pc cluster. T...

  8. Testing on a Large Scale running the ATLAS Data Acquisition and High Level Trigger Software on 700 PC Nodes

    CERN Document Server

    Burckhart-Chromek, Doris; Adragna, P; Albuquerque-Portes, M; Alexandrov, L; Amorim, A; Armstrong, S; Badescu, E; Baines, J T M; Barros, N; Beck, H P; Bee, C; Blair, R; Bogaerts, J A C; Bold, T; Bosman, M; Caprini, M; Caramarcu, C; Ciobotaru, M; Comune, G; Corso-Radu, A; Cranfield, R; Crone, G; Dawson, J; Della Pietra, M; Di Mattia, A; Dobinson, Robert W; Dobson, M; Dos Anjos, A; Dotti, A; Drake, G; Ellis, Nick; Ermoline, Y; Ertorer, E; Falciano, S; Ferrari, R; Ferrer, M L; Francis, D; Gadomski, S; Gameiro, S; Garcia-Murillo, R; Garitaonandia, H; Gaudio, G; George, S; Gesualdi-Mello, A; Gorini, B; Green, B; Haas, S; Haberichter, W N; Hadavand, H; Haeberli, C; Haller, J; Hansen, J; Hauser, R; Hillier, S J; Hughes-Jones, R E; Höcker, A; Joos, M; Kazarov, A; Kieft, G; Klous, S; Kohno, T; Kolos, S; Korcyl, K; Kordas, K; Kotov, V; Kugel, A; Landon, M; Lankford, A; Le Vine, M J; Leahu, L; Leahu, M; Lehmann-Miotto, G; Liu, W; Maeno, T; Mapelli, L; Martin, B; Masik, J; McLaren, R; Meessen, C; Meirosu, C; Mineev, M; Misiejuk, A; Morettini, P; Mornacchi, G; Männer, R; Müller, M; Nagasaka, Y; Negri, A; Padilla, C; Pasqualucci, E; Pauly, T; Perera, V; Petersen, J; Pope, B; Pretzl, K; Prigent, D; Roda, C; Ryabov, Yu; Salvatore, D; Schiavi, C; Schlereth, J L; Scholtes, I; Seixas, M; Sloper, J; Sole-Segura, E; Soloviev, I; Spiwoks, R; Stamen, R; Stancu, S; Strong, S; Sushkov, S; Szymocha, T; Tapprogge, S; Teixeira-Dias, P; Torres, R; Touchard, F; Tremblet, L; Van Wasen, J; Vandelli, W; Vaz-Gil-Lopes, L; Vermeulen, J C; Wengler, T; Werner, P; Wheeler, S; Wickens, F; Wiedenmann, W; Wiesmann, M; Wu, X; Yasu, Y; Yu, M; Zema, F; Zobernig, H; von der Schmitt, H; Ünel, G; Computing In High Energy and Nuclear Physics

    2006-01-01

    The ATLAS Data Acquisition (DAQ) and High Level Trigger (HLT) software system will be comprised initially of 2000 PC nodes which take part in the control, event readout, second level trigger and event filter operations. This high number of PCs will only be purchased before data taking in 2007. The large CERN IT LXBATCH facility provided the opportunity to run in July 2005 online functionality tests over a period of 5 weeks on a stepwise increasing farm size from 100 up to 700 PC dual nodes. The interplay between the control and monitoring software with the event readout, event building and the trigger software has been exercised the first time as an integrated system on this large scale. New was also to run algorithms in the online environment for the trigger selection and in the event filter processing tasks on a larger scale. A mechanism has been developed to package the offline software together with the DAQ/HLT software and to distribute it via peer-to-peer software efficiently to this large pc cluster. T...

  9. The CMS Level-1 Calorimeter Trigger for LHC Run II

    CERN Document Server

    Zabi, Alexandre; Cadamuro, Luca; Davignon, Olivier; Romanteau, Thierry; Strebler, Thomas; Cepeda, Maria Luisa; Sauvan, Jean-baptiste; Wardle, Nicholas; Aggleton, Robin Cameron; Ball, Fionn Amhairghen; Brooke, James John; Newbold, David; Paramesvaran, Sudarshan; Smith, D; Taylor, Joseph Ross; Fountas, Konstantinos; Baber, Mark David John; Bundock, Aaron; Breeze, Shane Davy; Citron, Matthew; Elwood, Adam Christopher; Hall, Geoffrey; Iles, Gregory Michiel; Laner Ogilvy, Christian; Penning, Bjorn; Rose, A; Shtipliyski, Antoni; Tapper, Alexander; Durkin, Timothy John; Harder, Kristian; Harper, Sam; Shepherd-Themistocleous, Claire; Thea, Alessandro; Williams, Thomas Stephen; Dasu, Sridhara Rao; Dodd, Laura Margaret; Klabbers, Pamela Renee; Levine, Aaron; Ojalvo, Isabel Rose; Ruggles, Tyler Henry; Smith, Nicholas Charles; Smith, Wesley; Svetek, Ales; Forbes, R; Tikalsky, Jesra Lilah; Vicente, Marcelo

    2017-01-01

    Results from the completed Phase 1 Upgrade of the Compact Muon Solenoid (CMS) Level-1 Calorimeter Trigger are presented. The upgrade was completed in two stages, with the first running in 2015 for proton and Heavy Ion collisions and the final stage for 2016 data taking. The Level-1 trigger has been fully commissioned and has been used by CMS to collect over 43 fb-1 of data since the start of the Large Hadron Collider (LHC) Run II. The new trigger has been designed to improve the performance at high luminosity and large number of simultaneous inelastic collisions per crossing (pile-up). For this purpose it uses a novel design, the Time Multiplexed Trigger (TMT), which enables the data from an event to be processed by a single trigger processor at full granularity over several bunch crossings. The TMT design is a modular design based on the uTCA standard. The trigger processors are instrumented with Xilinx Virtex-7 690 FPGAs and 10 Gbps optical links. The TMT architecture is flexible and the number of trigger p...

  10. The CMS Level-1 trigger for LHC Run II

    Science.gov (United States)

    Tapper, A.

    2018-02-01

    During LHC Run II the centre-of-mass energy of pp collisions has increased from 8 TeV up to 13 TeV and the instantaneous luminosity has progressed towards 2 × 1034 cm-2s-1. In order to guarantee a successful and ambitious physics programme under these conditions, the CMS trigger system has been upgraded. The upgraded CMS Level-1 trigger is designed to improve performance at high luminosity and large number of simultaneous inelastic collisions per crossing. The trigger design, implementation and commissioning are summarised, and performance results are described.

  11. The Level-1 Tile-Muon Trigger in the Tile Calorimeter upgrade program

    International Nuclear Information System (INIS)

    Ryzhov, A.

    2016-01-01

    The Tile Calorimeter (TileCal) is the central hadronic calorimeter of the ATLAS experiment at the Large Hadron Collider (LHC). TileCal provides highly-segmented energy measurements for incident particles. Information from TileCal's outermost radial layer can assist in muon tagging in the Level-1 Muon Trigger by rejecting fake muon triggers due to slow charged particles (typically protons) without degrading the efficiency of the trigger. The main activity of the Tile-Muon Trigger in the ATLAS Phase-0 upgrade program was to install and to activate the TileCal signal processor module for providing trigger inputs to the Level-1 Muon Trigger. This report describes the Tile-Muon Trigger, focusing on the new detector electronics such as the Tile Muon Digitizer Board (TMDB) that receives, digitizes and then provides the signal from eight TileCal modules to three Level-1 muon endcap Sector-Logic Boards.

  12. Instrumentation of a Level-1 Track Trigger in the ATLAS detector for the High Luminosity LHC

    CERN Document Server

    Boisvert, V; The ATLAS collaboration

    2012-01-01

    The Large Hadron Collider will be upgraded in order to reach an instantaneous luminosity of $L=5 \\times 10^{34}$ cm$^{-2}$ s$^{-1}$. A challenge for the detectors will be to cope with the excessive rate of events coming into the trigger system. In order to maintain the capability of triggering on single lepton objects with momentum thresholds of $p_T 25$ GeV, the ATLAS detector is planning to use tracking information at the Level-1 (hardware) stage of the trigger system. Two options are currently being studied: a L0/L1 trigger design using a double buffer front-end architecture and a single hardware trigger level which uses trigger layers in the new tracker system. Both options are presented as well as results from simulation studies.

  13. Triggering at high luminosity: fake triggers from pile-up

    International Nuclear Information System (INIS)

    Johnson, R.

    1983-01-01

    Triggers based on a cut in transverse momentum (p/sub t/) have proved to be useful in high energy physics both because they indicte that a hard constituent scattering has occurred and because they can be made quickly enough to gate electronics. These triggers will continue to be useful at high luminosities if overlapping events do not cause an excessive number of fake triggers. In this paper, I determine if this is indeed a problem at high luminosity machines

  14. The STAR Level-3 trigger system

    International Nuclear Information System (INIS)

    Adler, C.; Berger, J.; Demello, M.; Dietel, T.; Flierl, D.; Landgraf, J.; Lange, J.S.; LeVine, M.J.; Ljubicic, A.; Nelson, J.; Roehrich, D.; Stock, R.; Struck, C.; Yepes, P.

    2003-01-01

    The STAR Level-3 trigger issues a trigger decision upon a complete online reconstruction of Au+Au collisions at relativistic heavy ion collider energies. Central interactions are processed up to a rate of 50 s -1 including a simple analysis of physics observables. The setup of the processor farm and the event reconstruction as well as experiences and the proposed trigger algorithms are described

  15. First level trigger of the DIRAC experiment

    International Nuclear Information System (INIS)

    Afanas'ev, L.G.; Karpukhin, V.V.; Kulikov, A.V.; Gallas, M.

    2001-01-01

    The logic of the first level trigger of the DIRAC experiment at CERN is described. A parallel running of different trigger modes with tagging of events and optional independent prescaling is realized. A CAMAC-based trigger system is completely computer controlled

  16. The ZEUS calorimeter first level trigger

    Science.gov (United States)

    Silverstein, S.; Ali, I.; Behrens, B.; Foudas, C.; Fordham, C.; Goussiou, A.; Jaworski, M.; Lackey, J.; Reeder, D.; Robl, P.; Smith, W. H.; Vaiciulis, A.; Wodarczyk, M.; Dawson, J.; Krakauer, D.; Talaga, R.; Schlereth, J.; Zhang, H.

    1995-02-01

    An overview of the ZEUS calorimeter first level trigger is presented. The CFLT uses a pipelined architecture to accept and analyze calorimeter data for every 96 ns beam crossing interval. PMT signals are combined by analog electronics into electromagnetic and hadronic sums for 896 trigger towers. The analog sums are then digitized and analyzed. The CFLT determines the total, transverse, and missing transverse energy, identifies isolated electrons and muons, and sums energies in programmable subregions. Calculations are performed in 96 ns steps, and new data are accepted for every beam crossing. Trigger data are forwarded to the global first level trigger (GFLT) after 2 μs, allowing a GFLT accept to be issued 5 μs after the beam crossing which produced the event. Important features of the CFLT include a 12-bit effective dynamic range, extensive use of memory lookup tables for trigger calculations, fast pattern searches for isolated leptons, and low electronics noise. During the 1993 HERA run, the CFLT reduced a 50 kHz background rate to around 100 Hz.

  17. Towards a Level-1 tracking trigger for the ATLAS experiment

    CERN Document Server

    Cerri, A; The ATLAS collaboration

    2014-01-01

    The future plans for the LHC accelerator allow, through a schedule of phased upgrades, an increase in the average instantaneous luminosity by a factor 5 with respect to the original design luminosity. The ATLAS experiment at the LHC will be able to maximise the physics potential from this higher luminosity only if the detector, trigger and DAQ infrastructure are adapted to handle the sustained increase in particle production rates. In this paper the changes expected to be required to the ATLAS detectors and trigger system to fulfill the requirement for working in such high luminosity scenario are described. The increased number of interactions per bunch crossing will result in higher occupancy in the detectors and increased rates at each level of the trigger system. The trigger selection will improve the selectivity partly from increased granularity for the sub detectors and the consequent higher resolution. One of the largest challenges will be the provision of tracking information at the first trigger level...

  18. Level-1 trigger rate from beam halo muons in the end-cap

    CERN Document Server

    Robins, S

    1998-01-01

    Previous detectors at $p$-$\\bar{p}$ machines have experienced problems with high muon trigger rates in the forward region due to muons produced in interactions between the beam and the machine. The se `beam halo' muons typically have a very small angle to the beam direction, and are dominated by muons of several GeV energy and at low radius relative to the beam line. The response of the ATLA S end-cap muon trigger to them has been investigated using a complete simulation of both the LHC machine components and the ATLAS detector and trigger. It is seen that the total flux of such muon s in the end-cap trigger counters is $\\sim$ 60 kHz, in high luminosity LHC running, and the acceptance of the Level-1 end-cap muon trigger to these particles is $\\sim$1\\%. The overall Level-1 trig ger rate from such muons will be small compared to rates from the products of the $p$-$p$ collision. The total rates from low- and high-\\pt triggers at 6 and 20 GeV are 250 and 16 Hz respectively. Whilst these rates are negligible in co...

  19. Level Zero Trigger Processor for the NA62 experiment

    Science.gov (United States)

    Soldi, D.; Chiozzi, S.

    2018-05-01

    The NA62 experiment is designed to measure the ultra-rare decay K+ arrow π+ ν bar nu branching ratio with a precision of ~ 10% at the CERN Super Proton Synchrotron (SPS). The trigger system of NA62 consists in three different levels designed to select events of physics interest in a high beam rate environment. The L0 Trigger Processor (L0TP) is the lowest level system of the trigger chain. It is hardware implemented using programmable logic. The architecture of the NA62 L0TP system is a new approach compared to existing systems used in high-energy physics experiments. It is fully digital, based on a standard gigabit Ethernet communication between detectors and the L0TP Board. The L0TP Board is a commercial development board, mounting a programmable logic device (FPGA). The primitives generated by sub-detectors are sent asynchronously using the UDP protocol to the L0TP during the entire beam spill period. The L0TP realigns in time the primitives coming from seven different sources and performs a data selection based on the characteristics of the event such as energy, multiplicity and topology of hits in the sub-detectors. It guarantees a maximum latency of 1 ms. The maximum input rate is about 10 MHz for each sub-detector, while the design maximum output trigger rate is 1 MHz. A description of the trigger algorithm is presented here.

  20. Level-0 trigger algorithms for the ALICE PHOS detector

    CERN Document Server

    Wang, D; Wang, Y P; Huang, G M; Kral, J; Yin, Z B; Zhou, D C; Zhang, F; Ullaland, K; Muller, H; Liu, L J

    2011-01-01

    The PHOS level-0 trigger provides a minimum bias trigger for p-p collisions and information for a level-1 trigger at both p-p and Pb-Pb collisions. There are two level-0 trigger generating algorithms under consideration: the Direct Comparison algorithm and the Weighted Sum algorithm. In order to study trigger algorithms via simulation, a simplified equivalent model is extracted from the trigger electronics to derive the waveform function of the Analog-or signal as input to the trigger algorithms. Simulations shown that the Weighted Sum algorithm can achieve higher trigger efficiency and provide more precise single channel energy information than the direct compare algorithm. An energy resolution of 9.75 MeV can be achieved with the Weighted Sum algorithm at a sampling rate of 40 Msps (mega samples per second) at 1 GeV. The timing performance at a sampling rate of 40 Msps with the Weighted Sum algorithm is better than that at a sampling rate of 20 Msps with both algorithms. The level-0 trigger can be delivered...

  1. Precision Timing of the ATLAS Level-1 Calorimeter Trigger

    CERN Document Server

    Davygora, Yuriy; The ATLAS collaboration

    2012-01-01

    The ATLAS Level-1 Calorimeter Trigger is one of the main elements of the first-stage online selection of LHC collision events measured at the ATLAS experiment. Using 7168 pre-summed trigger tower signals from the Liquid Argon and Tile calorimeters as input, the hardware-based system identifies high-pT objects and determines the total and missing transverse energy sums within a fixed latency of 2.5 us. The Preprocessor system digitizes the analogue calorimeter signals at the LHC bunch-crossing frequency of 40MHz and provides bunch-crossing identification and energy measurement. Prerequisite for high stability and accuracy of this procedure is a timing synchronization at the nanosecond level of the signals which belong to the same collision event. The synchronization of the trigger tower signals was first established in the analysis of beam splash events in November 2009 and then refined and sustained with data from proton-proton collisions at a centre-of-mass energy of 7TeV, recorded at the LHC in 2010 and 201...

  2. Operational experience with the ALICE High Level Trigger

    Science.gov (United States)

    Szostak, Artur

    2012-12-01

    The ALICE HLT is a dedicated real-time system for online event reconstruction and triggering. Its main goal is to reduce the raw data volume read from the detectors by an order of magnitude, to fit within the available data acquisition bandwidth. This is accomplished by a combination of data compression and triggering. When HLT is enabled, data is recorded only for events selected by HLT. The combination of both approaches allows for flexible data reduction strategies. Event reconstruction places a high computational load on HLT. Thus, a large dedicated computing cluster is required, comprising 248 machines, all interconnected with InfiniBand. Running a large system like HLT in production mode proves to be a challenge. During the 2010 pp and Pb-Pb data-taking period, many problems were experienced that led to a sub-optimal operational efficiency. Lessons were learned and certain crucial changes were made to the architecture and software in preparation for the 2011 Pb-Pb run, in which HLT had a vital role performing data compression for ALICE's largest detector, the TPC. An overview of the status of the HLT and experience from the 2010/2011 production runs are presented. Emphasis is given to the overall performance, showing an improved efficiency and stability in 2011 compared to 2010, attributed to the significant improvements made to the system. Further opportunities for improvement are identified and discussed.

  3. Operational experience with the ALICE High Level Trigger

    International Nuclear Information System (INIS)

    Szostak, Artur

    2012-01-01

    The ALICE HLT is a dedicated real-time system for online event reconstruction and triggering. Its main goal is to reduce the raw data volume read from the detectors by an order of magnitude, to fit within the available data acquisition bandwidth. This is accomplished by a combination of data compression and triggering. When HLT is enabled, data is recorded only for events selected by HLT. The combination of both approaches allows for flexible data reduction strategies. Event reconstruction places a high computational load on HLT. Thus, a large dedicated computing cluster is required, comprising 248 machines, all interconnected with InfiniBand. Running a large system like HLT in production mode proves to be a challenge. During the 2010 pp and Pb-Pb data-taking period, many problems were experienced that led to a sub-optimal operational efficiency. Lessons were learned and certain crucial changes were made to the architecture and software in preparation for the 2011 Pb-Pb run, in which HLT had a vital role performing data compression for ALICE's largest detector, the TPC. An overview of the status of the HLT and experience from the 2010/2011 production runs are presented. Emphasis is given to the overall performance, showing an improved efficiency and stability in 2011 compared to 2010, attributed to the significant improvements made to the system. Further opportunities for improvement are identified and discussed.

  4. LHCb: LHCb High Level Trigger design issues for post Long Stop 1 running

    CERN Multimedia

    Albrecht, J; Raven, G; Sokoloff, M D; Williams, M

    2013-01-01

    The LHCb High Level Trigger uses two stages of software running on an Event Filter Farm (EFF) to select events for offline reconstruction and analysis. The first stage (Hlt1) processes approximately 1 MHz of events accepted by a hardware trigger. In 2012, the second stage (Hlt2) wrote 5 kHz to permanent storage for later processing. Following the LHC's Long Stop 1 (anticipated for 2015), the machine energy will increase from 8 TeV in the center-of-mass to 13 TeV and the cross sections for beauty and charm are expected to grow proportionately. We plan to increase the Hlt2 output to 12 kHz, some for immediate offline processing, some for later offline processing, and some ready for immediate analysis. By increasing the absolute computing power of the EFF, and buffering data for processing between machine fills, we should be able to significantly increase the efficiency for signal while improving signal-to-background ratios. In this poster we will present several strategies under consideration and some of th...

  5. Progress on the Level-1 Calorimeter Trigger

    CERN Multimedia

    Eric Eisenhandler

    The Level-1 Calorimeter Trigger (L1Calo) has recently passed a number of major hurdles. The various electronic modules that make up the trigger are either in full production or are about to be, and preparations in the ATLAS pit are well advanced. L1Calo has three main subsystems. The PreProcessor converts analogue calorimeter signals to digital, associates the rather broad trigger pulses with the correct proton-proton bunch crossing, and does a final calibration in transverse energy before sending digital data streams to the two algorithmic trigger processors. The Cluster Processor identifies and counts electrons, photons and taus, and the Jet/Energy-sum Processor looks for jets and also sums missing and total transverse energy. Readout drivers allow the performance of the trigger to be monitored online and offline, and also send region-of-interest information to the Level-2 Trigger. The PreProcessor (Heidelberg) is the L1Calo subsystem with the largest number of electronic modules (124), and most of its fu...

  6. The design and simulated performance of a fast Level 1 track trigger for the ATLAS High Luminosity Upgrade

    CERN Document Server

    Martensson, Mikael; The ATLAS collaboration

    2017-01-01

    The ATLAS experiment at the High Luminosity LHC will face a fivefold increase in the number of interactions per bunch crossing relative to the ongoing Run 2. This will require a proportional improvement in rejection power at the earliest levels of the detector trigger system, while preserving good signal efficiency. One critical aspect of this improvement will be the implementation of precise track reconstruction, through which sharper trigger turn-on curves can be achieved, and b-tagging and tau-tagging techniques can in principle be implemented. The challenge of such a project comes in the development of a fast, custom electronic device integrated in the hardware based first trigger level of the experiment. This article will discuss the requirements, architecture and projected performance of the system in terms of tracking, timing and physics, based on detailed simulations. Studies are carried out using data from the strip subsystem only or both strip and pixel subsystems.

  7. The design and simulated performance of a fast Level 1 track trigger for the ATLAS High Luminosity Upgrade

    CERN Document Server

    Martensson, Mikael; The ATLAS collaboration

    2017-01-01

    The ATLAS experiment at the high-luminosity LHC will face a five-fold increase in the number of interactions per collision relative to the ongoing Run 2. This will require a proportional improvement in rejection power at the earliest levels of the detector trigger system, while preserving good signal efficiency. One critical aspect of this improvement will be the implementation of precise track reconstruction, through which sharper trigger turn-on curves can be achieved, and b-tagging and tau-tagging techniques can in principle be implemented. The challenge of such a project comes in the development of a fast, custom electronic device integrated in the hardware-based first trigger level of the experiment, with repercussions propagating as far as the detector read-out philosophy. This talk will discuss the requirements, architecture and projected performance of the system in terms of tracking, timing and physics, based on detailed simulations. Studies are carried out comparing two detector geometries and using...

  8. The ATLAS Level-1 Topological Trigger performance in Run 2

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00120419; The ATLAS collaboration

    2017-01-01

    The Level-1 trigger is the first event rate reducing step in the ATLAS detector trigger system, with an output rate of up to 100 kHz and decision latency smaller than 2.5 μs. During the LHC shutdown after Run 1, the Level-1 trigger system was upgraded at hardware, firmware and software levels. In particular, a new electronics sub-system was introduced in the real-time data processing path: the Level-1 Topological trigger system. It consists of a single electronics shelf equipped with two Level-1 Topological processor blades. They receive real-time information from the Level-1 calorimeter and muon triggers, which is processed to measure angles between trigger objects, invariant masses or other kinematic variables. Complementary to other requirements, these measurements are taken into account in the final Level-1 trigger decision. The system was installed and commissioning started in 2015 and continued during 2016. As part of the commissioning, the decisions from individual algorithms were simulated and compar...

  9. 77 FR 38033 - WTO Agricultural Safeguard Trigger Levels

    Science.gov (United States)

    2012-06-26

    ... DEPARTMENT OF AGRICULTURE Foreign Agricultural Service WTO Agricultural Safeguard Trigger Levels... and trigger levels for safeguard measures provided for in the World Trade Organization (WTO) Agreement... measures under the safeguard provisions of the WTO [[Page 38034

  10. Digital Filter Performance for the ATLAS Level-1 Calorimeter Trigger

    CERN Document Server

    Hadley, D R; The ATLAS collaboration

    2010-01-01

    The ATLAS Level-1 Calorimeter Trigger is a hardware-based system designed to identify high-pT jets, electron/photon and tau candidates, and to measure total and missing ET in the ATLAS Liquid Argon and Tile calorimeters. It is a pipelined processor system, with a new set of inputs being evaluated every 25ns. The overall trigger decision has a latency budget of 2µs, including all transmission delays. The calorimeter trigger uses about 7200 reduced granularity analogue signals, which are first digitized at the 40 MHz LHC bunch-crossing frequency, before being passed to a digital Finite Impulse Response (FIR) filter. Due to latency and chip real-estate constraints, only a simple 5-element filter with limited precision can be used. Nevertheless this filter achieves a significant reduction in noise, along with improving the bunch-crossing assignment and energy resolution for small signals. The context in which digital filters are used for the ATLAS Level-1 Calorimeter Trigger will be presented, before describing ...

  11. Flexible event reconstruction software chains with the ALICE High-Level Trigger

    International Nuclear Information System (INIS)

    Ram, D; Breitner, T; Szostak, A

    2012-01-01

    The ALICE High-Level Trigger (HLT) has a large high-performance computing cluster at CERN whose main objective is to perform real-time analysis on the data generated by the ALICE experiment and scale it down to at-most 4GB/sec - which is the current maximum mass-storage bandwidth available. Data-flow in this cluster is controlled by a custom designed software framework. It consists of a set of components which can communicate with each other via a common control interface. The software framework also supports the creation of different configurations based on the detectors participating in the HLT. These configurations define a logical data processing “chain” of detector data-analysis components. Data flows through this software chain in a pipelined fashion so that several events can be processed at the same time. An instance of such a chain can run and manage a few thousand physics analysis and data-flow components. The HLT software and the configuration scheme used in the 2011 heavy-ion runs of ALICE, has been discussed in this contribution.

  12. An R&D programme on alternative technologies for the ATLAS level-1 calorimeter trigger

    CERN Document Server

    Appelquist, G; Bohm, C; Engström, M; Hellman, S; Holmgren, S O; Johansson, E; Yamdagni, N; Zhao, X; Sundblad, R; Ödmark, A; Bodo, P; Elderstig, H; Hentzell, H; Lindgren, S; Tober, M; Johansson, H; Svensson, C; Yuan, J R; Mohktari, M; Ellis, Nick

    1995-01-16

    This note describes a first-level calorimeter trigger processor designed to take advantage of new possibilities that arise as a consequence of modern design techniques and components such as optical interconnections, application specific integrated circuits (ASICs) and multi-chip modules (MCMs). The design is homogeneous down to the trigger cell level. This means that no boundary effects occur due to the system partitioning. The construction presented relies mainly on two different types of highly complex ASICs for processing and an MCM for opto-electrical conversion of input data. The trigger processor performs electron/photon identification, jet detection and missing ET calculations for the central first-level trigger and region of interest (RoI) selection for the second-level trigger. Exploring the possibilities given by advanced technologies leads to a first-level trigger architecture with advantages over more traditional designs, allowing, for example, higher precision calculations. Remaining degrees of ...

  13. The ATLAS Level-1 Calorimeter Trigger Architecture

    CERN Document Server

    Garvey, J; Mahout, G; Moye, T H; Staley, R J; Watkins, P M; Watson, A T; Achenbach, R; Hanke, P; Kluge, E E; Meier, K; Meshkov, P; Nix, O; Penno, K; Schmitt, K; Ay, Cc; Bauss, B; Dahlhoff, A; Jakobs, K; Mahboubi, K; Schäfer, U; Trefzger, T M; Eisenhandler, E F; Landon, M; Moyse, E; Thomas, J; Apostoglou, P; Barnett, B M; Brawn, I P; Davis, A O; Edwards, J; Gee, C N P; Gillman, A R; Perera, V J O; Qian, W; Bohm, C; Hellman, S; Hidvégi, A; Silverstein, S; RT 2003 13th IEEE-NPSS Real Time Conference

    2004-01-01

    The architecture of the ATLAS Level-1 Calorimeter Trigger system (L1Calo) is presented. Common approaches have been adopted for data distribution, result merging, readout, and slow control across the three different subsystems. A significant amount of common hardware is utilized, yielding substantial savings in cost, spares, and development effort. A custom, high-density backplane has been developed with data paths suitable for both the em/tt cluster processor (CP) and jet/energy-summation processor (JEP) subsystems. Common modules also provide interfaces to VME, CANbus and the LHC Timing, Trigger and Control system (TTC). A common data merger module (CMM) uses FPGAs with multiple configurations for summing electron/photon and tau/hadron cluster multiplicities, jet multiplicities, or total and missing transverse energy. The CMM performs both crate- and system-level merging. A common, FPGA-based readout driver (ROD) is used by all of the subsystems to send input, intermediate and output data to the data acquis...

  14. Interconnection test framework for the CMS level-1 trigger system

    International Nuclear Information System (INIS)

    Hammer, J.; Magrans de Abril, M.; Wulz, C.E.

    2012-01-01

    The Level-1 Trigger Control and Monitoring System is a software package designed to configure, monitor and test the Level-1 Trigger System of the Compact Muon Solenoid (CMS) experiment at CERN's Large Hadron Collider. It is a large and distributed system that runs over 50 PCs and controls about 200 hardware units. The objective of this paper is to describe and evaluate the architecture of a distributed testing framework - the Interconnection Test Framework (ITF). This generic and highly flexible framework for creating and executing hardware tests within the Level-1 Trigger environment is meant to automate testing of the 13 major subsystems interconnected with more than 1000 links. Features include a web interface to create and execute tests, modeling using finite state machines, dependency management, automatic configuration, and loops. Furthermore, the ITF will replace the existing heterogeneous testing procedures and help reducing both maintenance and complexity of operation tasks. (authors)

  15. Overview and performance of the ATLAS Level-1 Topological Trigger

    CERN Document Server

    Damp, Johannes Frederic; The ATLAS collaboration

    2018-01-01

    In 2017 the LHC provided proton-proton collisions to the ATLAS experiment with high luminosity (up to 2.06x10^34), placing stringent operational and physical requirements on the ATLAS trigger system in order to reduce the 40 MHz collision rate to a manageable event storage rate of 1 kHz, while not rejecting interesting physics events. The Level-1 trigger is the first rate-reducing step in the ATLAS trigger system with an output rate of 100 kHz and decision latency of less than 2.5 μs. An important role is played by its newly commissioned component: the L1 topological trigger (L1Topo). This innovative system consists of two blades designed in AdvancedTCA form factor, mounting four individual state-of-the-art processors, and providing high input bandwidth and low latency data processing. Up to 128 topological trigger algorithms can be implemented to select interesting events by applying kinematic and angular requirements on electromagnetic clusters, jets, muons and total energy. This results in a significantly...

  16. Vehicle steering by side stick: optimising steering characteristics

    NARCIS (Netherlands)

    Zuurbier, J.; Hogema, J.H.; Brekelmans, J.A.W.J.

    2000-01-01

    This paper describes a study that was conducted to investigate the possibilities for optimizing task performance when driving a side stick-steered vehicle. Using steer-by-wire technology, a conventional steering system was mimicked, thus yielding the possibility to modify the steering

  17. Implementation of a level 1 trigger system using high speed serial (VXS) techniques for the 12GeV high luminosity experimental programs at Thomas Jefferson National Accelerator Facility

    International Nuclear Information System (INIS)

    Cuevas, C.; Raydo, B.; Dong, H.; Gupta, A.; Barbosa, F.J.; Wilson, J.; Taylor, W.M.; Jastrzembski, E.; Abbott, D.

    2009-01-01

    We will demonstrate a hardware and firmware solution for a complete fully pipelined multi-crate trigger system that takes advantage of the elegant high speed VXS serial extensions for VME. This trigger system includes three sections starting with the front end crate trigger processor (CTP), a global Sub-System Processor (SSP) and a Trigger Supervisor that manages the timing, synchronization and front end event readout. Within a front end crate, trigger information is gathered from each 16 Channel, 12 bit Flash ADC module at 4 nS intervals via the VXS backplane, to a Crate Trigger Processor (CTP). Each Crate Trigger Processor receives these 500 MB/S VXS links from the 16 FADC-250 modules, aligns skewed data inherent of Aurora protocol, and performs real time crate level trigger algorithms. The algorithm results are encoded using a Reed-Solomon technique and transmission of this Level 1 trigger data is sent to the SSP using a multi-fiber link. The multi-fiber link achieves an aggregate trigger data transfer rate to the global trigger at 8 Gb/s. The SSP receives and decodes Reed-Solomon error correcting transmission from each crate, aligns the data, and performs the global level trigger algorithms. The entire trigger system is synchronous and operates at 250 MHz with the Trigger Supervisor managing not only the front end event readout, but also the distribution of the critical timing clocks, synchronization signals, and the global trigger signals to each front end readout crate. These signals are distributed to the front end crates on a separate fiber link and each crate is synchronized using a unique encoding scheme to guarantee that each front end crate is synchronous with a fixed latency, independent of the distance between each crate. The overall trigger signal latency is <3 uS, and the proposed 12GeV experiments at Jefferson Lab require up to 200KHz Level 1 trigger rate.

  18. UA1 upgrade first-level calorimeter trigger processor

    International Nuclear Information System (INIS)

    Bains, N.; Charlton, D.; Ellis, N.; Garvey, J.; Gregory, J.; Jimack, M.P.; Jovanovic, P.; Kenyon, I.R.; Baird, S.A.; Campbell, D.; Cawthraw, M.; Coughlan, J.; Flynn, P.; Galagedera, S.; Grayer, G.; Halsall, R.; Shah, T.P.; Stephens, R.; Eisenhandler, E.; Fensome, I.; Landon, M.

    1989-01-01

    A new first-level trigger processor has been built for the UA1 experiment on the Cern SppS Collider. The processor exploits the fine granularity of the new UA1 uranium-TMP calorimeter to improve the selectivity of the trigger. The new electron trigger has improved hadron jet rejection, achieved by requiring low energy deposition around the electromagnetic cluster. A missing transverse energy trigger and a total energy trigger have also been implemented. (orig.)

  19. Hardware-based tracking at trigger level for ATLAS: The Fast Tracker (FTK) Project

    CERN Document Server

    Gramling, Johanna; The ATLAS collaboration

    2015-01-01

    Physics collisions at 13 TeV are expected at the LHC with an average of 40-50 proton-proton collisions per bunch crossing. Tracking at trigger level is an essential tool to control the rate in high-pileup conditions while maintaining a good efficiency for relevant physics processes. The Fast TracKer (FTK) is an integral part of the trigger upgrade for the ATLAS detector. For every event passing the Level 1 trigger (at a maximum rate of 100 kHz) the FTK receives data from the 80 million channels of the silicon detectors, providing tracking information to the High Level Trigger in order to ensure a selection robust against pile-up. The FTK performs a hardware-based track reconstruction, using associative memory (AM) that is based on the use of a custom chip, designed to perform pattern matching at very high speed. It finds track candidates at low resolution (roads) that seed a full-resolution track fitting done by FPGAs. Narrow roads permit a fast track fitting but need many patterns stored in the AM to ensure ...

  20. M7--a high speed digital processor for second level trigger selections

    International Nuclear Information System (INIS)

    Droege, T.F.; Gaines, I.; Turner, K.J.

    1978-01-01

    A digital processor is described which reconstructs mass and momentum as a second-level trigger selection. The processor is a five-address, microprogramed, pipelined, ECL machine with simultaneous memory access to four operands which load two parallel multipliers and an ALU. Source data modules are extensions of the processor

  1. Driver steering model for closed-loop steering function analysis

    Science.gov (United States)

    Bolia, Pratiksh; Weiskircher, Thomas; Müller, Steffen

    2014-05-01

    In this paper, a two level preview driver steering control model for the use in numerical vehicle dynamics simulation is introduced. The proposed model is composed of cascaded control loops: The outer loop is the path following layer based on potential field framework. The inner loop tries to capture the driver's physical behaviour. The proposed driver model allows easy implementation of different driving situations to simulate a wide range of different driver types, moods and vehicle types. The expediency of the proposed driver model is shown with the help of developed driver steering assist (DSA) function integrated with a conventional series production (Electric Power steering System with rack assist servo unit) system. With the help of the DSA assist function, the driver is prevented from over saturating the front tyre forces and loss of stability and controllability during cornering. The simulation results show different driver reactions caused by the change in the parameters or properties of the proposed driver model if the DSA assist function is activated. Thus, the proposed driver model is useful for the advanced driver steering and vehicle stability assist function evaluation in the early stage of vehicle dynamics handling and stability evaluation.

  2. The Level-1 Tile-Muon Trigger in the Tile Calorimeter Upgrade Program

    CERN Document Server

    Ryzhov, Andrey; The ATLAS collaboration

    2016-01-01

    The Tile Calorimeter (TileCal) is the central hadronic calorimeter of the ATLAS experiment at the Large Hadron Collider (LHC). The TileCal provides highly-segmented energy measurements for incident particles. Information from TileCal's last radial layer can assist in muon tagging using Level-1 muon trigger. It can help in the rejection of fake muon triggers arising from background radiation (slow charged particles - protons) without degrading the efficiency of the trigger. The TileCal main activity for Phase-0 upgrade ATLAS program (2013-2014) was the activation of the TileCal third layer signal for assisting the muon trigger at 1.0<|η|<1.3 (Tile-Muon Trigger). This report describes the Tile-Muon Trigger at TileCal upgrade activities, focusing on the new on-detector electronics such as Tile Muon Digitizer Board (TMDB) to provide (receive and digitize) the signal from eight TileCal modules to three Level-1 muon endcap sector logic blocks.

  3. Effects of dietary protein levels and 2-methylbutyrate on ruminal fermentation, nutrient degradability, bacterial populations and urinary purine derivatives in Simmental steers.

    Science.gov (United States)

    Wang, C; Liu, Q; Guo, G; Huo, W J; Pei, C X; Zhang, S L; Yang, W Z

    2018-06-01

    The objective of this study was to evaluate the effects of dietary crude protein (CP) levels and 2-methylbutyrate (MB) supplementation on ruminal fermentation, bacterial populations, microbial enzyme activity and urinary excretion of purine derivatives (PD) in Simmental steers. Eight ruminally cannulated Simmental steers, averaging 18 months of age and 465 ± 8.6 kg of body weight (BW), were used in a replicated 4 × 4 Latin square design by a 2 × 2 factorial arrangement. Low protein (98.5 g CP/kg dry matter [LP] or high protein (128.7 g CP/kg dry matter [HP]) diets were fed with MB supplementation (0 g [MB-] or 16.8 g steer -1  day -1 [MB+]). Steers were fed a total mixed ration with dietary corn straw to concentrate ratio of 50:50 (dry matter [DM] basis). The CP × MB interaction was observed for ruminal total VFA, molar proportions of acetate and propionate, acetate to propionate ratio, ammonia-N, effective degradability of neutral detergent fibre (NDF) and CP, microbial enzyme activity, bacterial populations and total PD excretion (p Ruminal pH decreased (p ruminal total VFA concentration increased (p Ruminal ammonia-N content increased (p = .034) with increasing dietary CP level, but decreased (p = .012) with MB supplementation. The effective degradability of NDF and CP increased (p ruminal fermentation, nutrient degradability, microbial enzyme activity, ruminal bacterial populations and microbial protein synthesis improved with increasing dietary CP level or MB supplementation in steers. © 2017 Blackwell Verlag GmbH.

  4. Steering and evasion assist

    NARCIS (Netherlands)

    Dang, T.; Desens, J.; Franke, U.; Gavrila, D.; Schäfers, L.; Ziegler, W.; Eskandarian, A.

    2012-01-01

    Steering and evasion assistance defines a new and future class of driver assistance systems to avoid an impending collision with other traffic participants. Dynamic and kinematic considerations reveal that an evasive steering maneuver has high potential for collision avoidance in many driving

  5. Beam Test of the ATLAS Level-1 Calorimeter Trigger System

    CERN Document Server

    Garvey, J; Mahout, G; Moye, T H; Staley, R J; Thomas, J P; Typaldos, D; Watkins, P M; Watson, A; Achenbach, R; Föhlisch, F; Geweniger, C; Hanke, P; Kluge, E E; Mahboubi, K; Meier, K; Meshkov, P; Rühr, F; Schmitt, K; Schultz-Coulon, H C; Ay, C; Bauss, B; Belkin, A; Rieke, S; Schäfer, U; Tapprogge, T; Trefzger, T; Weber, GA; Eisenhandler, E F; Landon, M; Apostologlou, P; Barnett, B M; Brawn, I P; Davis, A O; Edwards, J; Gee, C N P; Gillman, A R; Mirea, A; Perera, V J O; Qian, W; Sankey, D P C; Bohm, C; Hellman, S; Hidvegi, A; Silverstein, S

    2005-01-01

    The Level-1 Calorimter Trigger consists of a Preprocessor (PP), a Cluster Processor (CP), and a Jet/Energy-sum Processor (JEP). The CP and JEP receive digitised trigger-tower data from the Preprocessor and produce Region-of-Interest (RoIs) and trigger multiplicities. The latter are sent in real time to the Central Trigger Processor (CTP) where the Level-1 decision is made. On receipt of a Level-1 Accept, Readout Driver Modules (RODs), provide intermediate results to the data acquisition (DAQ) system for monitoring and diagnostic purpose. RoI information is sent to the RoI builder (RoIB) to help reduce the amount of data required for the Level-2 Trigger The Level-1 Calorimeter Trigger System at the test beam consisted of 1 Preprocessor module, 1 Cluster Processor Module, 1 Jet/Energy Module and 2 Common Merger Modules. Calorimeter energies were sucessfully handled thourghout the chain and trigger object sent to the CTP. Level-1 Accepts were sucessfully produced and used to drive the readout path. Online diagno...

  6. A System for Monitoring and Tracking the LHC Beam Spot within the ATLAS High Level Trigger

    CERN Document Server

    Bartoldus, R; The ATLAS collaboration; Cogan, J; Salnikov, A; Strauss, E; Winklmeier, F

    2012-01-01

    The parameters of the beam spot produced by the LHC in the ATLAS interaction region are computed online using the ATLAS High Level Trigger (HLT) system. The high rate of triggered events is exploited to make precise measurements of the position, size and orientation of the luminous region in near real-time, as these parameters change significantly even during a single data-taking run. We present the challenges, solutions and results for the online determination, monitoring and beam spot feedback system in ATLAS. A specially designed algorithm, which uses tracks registered in the silicon detectors to reconstruct event vertices, is executed on the HLT processor farm of several thousand CPU cores. Monitoring histograms from all the cores are sampled and aggregated across the farm every 60 seconds. The reconstructed beam values are corrected for detector resolution effects, measured in situ from the separation of vertices whose tracks have been split into two collections. Furthermore, measurements for individual ...

  7. The ATLAS Data Acquisition and High Level Trigger Systems: Experience and Upgrade Plans

    CERN Document Server

    Hauser, R; The ATLAS collaboration

    2012-01-01

    The ATLAS DAQ/HLT system reduces the Level 1 rate of 75 kHz to a few kHz event build rate after Level 2 and a few hundred Hz out output rate to disk. It has operated with an average data taking efficiency of about 94% during the recent years. The performance has far exceeded the initial requirements, with about 5 kHz event building rate and 500 Hz of output rate in 2012, driven mostly by physics requirements. Several improvements and upgrades are foreseen in the upcoming long shutdowns, both to simplify the existing architecture and improve the performance. On the network side new core switches will be deployed and possible use of 10GBit Ethernet links for critical areas is foreseen. An improved read-out system to replace the existing solution based on PCI is under development. A major evolution of the high level trigger system foresees a merging of the Level 2 and Event Filter functionality on a single node, including the event building. This will represent a big simplification of the existing system, while ...

  8. A First-Level Muon Trigger Based on the ATLAS Muon Drift Tube Chambers With High Momentum Resolution for LHC Phase II

    CERN Document Server

    Richter, R; The ATLAS collaboration; Ott, S; Kortner, O; Fras, M; Gabrielyan, V; Danielyan, V; Fink, D; Nowak, S; Schwegler, P; Abovyan, S

    2014-01-01

    The Level-1 (L1) trigger for muons with high transverse momentum (pT) in ATLAS is based on chambers with excellent time resolution, able to identify muons coming from a particular beam crossing. These trigger chambers also provide a fast pT-measurement of the muons, the accuracy of the measurement being limited by the moderate spatial resolution of the chambers along the deflecting direction of the magnetic field (eta-coordinate). The higher luminosity foreseen for Phase-II puts stringent limits on the L1 trigger rates, and a way to control these rates would be to improve the spatial resolution of the triggering system, drastically sharpening the turn-on curve of the L1 trigger. To do this, the precision tracking chambers (MDT) can be used in the L1 trigger, provided the corresponding trigger latency is increased as foreseen. The trigger rate reduction is accomplished by strongly decreasing the rate of triggers from muons with pT lower than a predefined threshold (typically 20 GeV), which would otherwise trig...

  9. Digital Filtering Performance in the ATLAS Level-1 Calorimeter Trigger

    CERN Document Server

    Hadley, D R; The ATLAS collaboration

    2010-01-01

    The ATLAS Level-1 Calorimeter Trigger is a hardware-based system designed to identify high-pT jets, elec- tron/photon and tau candidates, and to measure total and missing ET in the ATLAS Liquid Argon and Tile calorimeters. It is a pipelined processor system, with a new set of inputs being evaluated every 25ns. The overall trigger decision has a latency budget of 2µs, including all transmission delays. The calorimeter trigger uses about 7200 reduced granularity analogue signals, which are first digitized at the 40 MHz LHC bunch-crossing frequency, before being passed to a digital Finite Impulse Re- sponse (FIR) filter. Due to latency and chip real-estate constraints, only a simple 5-element filter with limited precision can be used. Nevertheless, this filter achieves a significant reduction in noise, along with improving the bunch-crossing assignment and energy resolution for small signals. The context in which digital filters are used for the ATLAS Level-1 Calorimeter Trigger is presented, before descr...

  10. ALICE high-level trigger readout and FPGA processing in Run 2

    Energy Technology Data Exchange (ETDEWEB)

    Engel, Heiko; Kebschull, Udo [IRI, Goethe-Universitaet Frankfurt (Germany); Collaboration: ALICE-Collaboration

    2016-07-01

    The ALICE experiment uses the optical Detector Data Link (DDL) protocol to connect the detectors to the computing clusters of Data Acquisition (DAQ) and High-Level Trigger (HLT). The interfaces between the clusters and the optical links are realized with FPGA boards. HLT has replaced all of its interface boards with the Common Read-Out Receiver Card (C-RORC) for Run 2. This enables the read-out of detectors at higher link rates and allows to extend the data pre-processing capabilities, like online cluster finding, already in the FPGA. The C-RORC is integrated transparently into the existing HLT data transport framework and the cluster monitoring and management infrastructure. The board is in use since the start of LHC Run 2 and all ALICE data from and to HLT as well as all data from the TPC and the TRD is handled by C-RORCs. This contribution gives an overview on the firmware and software status of the C-RORC in the HLT.

  11. Self-testing through EPR-steering

    International Nuclear Information System (INIS)

    Šupić, Ivan; Hoban, Matty J

    2016-01-01

    The verification of quantum devices is an important aspect of quantum information, especially with the emergence of more advanced experimental implementations of quantum computation and secure communication. Within this, the theory of device-independent robust self-testing via Bell tests has reached a level of maturity now that many quantum states and measurements can be verified without direct access to the quantum systems: interaction with the devices is solely classical. However, the requirements for this robust level of verification are daunting and require high levels of experimental accuracy. In this paper we discuss the possibility of self-testing where we only have direct access to one part of the quantum device. This motivates the study of self-testing via EPR-steering, an intermediate form of entanglement verification between full state tomography and Bell tests. Quantum non-locality implies EPR-steering so results in the former can apply in the latter, but we ask what advantages may be gleaned from the latter over the former given that one can do partial state tomography? We show that in the case of self-testing a maximally entangled two-qubit state, or ebit, EPR-steering allows for simpler analysis and better error tolerance than in the case of full device-independence. On the other hand, this improvement is only a constant improvement and (up to constants) is the best one can hope for. Finally, we indicate that the main advantage in self-testing based on EPR-steering could be in the case of self-testing multi-partite quantum states and measurements. For example, it may be easier to establish a tensor product structure for a particular party’s Hilbert space even if we do not have access to their part of the global quantum system. (paper)

  12. Fundamental X-mode electron cyclotron current drive using remote-steering symmetric direction antenna at larger steering angles

    International Nuclear Information System (INIS)

    Idei, H.; Hanada, K.; Zushi, H.; Sato, K.N.; Sakamoto, M.; Iyomasa, A.; Kawasaki, S.; Nakashima, H.; Higashijima, A.; Ohkubo, K.; Kubo, S.; Shimozuma, T.; Ito, S.; Hasegawa, M.; Nakamura, K.; Notake, T.; Hoshika, H.; Maezono, N.; Nishi, S.; Nakashima, K.

    2005-01-01

    A remote steering antenna has been newly developed for Electron Cyclotron Heating and Current Drive (ECH/ECCD) experiments on the TRIAM-1M tokamak. It is a first application of the remote steering antenna to the ECH/ECCD experiments under the conditions relevant to International Thermonuclear Experimental Reactor. Our launcher is a symmetric direction antenna with extended steering capability. The larger steering angles of 8-19 degrees are available, in addition to that near 0 degree. The output beam from the antenna is the well-defined Gaussian beam with a correct steering angle. The Gaussian content and the steering angle accuracy are 0.85 and -0.3 degrees, respectively. Antenna transmission efficiency in the high power test is evaluated as 0.95. The efficiencies at the low and high power tests are consistent with those in the calculation with higher-order modes. The difference between plasma currents increased at co- and counter-steering injections [+/-19 degrees] is clearly observed in the superposition to the Lower Hybrid Current Driven (LHCD) plasma of the fundamental X-mode injection. (author)

  13. The ATLAS Level-1 Muon to Central Trigger Processor Interface

    CERN Document Server

    Berge, D; Farthouat, P; Haas, S; Klofver, P; Krasznahorkay, A; Messina, A; Pauly, T; Schuler, G; Spiwoks, R; Wengler, T; PH-EP

    2007-01-01

    The Muon to Central Trigger Processor Interface (MUCTPI) is part of the ATLAS Level-1 trigger system and connects the output of muon trigger system to the Central Trigger Processor (CTP). At every bunch crossing (BC), the MUCTPI receives information on muon candidates from each of the 208 muon trigger sectors and calculates the total multiplicity for each of six transverse momentum (pT) thresholds. This multiplicity value is then sent to the CTP, where it is used together with the input from the Calorimeter trigger to make the final Level-1 Accept (L1A) decision. In addition the MUCTPI provides summary information to the Level-2 trigger and to the data acquisition (DAQ) system for events selected at Level-1. This information is used to define the regions of interest (RoIs) that drive the Level-2 muontrigger processing. The MUCTPI system consists of a 9U VME chassis with a dedicated active backplane and 18 custom designed modules. The design of the modules is based on state-of-the-art FPGA devices and special ...

  14. The Phase-I Upgrade of the ATLAS First Level Calorimeter Trigger

    CERN Document Server

    Andrei, George Victor; The ATLAS collaboration

    2017-01-01

    The ATLAS Level-1 calorimeter trigger is planning a series of upgrades in order to face the challenges posed by the upcoming increase of the LHC luminosity. The upgrade will benefit from new front-end electronics for parts of the calorimeter that provide the trigger system with digital data with a tenfold increase in granularity. This makes possible the implementation of more efficient algorithms than currently used to maintain the low trigger thresholds at much harsher LHC collision conditions. The Level-1 calorimeter system upgrade consists of an active and a passive system for digital data distribution, and three different Feature Extractor systems which run complex algorithms to identify various physics object candidates. The algorithms are implemented in firmware on custom electronics boards with up to four high speed processing FPGAs. The main characteristics of the electronic boards are a high input bandwidth, up to several TB/s per module, implemented through optical receivers, and a large number of o...

  15. High-voltage high-current triggering vacuum switch

    International Nuclear Information System (INIS)

    Alferov, D.F.; Bunin, R.A.; Evsin, D.V.; Sidorov, V.A.

    2012-01-01

    Experimental investigations of switching and breaking capacities of the new high current triggered vacuum switch (TVS) are carried out at various parameters of discharge current. It has been shown that the high current triggered vacuum switch TVS can switch repeatedly a current from units up to ten kiloampers with duration up to ten millisecond [ru

  16. The Topological Processor for the future ATLAS Level-1 Trigger: from design to commissioning

    CERN Document Server

    Simioni, E; The ATLAS collaboration

    2014-01-01

    The ATLAS experiment is located at the European Centre for Nuclear Research (CERN) in Switzerland. It is designed to measure decay properties of highly energetic particles produced in the protons collisions at the Large Hadron Collider (LHC). The LHC has a beam collision frequency of 40 MHz, and thus requires a trigger system to efficiently select events, thereby reducing the storage rate to a manageable level of about 400 Hz. Event triggering is therefore one of the extraordinary challenges faced by the ATLAS detector. The Level-1 Trigger is the first rate-reducing step in the ATLAS Trigger, with an output rate of 75kHz and decision latency of less than 2.5 s. It is primarily composed of the Calorimeter Trigger, Muon Trigger, the Central Trigger Processor (CTP). Due to the increase in the LHC instantaneous luminosity up 3 x 10^34/cm2 s from 2015 onwards, a new element will be included in the Level-1 Trigger scheme: the Topological Processor (L1Topo). The L1Topo receives data in a specialized format from the ...

  17. Performance of the ATLAS first-level Trigger with first LHC Data

    CERN Document Server

    Lundberg, J; The ATLAS collaboration

    2010-01-01

    ATLAS is one of the two general-purpose detectors at the Large Hadron Collider (LHC). Its trigger system must reduce the anticipated proton collision rate of up to 40 MHz to a recordable event rate of 100-200 Hz. This is realized through a multi-level trigger system. The first-level trigger is implemented with custom-built electronics and makes an initial selection which reduces the rate to less than 100 kHz. The subsequent trigger selection is done in software run on PC farms. The first-level trigger decision is made by the central-trigger processor using information from coarse grained calorimeter information, dedicated muon-trigger detectors, and a variety of additional trigger inputs from detectors in the forward regions. We present the performance of the first-level trigger during the commissioning of the ATLAS detector during early LHC running. We cover the trigger strategies used during the different machine commissioning phases from first circulating beams and splash events to collisions. It is descri...

  18. Demand side management using profile steering

    NARCIS (Netherlands)

    Gerards, Marco Egbertus Theodorus; Toersche, Hermen; Hoogsteen, Gerwin; van der Klauw, Thijs; Hurink, Johann L.; Smit, Gerardus Johannes Maria

    2015-01-01

    Many Demand Side Management (DSM) approaches use energy prices as steering signals. This paper shows that such steering signals may result in power quality problems and high losses. As an alternative, this paper proposes to use desired (e.g., flat) power profiles as steering signals and presents an

  19. Level-1 track trigger for the upgrade of the CMS detector at HL-LHC

    CERN Document Server

    Ahuja, Sudha

    2016-01-01

    The Compact Muon Solenoid (CMS) experiment at the Large Hadron Collider (LHC) studies proton-proton collisions at a centre-of-mass energy of 13 TeV. With the LHC colliding proton bunches every 25 nanoseconds, the volume and rate of raw data produced by the detector are much larger than what can be read out, recorded, and reconstructed. Therefore, an efficient trigger system is required to identify events of interest in real time and to reduce the rate of events to a manageable level for later software reconstruction. The CMS trigger system consists of two processing stages, a level-1 (L1) hardware trigger and a high level software trigger. The current L1 trigger decision relies solely on calorimetric and muon system information. During the High Luminosity LHC (HL-LHC) era, the instantaneous luminosity of the collider is expected to increase by approximately an order of magnitude, resulting in a significantly larger number of collisions per bunch crossing than observed in the current run. In order to preserve ...

  20. A first-level calorimeter trigger for the ATLAS experiment

    International Nuclear Information System (INIS)

    Perera, V.; Edwards, J.; Gee, N.

    1995-01-01

    In the RD27 collaboration the authors have carried out system studies on the implementation of the first level calorimeter trigger processor system for the ATLAS experiment to be mounted at the Large Hadron Collider (LHC) at CERN. A demonstrator trigger system operated successfully with the RD3 and RD33 calorimeters at the full 40 MHz LHC bunch crossing (BC) rate. The prototype application-specific integrated circuits (ASICs) in this system each processed data from only a single trigger cell and its environment, which would lead to an extremely large system for ATLAS. Using eight-bit parallel data even the use of ASICs, processing multiple trigger cells would demand unacceptably large numbers of input pins and module connections. Initial studies of this I/O problem produced a solution based on asynchronous transmission of zero-suppressed and BC-tagged data on 160 Mbit/s serial links. This approach appeared to be feasible but would have introduced additional latency of about 20 BCs. Further studies have led to the design of a fully-synchronous calorimeter trigger processor system using commercial high-speed optical links. The links will terminate in multi-chip modules (MCMs) incorporating custom-designed integrated optics, and the trigger algorithms will be implemented in ASICs

  1. Upgrade of the ATLAS Level-1 trigger with an FPGA based Topological Processor

    CERN Document Server

    Caputo, R; The ATLAS collaboration; Buescher, V; Degele, R; Kiese, P; Maldaner, S; Reiss, A; Schaefer, U; Simioni, E; Tapprogge, S; Urrejola, P

    2013-01-01

    The ATLAS experiment is located at the European Centre for Nuclear Research (CERN) in Switzerland. It is designed to measure decay properties of high energetic particles produced in the protons collisions at the Large Hadron Collider (LHC). The LHC has a proton collision at a frequency of 40 MHz, and thus requires a trigger system to efficiently select events down to a manageable event storage rate of about 400Hz. Event triggering is therefore one of the extraordinary challenges faced by the ATLAS detector. The Level-1 Trigger is the first rate-reducing step in the ATLAS Trigger, with an output rate of 75kHz and decision latency of less than 2.5$\\mu$s. It is primarily composed of the Calorimeter Trigger, Muon Trigger, the Central Trigger Processor (CTP). Due to the increase in the LHC instantaneous luminosity up to 3$\\times$10$^{34}$ cm$^{−2}$ s$^{−1}$ from 2015 onwards, a new element will be included in the Level-1 Trigger scheme: the Topological Processor (L1Topo). The L1Topo receives data in a dedicate...

  2. Hardware-based Tracking at Trigger Level for ATLAS: The Fast TracKer (FTK) Project

    CERN Document Server

    Gramling, Johanna; The ATLAS collaboration

    2015-01-01

    Physics collisions at 13 TeV are expected at the LHC with an average of 40-50 proton-proton collisions per bunch crossing. Tracking at trigger level is an essential tool to control the rate in high-pileup conditions while maintaining a good efficiency for relevant physics processes. The Fast TracKer (FTK) is an integral part of the trigger upgrade for the ATLAS detector. For every event passing the Level 1 trigger (at a maximum rate of 100 kHz) the FTK receives data from the 80 million channels of the silicon detectors, providing tracking information to the High Level Trigger in order to ensure a selection robust against pile-up. The FTK performs a hardware- based track reconstruction, using associative memory (AM) that is based on the use of a custom chip, designed to perform pattern matching at very high speed. It finds track candidates at low resolution (roads) that seed a full-resolution track fitting done by FPGAs. Narrow roads permit a fast track fitting but need many patterns stored in the AM to ensure...

  3. Hardware-based Tracking at Trigger Level for ATLAS the Fast TracKer (FTK) Project

    CERN Document Server

    INSPIRE-00245767

    2015-01-01

    Physics collisions at 13 TeV are expected at the LHC with an average of 40-50 proton-proton collisions per bunch crossing under nominal conditions. Tracking at trigger level is an essential tool to control the rate in high-pileup conditions while maintaining a good efficiency for relevant physics processes. The Fast TracKer is an integral part of the trigger upgrade for the ATLAS detector. For every event passing the Level-1 trigger (at a maximum rate of 100 kHz) the FTK receives data from all the channels of the silicon detectors, providing tracking information to the High Level Trigger in order to ensure a selection robust against pile-up. The FTK performs a hardware-based track reconstruction, using associative memory that is based on the use of a custom chip, designed to perform pattern matching at very high speed. It finds track candidates at low resolution (roads) that seed a full-resolution track fitting done by FPGAs. An overview of the FTK system with focus on the pattern matching procedure will be p...

  4. High-performance beam steering using electrowetting-driven liquid prism fabricated by a simple dip-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Enrico Clement, Carlos; Park, Sung-Yong, E-mail: mpeps@nus.edu.sg [Department of Mechanical Engineering, National University of Singapore, Block EA, #07-08, 9 Engineering Drive 1, 117576 (Singapore)

    2016-05-09

    A high degree of beam steering is demonstrated using an electrowetting-driven liquid prism. While prism devices have typically relied on complex and expensive laboratory setups, such as high-vacuum facilities for fabrication of dielectric layers, this work utilizes a simple dip-coating method to provide an ion gel layer as a dielectric, offering 2 or 3 orders higher specific capacitance (c ≈ 10 μF/cm{sup 2}) than that of conventional dielectrics. Analytical studies present the effects of liquid selection and arrangement on overall prism performance. For experimental demonstrations of high-performance beam steering, we not only selected two immiscible liquids of water and 1-bromonaphthalene (1-BN) oil which provide the large refractive index difference (n{sub water} = 1.33 and n{sub 1-BN} = 1.65 at λ = 532 nm) between them, but also utilized a double-stacked prism configuration which increases the number of interfaces for incoming light to be steered. At a prism apex angle of φ = 27°, we were able to achieve significantly large beam steering of up to β = 19.06°, which is the highest beam steering performance ever demonstrated using electrowetting technology.

  5. Proposal for a level 0 calorimeter trigger system for LHCb

    CERN Document Server

    Bertin, A; Capponi, M; D'Antone, I; De Castro, S; Donà, R; Galli, D; Giacobbe, B; Marconi, U; Massa, I; Piccinini, M; Poli, M; Semprini-Cesari, N; Spighi, R; Vecchi, S; Villa, M; Vitale, A; Zoccoli, A; Zoccoli, Antonio

    1999-01-01

    In this note we present a complete system for the Level-0 LHCb calorimeter triggers. The system is derived from the electromagnetic calorimeter pre-trigger developed for the HERA-B experiment. The proposed system follows closely the Level-0 trigger algorithms presented in the LHCb Technical Proposal based on an electromagnetic and hadronic showers analysis performed on 3x3 calorimeter matrix. The general architecture presented is completely synchronous and quite flexible to allow adaptation to further improvements on the Level-0 trigger algorithms.

  6. Development of a Computational Steering Framework for High Performance Computing Environments on Blue Gene/P Systems

    KAUST Repository

    Danani, Bob K.

    2012-07-01

    Computational steering has revolutionized the traditional workflow in high performance computing (HPC) applications. The standard workflow that consists of preparation of an application’s input, running of a simulation, and visualization of simulation results in a post-processing step is now transformed into a real-time interactive workflow that significantly reduces development and testing time. Computational steering provides the capability to direct or re-direct the progress of a simulation application at run-time. It allows modification of application-defined control parameters at run-time using various user-steering applications. In this project, we propose a computational steering framework for HPC environments that provides an innovative solution and easy-to-use platform, which allows users to connect and interact with running application(s) in real-time. This framework uses RealityGrid as the underlying steering library and adds several enhancements to the library to enable steering support for Blue Gene systems. Included in the scope of this project is the development of a scalable and efficient steering relay server that supports many-to-many connectivity between multiple steered applications and multiple steering clients. Steered applications can range from intermediate simulation and physical modeling applications to complex computational fluid dynamics (CFD) applications or advanced visualization applications. The Blue Gene supercomputer presents special challenges for remote access because the compute nodes reside on private networks. This thesis presents an implemented solution and demonstrates it on representative applications. Thorough implementation details and application enablement steps are also presented in this thesis to encourage direct usage of this framework.

  7. The effect of cognitive load on adaptation to differences in steering wheel force feedback level

    NARCIS (Netherlands)

    Anand, S.; Terken, J.; Hogema, J.

    2013-01-01

    In an earlier study it was found that drivers can adjust quickly to different force feedback levels on the steering wheel, even for such extreme levels as zero feedback. It was hypothesized that, due to lack of cognitive load, participants could easily and quickly learn how to deal with extreme

  8. ATLAS Level-1 Calorimeter Trigger: Initial Timing and Energy Calibration

    CERN Document Server

    Childers, J T; The ATLAS collaboration

    2010-01-01

    The ATLAS Level-1 Calorimeter Trigger identifies high-pT objects in the Liquid Argon and Tile Calorimeters with a fixed latency of ~2.0 µs using a hardware-based, pipelined system built with custom electronics. The Preprocessor Module conditions and digitizes about 7200 pre-summed analogue signals from the calorimeters at the LHC bunch-crossing frequency of 40 MHz, and performs bunch-crossing identification (BCID) and deposited energy measurement for each input signal. This information is passed to further processors for object classification and total energy calculation, and the results used to make the Level-1 trigger decision for the ATLAS detector. The BCID and energy measurement in the trigger depend on precise timing adjustment to achieve correct sampling of the input signal peak. Test pulses from the calorimeters were analysed to derive the initial timing and energy calibration, and first data from the LHC restart in autumn 2009 and early 2010 were used for validation and further optimization. The res...

  9. The First Level Trigger of JEM-EUSO: Concept and tests

    Energy Technology Data Exchange (ETDEWEB)

    Bertaina, M., E-mail: bertaina@to.infn.it [Department of Physics, University of Torino & INFN Torino, Torino (Italy); Caruso, R. [Department of Physics, University of Catania & INFN Catania, Catania (Italy); Catalano, O. [INAF-IASF Palermo & INFN Catania, Palermo (Italy); Contino, G. [Department of Physics, University of Catania & INFN Catania, Catania (Italy); Fenu, F.; Mignone, M.; Mulas, R. [Department of Physics, University of Torino & INFN Torino, Torino (Italy)

    2016-07-11

    The trigger system of JEM-EUSO is designed to meet specific challenging requirements. These include managing a large number of pixels (~3·10{sup 5}) and using a very fast, low power consuming, and radiation hard electronics. It must achieve a high signal-to-noise performance and flexibility and cope with the limited down-link transmission rate from the International Space Station (ISS) to Earth. The general overview of the First Level Trigger for cosmic ray detection is reviewed; tests that validate its performance are discussed.

  10. A Frictionless Steering Mechanism for the Front Steering ECCD ITER Upper Port Launcher

    International Nuclear Information System (INIS)

    Chavan, R; Henderson, M A; Sanchez, F

    2005-01-01

    A FS launcher is being designed for the ITER upper port, which offers enhanced physics performance over the RS launcher. A two mirror system is used to decouple the focusing and steering aspects of the launcher and provide a relatively small beam waist ( 1.6 m from the steering mirror). The resulting NTM stabilization efficiency (maximum CD density divided by the local bootstrap current >1.6) is above marginal for the q = 2 and 3/2 rational flux surfaces of the relevant ITER equilibria (scenarios 2, 3a and 5) and a factor of ∼3 relative to an equivalent RS launcher. The performance of the FS launcher strongly depends on the reliability of the steering mechanism, which is used to rotate the plasma facing steering mirror. CRPP has designed a frictionless steering mechanism assembled in a compact cartridge capable of up to ±10 deg. rotation (corresponding to a poloidal steering range of up to ±20 deg. for the microwave beam around a fixed axis of rotation) that offers a high operation reliability despite the close proximity to the thermal and neutron flux coming from the ITER plasma

  11. The Digital Algorithm Processors for the ATLAS Level-1 Calorimeter Trigger

    CERN Document Server

    Silverstein, S

    2010-01-01

    The ATLAS Level-1 Calorimeter Trigger identifies high-ET jets, electrons/photons and hadrons and measures total and missing transverse energy in proton-proton collisions at the Large Hadron Collider. Two subsystems – the Jet/Energy-sum Processor (JEP) and the Cluster Processor(CP) – process data from every crossing, and report feature multiplicities and energy sums to the ATLAS Central Trigger Processor, which produces a Level-1 Accept decision. Locations and types of identified features are read out to the Level-2 Trigger as regions-of-interest, and quality-monitoring information is read out to the ATLAS data acquisition system. The JEP and CP subsystems share a great deal of common infrastructure, including a custom backplane, several common hardware modules, and readout hardware. Some of the common modules use FPGAs with selectable firmware configurations based on the location in the system. This approach saved substantial development effort and provided a uniform model for software development. We pre...

  12. The Digital Algorithm Processors for the ATLAS Level-1 Calorimeter Trigger

    CERN Document Server

    Silverstein, S; The ATLAS collaboration

    2009-01-01

    The ATLAS Level-1 Calorimeter Trigger identifies high-ET jets, electrons/photons and hadrons and measures total and missing transverse energy in proton-proton collisions at the Large Hadron Collider. Two subsystems – the Jet/Energy-sum Processor (JEP) and the Cluster Processor(CP) – process data from every crossing, and report feature multiplicities and energy sums to the ATLAS Central Trigger Processor, which produces a Level-1 Accept decision. Locations and types of identified features are read out to the Level-2 Trigger as regions-of-interest, and quality-monitoring information is read out to the ATLAS data acquisition system. The JEP and CP subsystems share a great deal of common infrastructure, including a custom backplane, several common hardware modules, and readout hardware. Some of the common modules use FPGAs with selectable firmware configurations based on the location in the system. This approach saved substantial development effort and provided a uniform model for software development. We pre...

  13. Trigger performance of mid-level ICU mechanical ventilators during assisted ventilation: a bench study.

    Science.gov (United States)

    Ferreira, Juliana C; Chipman, Daniel W; Kacmarek, Robert M

    2008-09-01

    To compare the triggering performance of mid-level ICU mechanical ventilators with a standard ICU mechanical ventilator. Experimental bench study. The respiratory care laboratory of a university-affiliated teaching hospital. A computerized mechanical lung model, the IngMar ASL5000. Ten mid-level ICU ventilators were compared to an ICU ventilator at two levels of lung model effort, three combinations of respiratory mechanics (normal, COPD and ARDS) and two modes of ventilation, volume and pressure assist/control. A total of 12 conditions were compared. Performance varied widely among ventilators. Mean inspiratory trigger time was ventilators. The mean inspiratory delay time (time from initiation of the breath to return of airway pressure to baseline) was longer than that for the ICU ventilator for all tested ventilators except one. The pressure drop during triggering (Ptrig) was comparable with that of the ICU ventilator for only two ventilators. Expiratory Settling Time (time for pressure to return to baseline) had the greatest variability among ventilators. Triggering differences among these mid-level ICU ventilators and with the ICU ventilator were identified. Some of these ventilators had a much poorer triggering response with high inspiratory effort than the ICU ventilator. These ventilators do not perform as well as ICU ventilators in patients with high ventilatory demand.

  14. Level-3 Calorimetric Resolution available for the Level-1 and Level-2 CDF Triggers

    CERN Document Server

    Canepa, A.; Liu, T.; Cortiana, G.; Flanagan, G.; Frisch, H.; Krop, D.; Pilcher, C.; Rusu, V.; Cavaliere, V.; Greco, V.; Giannetti, P.; Piendibene, M.; Sartori, L.; Vidal, Miguel

    2008-01-01

    As the Tevatron luminosity increases sophisticated selections are required to be efficient in selecting rare events among a very huge background. To cope with this problem, CDF has pushed the offline calorimeter algorithm reconstruction resolution up to Level 2 and, when possible, even up to Level 1, increasing efficiency and, at the same time, keeping under control the rates. The CDF Run II Level 2 calorimeter trigger is implemented in hardware and is based on a simple algorithm that was used in Run I. This system has worked well for Run II at low luminosity. As the Tevatron instantaneous luminosity increases, the limitation due to this simple algorithm starts to become clear: some of the most important jet and MET (Missing ET) related triggers have large growth terms in cross section at higher luminosity. In this paper, we present an upgrade of the Level 2 Calorimeter system which makes the calorimeter trigger tower information available directly to a CPU allowing more sophisticated algorithms to be impleme...

  15. The new Level-1 Topological Trigger for the ATLAS experiment at the Large Hadron Collider

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00047907; The ATLAS collaboration

    2017-01-01

    At the CERN Large Hadron Collider, the world’s most powerful particle accelerator, the ATLAS experiment records high-energy proton collision to investigate the properties of fundamental particles. These collisions take place at a 40 MHz, and the ATLAS trigger system selects the interesting ones, reducing the rate to 1 kHz, allowing for their storage and subsequent offline analysis. The ATLAS trigger system is organized in two levels, with increasing degree of details and of accuracy. The first level trigger reduces the event rate to 100 kHz with a decision latency of less than 2.5 micro seconds. It is composed of the calorimeter trigger, muon trigger and central trigger processor. A new component of the first-level trigger was introduced in 2015: the Topological Processor (L1Topo). It allows to use detailed real-time information from the Level-1 calorimeter and muon systems, to compute advanced kinematic quantities using state of the art FPGA processors, and to select interesting events based on several com...

  16. Effect of different levels of concentrate on ruminal microorganisms and rumen fermentation in Nellore steers.

    Science.gov (United States)

    Granja-Salcedo, Yury T; Ribeiro Júnior, Carlos S; de Jesus, Raphael B; Gomez-Insuasti, Arturo S; Rivera, Astrid R; Messana, Juliana D; Canesin, Roberta C; Berchielli, Telma T

    2016-01-01

    The aim of this study was to investigate the effect of different dietary levels of concentrate on feed intake, digestibility, ruminal fermentation and microbial population in steers. Eight Nellore steers fitted with ruminal cannulas were used in a double 4 × 4 Latin square design experiment. The dietary treatments consist of four different proportions of concentrate to roughage: 30:70, 40:60, 60:40 and 80:20% in the dry matter, resulting in Diets 30, 40, 60 and 80, respectively. The roughage was corn silage, and the concentrate was composed of corn, soybean meal and urea. Apparent digestibility of organic matter and crude protein showed a linear association with concentrate proportion (p = 0.01), but the increased concentrate levels did not affect the digestibility of fibre. The lowest ruminal pH-values were observed in animals fed with Diet 80, remaining below pH 6.0 from 6 h after feeding, while in the other diets, the ruminal pH was below 6.0 not before 12 h after feeding. After feeding Diet 80, the ammonia concentration in the rumen was significantly the highest. Higher dietary concentrate levels resulted in a linear increase of propionic acid concentrations, a linear reduction of the ratio acetic acid to propionic acid (p ruminal pH-values as much as expected and inhibit some cellulolytic bacteria without impairing the dry matter intake and fibre digestibility in Nellore steers.

  17. Should drivers be operating within an automation-free bandwidth? Evaluating haptic steering support systems with different levels of authority.

    Science.gov (United States)

    Petermeijer, Sebastiaan M; Abbink, David A; de Winter, Joost C F

    2015-02-01

    The aim of this study was to compare continuous versus bandwidth haptic steering guidance in terms of lane-keeping behavior, aftereffects, and satisfaction. An important human factors question is whether operators should be supported continuously or only when tolerance limits are exceeded. We aimed to clarify this issue for haptic steering guidance by investigating costs and benefits of both approaches in a driving simulator. Thirty-two participants drove five trials, each with a different level of haptic support: no guidance (Manual); guidance outside a 0.5-m bandwidth (Band1); a hysteresis version of Band1, which guided back to the lane center once triggered (Band2); continuous guidance (Cont); and Cont with double feedback gain (ContS). Participants performed a reaction time task while driving. Toward the end of each trial, the guidance was unexpectedly disabled to investigate aftereffects. All four guidance systems prevented large lateral errors (>0.7 m). Cont and especially ContS yielded smaller lateral errors and higher time to line crossing than Manual, Band1, and Band2. Cont and ContS yielded short-lasting aftereffects, whereas Band1 and Band2 did not. Cont yielded higher self-reported satisfaction and faster reaction times than Band1. Continuous and bandwidth guidance both prevent large driver errors. Continuous guidance yields improved performance and satisfaction over bandwidth guidance at the cost of aftereffects and variability in driver torque (indicating human-automation conflicts). The presented results are useful for designers of haptic guidance systems and support critical thinking about the costs and benefits of automation support systems.

  18. The first-level muon trigger system advances

    CERN Multimedia

    Ellis, N.

    2006-01-01

    Important advances have been made in the last few months in the first-level muon trigger, both for the barrel system and for the endcap system, in a close collaboration between the detector and trigger-electronics groups for the RPCs (Resistive-Plate Chambers) and TGCs (Thin-Gap Chambers). These trigger systems are crucial for the success of the muon-related physics programme of the experiment; events that are not triggered will be lost forever, and the trigger chambers also provide the second coordinate for the reconstruction of muons that are only measured in the bending plane by the MDT detectors. Integration and installation of the barrel muon trigger electronics on the RPC detectors is in full swing. The on-detector electronics consists of more than 800 units each of "Splitter" and "Pad" boxes which have been tested and integrated by a team of physicists, engineers and technicians from Italy and Romania. This work will continue for a further few months until the complete system has been installed and so...

  19. Level-1 $\\tau$ trigger performance in 2017 data

    CERN Document Server

    CMS Collaboration

    2018-01-01

    In 2017, the LHC achieved an instantaneous luminosity of 2.06x10$^{34}$cm$^{-2}$s$^{-1}$, and a peak average pile-up of more than 50. This document describes the performance of the CMS Level-1 calorimeter trigger for $\\tau$ leptons using 40.9 fb$^{-1}$ of 2017 data. Details of the Level-1 trigger algorithms can be found in CMS-DP-2015-009. The previous Level-1 $\\tau$ performance report can be found in CMS-DP-2017-022.

  20. The CMS Level-1 tau lepton and Vector Boson Fusion triggers for the LHC Run II

    CERN Document Server

    Amendola, Chiara

    2017-01-01

    The CMS experiment implements a sophisticated two-level triggering system composed of Level-1, instrumented by custom-design hardware boards, and a software High-Level-Trigger. A new Level-1 trigger architecture with improved performance is now being used to maintain the thresholds that were used in LHC Run I for the more challenging luminosity conditions experienced during Run II. The upgrades to the calorimetry trigger will be described along with performance data. The algorithms for the selection of final states with tau leptons, both for precision measurements and for searches of new physics beyond the Standard Model, will be described in detail. The implementation of the first dedicated Vector Boson Fusion trigger algorithm will be presented as well, along with its performance on benchmark physics signals.

  1. Performance of the CMS Level-1 Trigger during Commissioning with Cosmic Ray Muons and LHC beams

    CERN Document Server

    Chatrchyan, S; Sirunyan, A M; Adam, W; Arnold, B; Bergauer, H; Bergauer, T; Dragicevic, M; Eichberger, M; Erö, J; Friedl, M; Frühwirth, R; Ghete, V M; Hammer, J; Hänsel, S; Hoch, M; Hörmann, N; Hrubec, J; Jeitler, M; Kasieczka, G; Kastner, K; Krammer, M; Liko, D; Magrans de Abril, I; Mikulec, I; Mittermayr, F; Neuherz, B; Oberegger, M; Padrta, M; Pernicka, M; Rohringer, H; Schmid, S; Schöfbeck, R; Schreiner, T; Stark, R; Steininger, H; Strauss, J; Taurok, A; Teischinger, F; Themel, T; Uhl, D; Wagner, P; Waltenberger, W; Walzel, G; Widl, E; Wulz, C E; Chekhovsky, V; Dvornikov, O; Emeliantchik, I; Litomin, A; Makarenko, V; Marfin, I; Mossolov, V; Shumeiko, N; Solin, A; Stefanovitch, R; Suarez Gonzalez, J; Tikhonov, A; Fedorov, A; Karneyeu, A; Korzhik, M; Panov, V; Zuyeuski, R; Kuchinsky, P; Beaumont, W; Benucci, L; Cardaci, M; De Wolf, E A; Delmeire, E; Druzhkin, D; Hashemi, M; Janssen, X; Maes, T; Mucibello, L; Ochesanu, S; Rougny, R; Selvaggi, M; Van Haevermaet, H; Van Mechelen, P; Van Remortel, N; Adler, V; Beauceron, S; Blyweert, S; D'Hondt, J; De Weirdt, S; Devroede, O; Heyninck, J; Kalogeropoulos, A; Maes, J; Maes, M; Mozer, M U; Tavernier, S; Van Doninck, W; Van Mulders, P; Villella, I; Bouhali, O; Chabert, E C; Charaf, O; Clerbaux, B; De Lentdecker, G; Dero, V; Elgammal, S; Gay, A P R; Hammad, G H; Marage, P E; Rugovac, S; Vander Velde, C; Vanlaer, P; Wickens, J; Grunewald, M; Klein, B; Marinov, A; Ryckbosch, D; Thyssen, F; Tytgat, M; Vanelderen, L; Verwilligen, P; Basegmez, S; Bruno, G; Caudron, J; Delaere, C; Demin, P; Favart, D; Giammanco, A; Grégoire, G; Lemaitre, V; Militaru, O; Ovyn, S; Piotrzkowski, K; Quertenmont, L; Schul, N; Beliy, N; Daubie, E; Alves, G A; Pol, M E; Souza, M H G; Carvalho, W; De Jesus Damiao, D; De Oliveira Martins, C; Fonseca De Souza, S; Mundim, L; Oguri, V; Santoro, A; Silva Do Amaral, S M; Sznajder, A; Fernandez Perez Tomei, T R; Ferreira Dias, M A; Gregores, E M; Novaes, S F; Abadjiev, K; Anguelov, T; Damgov, J; Darmenov, N; Dimitrov, L; Genchev, V; Iaydjiev, P; Piperov, S; Stoykova, S; Sultanov, G; Trayanov, R; Vankov, I; Dimitrov, A; Dyulendarova, M; Kozhuharov, V; Litov, L; Marinova, E; Mateev, M; Pavlov, B; Petkov, P; Toteva, Z; Chen, G M; Chen, H S; Guan, W; Jiang, C H; Liang, D; Liu, B; Meng, X; Tao, J; Wang, J; Wang, Z; Xue, Z; Zhang, Z; Ban, Y; Cai, J; Ge, Y; Guo, S; Hu, Z; Mao, Y; Qian, S J; Teng, H; Zhu, B; Avila, C; Baquero Ruiz, M; Carrillo Montoya, C A; Gomez, A; Gomez Moreno, B; Ocampo Rios, A A; Osorio Oliveros, A F; Reyes Romero, D; Sanabria, J C; Godinovic, N; Lelas, K; Plestina, R; Polic, D; Puljak, I; Antunovic, Z; Dzelalija, M; Brigljevic, V; Duric, S; Kadija, K; Morovic, S; Fereos, R; Galanti, M; Mousa, J; Papadakis, A; Ptochos, F; Razis, P A; Tsiakkouri, D; Zinonos, Z; Hektor, A; Kadastik, M; Kannike, K; Müntel, M; Raidal, M; Rebane, L; Anttila, E; Czellar, S; Härkönen, J; Heikkinen, A; Karimäki, V; Kinnunen, R; Klem, J; Kortelainen, M J; Lampén, T; Lassila-Perini, K; Lehti, S; Lindén, T; Luukka, P; Mäenpää, T; Nysten, J; Tuominen, E; Tuominiemi, J; Ungaro, D; Wendland, L; Banzuzi, K; Korpela, A; Tuuva, T; Nedelec, P; Sillou, D; Besancon, M; Chipaux, R; Dejardin, M; Denegri, D; Descamps, J; Fabbro, B; Faure, J L; Ferri, F; Ganjour, S; Gentit, F X; Givernaud, A; Gras, P; Hamel de Monchenault, G; Jarry, P; Lemaire, M C; Locci, E; Malcles, J; Marionneau, M; Millischer, L; Rander, J; Rosowsky, A; Rousseau, D; Titov, M; Verrecchia, P; Baffioni, S; Bianchini, L; Bluj, M; Busson, P; Charlot, C; Dobrzynski, L; Granier de Cassagnac, R; Haguenauer, M; Miné, P; Paganini, P; Sirois, Y; Thiebaux, C; Zabi, A; Agram, J L; Besson, A; Bloch, D; Bodin, D; Brom, J M; Conte, E; Drouhin, F; Fontaine, J C; Gelé, D; Goerlach, U; Gross, L; Juillot, P; Le Bihan, A C; Patois, Y; Speck, J; Van Hove, P; Baty, C; Bedjidian, M; Blaha, J; Boudoul, G; Brun, H; Chanon, N; Chierici, R; Contardo, D; Depasse, P; Dupasquier, T; El Mamouni, H; Fassi, F; Fay, J; Gascon, S; Ille, B; Kurca, T; Le Grand, T; Lethuillier, M; Lumb, N; Mirabito, L; Perries, S; Vander Donckt, M; Verdier, P; Djaoshvili, N; Roinishvili, N; Roinishvili, V; Amaglobeli, N; Adolphi, R; Anagnostou, G; Brauer, R; Braunschweig, W; Edelhoff, M; Esser, H; Feld, L; Karpinski, W; Khomich, A; Klein, K; Mohr, N; Ostaptchouk, A; Pandoulas, D; Pierschel, G; Raupach, F; Schael, S; Schultz von Dratzig, A; Schwering, G; Sprenger, D; Thomas, M; Weber, M; Wittmer, B; Wlochal, M; Actis, O; Altenhöfer, G; Bender, W; Biallass, P; Erdmann, M; Fetchenhauer, G; Frangenheim, J; Hebbeker, T; Hilgers, G; Hinzmann, A; Hoepfner, K; Hof, C; Kirsch, M; Klimkovich, T; Kreuzer, P; Lanske, D; Merschmeyer, M; Meyer, A; Philipps, B; Pieta, H; Reithler, H; Schmitz, S A; Sonnenschein, L; Sowa, M; Steggemann, J; Szczesny, H; Teyssier, D; Zeidler, C; Bontenackels, M; Davids, M; Duda, M; Flügge, G; Geenen, H; Giffels, M; Haj Ahmad, W; Hermanns, T; Heydhausen, D; Kalinin, S; Kress, T; Linn, A; Nowack, A; Perchalla, L; Poettgens, M; Pooth, O; Sauerland, P; Stahl, A; Tornier, D; Zoeller, M H; Aldaya Martin, M; Behrens, U; Borras, K; Campbell, A; Castro, E; Dammann, D; Eckerlin, G; Flossdorf, A; Flucke, G; Geiser, A; Hatton, D; Hauk, J; Jung, H; Kasemann, M; Katkov, I; Kleinwort, C; Kluge, H; Knutsson, A; Kuznetsova, E; Lange, W; Lohmann, W; Mankel, R; Marienfeld, M; Meyer, A B; Miglioranzi, S; Mnich, J; Ohlerich, M; Olzem, J; Parenti, A; Rosemann, C; Schmidt, R; Schoerner-Sadenius, T; Volyanskyy, D; Wissing, C; Zeuner, W D; Autermann, C; Bechtel, F; Draeger, J; Eckstein, D; Gebbert, U; Kaschube, K; Kaussen, G; Klanner, R; Mura, B; Naumann-Emme, S; Nowak, F; Pein, U; Sander, C; Schleper, P; Schum, T; Stadie, H; Steinbrück, G; Thomsen, J; Wolf, R; Bauer, J; Blüm, P; Buege, V; Cakir, A; Chwalek, T; De Boer, W; Dierlamm, A; Dirkes, G; Feindt, M; Felzmann, U; Frey, M; Furgeri, A; Gruschke, J; Hackstein, C; Hartmann, F; Heier, S; Heinrich, M; Held, H; Hirschbuehl, D; Hoffmann, K H; Honc, S; Jung, C; Kuhr, T; Liamsuwan, T; Martschei, D; Mueller, S; Müller, Th; Neuland, M B; Niegel, M; Oberst, O; Oehler, A; Ott, J; Peiffer, T; Piparo, D; Quast, G; Rabbertz, K; Ratnikov, F; Ratnikova, N; Renz, M; Saout, C; Sartisohn, G; Scheurer, A; Schieferdecker, P; Schilling, F P; Schott, G; Simonis, H J; Stober, F M; Sturm, P; Troendle, D; Trunov, A; Wagner, W; Wagner-Kuhr, J; Zeise, M; Zhukov, V; Ziebarth, E B; Daskalakis, G; Geralis, T; Karafasoulis, K; Kyriakis, A; Loukas, D; Markou, A; Markou, C; Mavrommatis, C; Petrakou, E; Zachariadou, A; Gouskos, L; Katsas, P; Panagiotou, A; Evangelou, I; Kokkas, P; Manthos, N; Papadopoulos, I; Patras, V; Triantis, F A; Bencze, G; Boldizsar, L; Debreczeni, G; Hajdu, C; Hernath, S; Hidas, P; Horvath, D; Krajczar, K; Laszlo, A; Patay, G; Sikler, F; Toth, N; Vesztergombi, G; Beni, N; Christian, G; Imrek, J; Molnar, J; Novak, D; Palinkas, J; Szekely, G; Szillasi, Z; Tokesi, K; Veszpremi, V; Kapusi, A; Marian, G; Raics, P; Szabo, Z; Trocsanyi, Z L; Ujvari, B; Zilizi, G; Bansal, S; Bawa, H S; Beri, S B; Bhatnagar, V; Jindal, M; Kaur, M; Kaur, R; Kohli, J M; Mehta, M Z; Nishu, N; Saini, L K; Sharma, A; Singh, A; Singh, J B; Singh, S P; Ahuja, S; Arora, S; Bhattacharya, S; Chauhan, S; Choudhary, B C; Gupta, P; Jain, S; Jha, M; Kumar, A; Ranjan, K; Shivpuri, R K; Srivastava, A K; Choudhury, R K; Dutta, D; Kailas, S; Kataria, S K; Mohanty, A K; Pant, L M; Shukla, P; Topkar, A; Aziz, T; Guchait, M; Gurtu, A; Maity, M; Majumder, D; Majumder, G; Mazumdar, K; Nayak, A; Saha, A; Sudhakar, K; Banerjee, S; Dugad, S; Mondal, N K; Arfaei, H; Bakhshiansohi, H; Fahim, A; Jafari, A; Mohammadi Najafabadi, M; Moshaii, A; Paktinat Mehdiabadi, S; Rouhani, S; Safarzadeh, B; Zeinali, M; Felcini, M; Abbrescia, M; Barbone, L; Chiumarulo, F; Clemente, A; Colaleo, A; Creanza, D; Cuscela, G; De Filippis, N; De Palma, M; De Robertis, G; Donvito, G; Fedele, F; Fiore, L; Franco, M; Iaselli, G; Lacalamita, N; Loddo, F; Lusito, L; Maggi, G; Maggi, M; Manna, N; Marangelli, B; My, S; Natali, S; Nuzzo, S; Papagni, G; Piccolomo, S; Pierro, G A; Pinto, C; Pompili, A; Pugliese, G; Rajan, R; Ranieri, A; Romano, F; Roselli, G; Selvaggi, G; Shinde, Y; Silvestris, L; Tupputi, S; Zito, G; Abbiendi, G; Bacchi, W; Benvenuti, A C; Boldini, M; Bonacorsi, D; Braibant-Giacomelli, S; Cafaro, V D; Caiazza, S S; Capiluppi, P; Castro, A; Cavallo, F R; Codispoti, G; Cuffiani, M; D'Antone, I; Dallavalle, G M; Fabbri, F; Fanfani, A; Fasanella, D; Giacomelli, P; Giordano, V; Giunta, M; Grandi, C; Guerzoni, M; Marcellini, S; Masetti, G; Montanari, A; Navarria, F L; Odorici, F; Pellegrini, G; Perrotta, A; Rossi, A M; Rovelli, T; Siroli, G; Torromeo, G; Travaglini, R; Albergo, S; Costa, S; Potenza, R; Tricomi, A; Tuve, C; Barbagli, G; Broccolo, G; Ciulli, V; Civinini, C; D'Alessandro, R; Focardi, E; Frosali, S; Gallo, E; Genta, C; Landi, G; Lenzi, P; Meschini, M; Paoletti, S; Sguazzoni, G; Tropiano, A; Benussi, L; Bertani, M; Bianco, S; Colafranceschi, S; Colonna, D; Fabbri, F; Giardoni, M; Passamonti, L; Piccolo, D; Pierluigi, D; Ponzio, B; Russo, A; Fabbricatore, P; Musenich, R; Benaglia, A; Calloni, M; Cerati, G B; D'Angelo, P; De Guio, F; Farina, F M; Ghezzi, A; Govoni, P; Malberti, M; Malvezzi, S; Martelli, A; Menasce, D; Miccio, V; Moroni, L; Negri, P; Paganoni, M; Pedrini, D; Pullia, A; Ragazzi, S; Redaelli, N; Sala, S; Salerno, R; Tabarelli de Fatis, T; Tancini, V; Taroni, S; Buontempo, S; Cavallo, N; Cimmino, A; De Gruttola, M; Fabozzi, F; Iorio, A O M; Lista, L; Lomidze, D; Noli, P; Paolucci, P; Sciacca, C; Azzi, P; Bacchetta, N; Barcellan, L; Bellan, P; Bellato, M; Benettoni, M; Biasotto, M; Bisello, D; Borsato, E; Branca, A; Carlin, R; Castellani, L; Checchia, P; Conti, E; Dal Corso, F; De Mattia, M; Dorigo, T; Dosselli, U; Fanzago, F; Gasparini, F; Gasparini, U; Giubilato, P; Gonella, F; Gresele, A; Gulmini, M; Kaminskiy, A; Lacaprara, S; Lazzizzera, I; Margoni, M; Maron, G; Mattiazzo, S; Mazzucato, M; Meneghelli, M; Meneguzzo, A T; Michelotto, M; Montecassiano, F; Nespolo, M; Passaseo, M; Pegoraro, M; Perrozzi, L; Pozzobon, N; Ronchese, P; Simonetto, F; Toniolo, N; Torassa, E; Tosi, M; Triossi, A; Vanini, S; Ventura, S; Zotto, P; Zumerle, G; Baesso, P; Berzano, U; Bricola, S; Necchi, M M; Pagano, D; Ratti, S P; Riccardi, C; Torre, P; Vicini, A; Vitulo, P; Viviani, C; Aisa, D; Aisa, S; Babucci, E; Biasini, M; Bilei, G M; Caponeri, B; Checcucci, B; Dinu, N; Fanò, L; Farnesini, L; Lariccia, P; Lucaroni, A; Mantovani, G; Nappi, A; Piluso, A; Postolache, V; Santocchia, A; Servoli, L; Tonoiu, D; Vedaee, A; Volpe, R; Azzurri, P; Bagliesi, G; Bernardini, J; Berretta, L; Boccali, T; Bocci, A; Borrello, L; Bosi, F; Calzolari, F; Castaldi, R; Dell'Orso, R; Fiori, F; Foà, L; Gennai, S; Giassi, A; Kraan, A; Ligabue, F; Lomtadze, T; Mariani, F; Martini, L; Massa, M; Messineo, A; Moggi, A; Palla, F; Palmonari, F; Petragnani, G; Petrucciani, G; Raffaelli, F; Sarkar, S; Segneri, G; Serban, A T; Spagnolo, P; Tenchini, R; Tolaini, S; Tonelli, G; Venturi, A; Verdini, P G; Baccaro, S; Barone, L; Bartoloni, A; Cavallari, F; Dafinei, I; Del Re, D; Di Marco, E; Diemoz, M; Franci, D; Longo, E; Organtini, G; Palma, A; Pandolfi, F; Paramatti, R; Pellegrino, F; Rahatlou, S; Rovelli, C; Alampi, G; Amapane, N; Arcidiacono, R; Argiro, S; Arneodo, M; Biino, C; Borgia, M A; Botta, C; Cartiglia, N; Castello, R; Cerminara, G; Costa, M; Dattola, D; Dellacasa, G; Demaria, N; Dughera, G; Dumitrache, F; Graziano, A; Mariotti, C; Marone, M; Maselli, S; Migliore, E; Mila, G; Monaco, V; Musich, M; Nervo, M; Obertino, M M; Oggero, S; Panero, R; Pastrone, N; Pelliccioni, M; Romero, A; Ruspa, M; Sacchi, R; Solano, A; Staiano, A; Trapani, P P; Trocino, D; Vilela Pereira, A; Visca, L; Zampieri, A; Ambroglini, F; Belforte, S; Cossutti, F; Della Ricca, G; Gobbo, B; Penzo, A; Chang, S; Chung, J; Kim, D H; Kim, G N; Kong, D J; Park, H; Son, D C; Bahk, S Y; Song, S; Jung, S Y; Hong, B; Kim, H; Kim, J H; Lee, K S; Moon, D H; Park, S K; Rhee, H B; Sim, K S; Kim, J; Choi, M; Hahn, G; Park, I C; Choi, S; Choi, Y; Goh, J; Jeong, H; Kim, T J; Lee, J; Lee, S; Janulis, M; Martisiute, D; Petrov, P; Sabonis, T; Castilla Valdez, H; Sánchez Hernández, A; Carrillo Moreno, S; Morelos Pineda, A; Allfrey, P; Gray, R N C; Krofcheck, D; Bernardino Rodrigues, N; Butler, P H; Signal, T; Williams, J C; Ahmad, M; Ahmed, I; Ahmed, W; Asghar, M I; Awan, M I M; Hoorani, H R; Hussain, I; Khan, W A; Khurshid, T; Muhammad, S; Qazi, S; Shahzad, H; Cwiok, M; Dabrowski, R; Dominik, W; Doroba, K; Konecki, M; Krolikowski, J; Pozniak, K; Romaniuk, Ryszard; Zabolotny, W; Zych, P; Frueboes, T; Gokieli, R; Goscilo, L; Górski, M; Kazana, M; Nawrocki, K; Szleper, M; Wrochna, G; Zalewski, P; Almeida, N; Antunes Pedro, L; Bargassa, P; David, A; Faccioli, P; Ferreira Parracho, P G; Freitas Ferreira, M; Gallinaro, M; Guerra Jordao, M; Martins, P; Mini, G; Musella, P; Pela, J; Raposo, L; Ribeiro, P Q; Sampaio, S; Seixas, J; Silva, J; Silva, P; Soares, D; Sousa, M; Varela, J; Wöhri, H K; Altsybeev, I; Belotelov, I; Bunin, P; Ershov, Y; Filozova, I; Finger, M; Finger, M., Jr.; Golunov, A; Golutvin, I; Gorbounov, N; Kalagin, V; Kamenev, A; Karjavin, V; Konoplyanikov, V; Korenkov, V; Kozlov, G; Kurenkov, A; Lanev, A; Makankin, A; Mitsyn, V V; Moisenz, P; Nikonov, E; Oleynik, D; Palichik, V; Perelygin, V; Petrosyan, A; Semenov, R; Shmatov, S; Smirnov, V; Smolin, D; Tikhonenko, E; Vasil'ev, S; Vishnevskiy, A; Volodko, A; Zarubin, A; Zhiltsov, V; Bondar, N; Chtchipounov, L; Denisov, A; Gavrikov, Y; Gavrilov, G; Golovtsov, V; Ivanov, Y; Kim, V; Kozlov, V; Levchenko, P; Obrant, G; Orishchin, E; Petrunin, A; Shcheglov, Y; Shchetkovskiy, A; Sknar, V; Smirnov, I; Sulimov, V; Tarakanov, V; Uvarov, L; Vavilov, S; Velichko, G; Volkov, S; Vorobyev, A; Andreev, Yu; Anisimov, A; Antipov, P; Dermenev, A; Gninenko, S; Golubev, N; Kirsanov, M; Krasnikov, N; Matveev, V; Pashenkov, A; Postoev, V E; Solovey, A; Toropin, A; Troitsky, S; Baud, A; Epshteyn, V; Gavrilov, V; Ilina, N; Kaftanov, V; Kolosov, V; Kossov, M; Krokhotin, A; Kuleshov, S; Oulianov, A; Safronov, G; Semenov, S; Shreyber, I; Stolin, V; Vlasov, E; Zhokin, A; Boos, E; Dubinin, M; Dudko, L; Ershov, A; Gribushin, A; Klyukhin, V; Kodolova, O; Lokhtin, I; Petrushanko, S; Sarycheva, L; Savrin, V; Snigirev, A; Vardanyan, I; Dremin, I; Kirakosyan, M; Konovalova, N; Rusakov, S V; Vinogradov, A; Akimenko, S; Artamonov, A; Azhgirey, I; Bitioukov, S; Burtovoy, V; Grishin, V; Kachanov, V; Konstantinov, D; Krychkine, V; Levine, A; Lobov, I; Lukanin, V; Mel'nik, Y; Petrov, V; Ryutin, R; Slabospitsky, S; Sobol, A; Sytine, A; Tourtchanovitch, L; Troshin, S; Tyurin, N; Uzunian, A; Volkov, A; Adzic, P; Djordjevic, M; Jovanovic, D; Krpic, D; Maletic, D; Puzovic, J; Smiljkovic, N; Aguilar-Benitez, M; Alberdi, J; Alcaraz Maestre, J; Arce, P; Barcala, J M; Battilana, C; Burgos Lazaro, C; Caballero Bejar, J; Calvo, E; Cardenas Montes, M; Cepeda, M; Cerrada, M; Chamizo Llatas, M; Clemente, F; Colino, N; Daniel, M; De La Cruz, B; Delgado Peris, A; Diez Pardos, C; Fernandez Bedoya, C; Fernández Ramos, J P; Ferrando, A; Flix, J; Fouz, M C; Garcia-Abia, P; Garcia-Bonilla, A C; Gonzalez Lopez, O; Goy Lopez, S; Hernandez, J M; Josa, M I; Marin, J; Merino, G; Molina, J; Molinero, A; Navarrete, J J; Oller, J C; Puerta Pelayo, J; Romero, L; Santaolalla, J; Villanueva Munoz, C; Willmott, C; Yuste, C; Albajar, C; Blanco Otano, M; de Trocóniz, J F; Garcia Raboso, A; Lopez Berengueres, J O; Cuevas, J; Fernandez Menendez, J; Gonzalez Caballero, I; Lloret Iglesias, L; Naves Sordo, H; Vizan Garcia, J M; Cabrillo, I J; Calderon, A; Chuang, S H; Diaz Merino, I; Diez Gonzalez, C; Duarte Campderros, J; Fernandez, M; Gomez, G; Gonzalez Sanchez, J; Gonzalez Suarez, R; Jorda, C; Lobelle Pardo, P; Lopez Virto, A; Marco, J; Marco, R; Martinez Rivero, C; Martinez Ruiz del Arbol, P; Matorras, F; Rodrigo, T; Ruiz Jimeno, A; Scodellaro, L; Sobron Sanudo, M; Vila, I; Vilar Cortabitarte, R; Abbaneo, D; Albert, E; Alidra, M; Ashby, S; Auffray, E; Baechler, J; Baillon, P; Ball, A H; Bally, S L; Barney, D; Beaudette, F; Bellan, R; Benedetti, D; Benelli, G; Bernet, C; Bloch, P; Bolognesi, S; Bona, M; Bos, J; Bourgeois, N; Bourrel, T; Breuker, H; Bunkowski, K; Campi, D; Camporesi, T; Cano, E; Cattai, A; Chatelain, J P; Chauvey, M; Christiansen, T; Coarasa Perez, J A; Conde Garcia, A; Covarelli, R; Curé, B; De Roeck, A; Delachenal, V; Deyrail, D; Di Vincenzo, S; Dos Santos, S; Dupont, T; Edera, L M; Elliott-Peisert, A; Eppard, M; Favre, M; Frank, N; Funk, W; Gaddi, A; Gastal, M; Gateau, M; Gerwig, H; Gigi, D; Gill, K; Giordano, D; Girod, J P; Glege, F; Gomez-Reino Garrido, R; Goudard, R; Gowdy, S; Guida, R; Guiducci, L; Gutleber, J; Hansen, M; Hartl, C; Harvey, J; Hegner, B; Hoffmann, H F; Holzner, A; Honma, A; Huhtinen, M; Innocente, V; Janot, P; Le Godec, G; Lecoq, P; Leonidopoulos, C; Loos, R; Lourenço, C; Lyonnet, A; Macpherson, A; Magini, N; Maillefaud, J D; Maire, G; Mäki, T; Malgeri, L; Mannelli, M; Masetti, L; Meijers, F; Meridiani, P; Mersi, S; Meschi, E; Meynet Cordonnier, A; Moser, R; Mulders, M; Mulon, J; Noy, M; Oh, A; Olesen, G; Onnela, A; Orimoto, T; Orsini, L; Perez, E; Perinic, G; Pernot, J F; Petagna, P; Petiot, P; Petrilli, A; Pfeiffer, A; Pierini, M; Pimiä, M; Pintus, R; Pirollet, B; Postema, H; Racz, A; Ravat, S; Rew, S B; Rodrigues Antunes, J; Rolandi, G.; Rovere, M; Ryjov, V; Sakulin, H; Samyn, D; Sauce, H; Schäfer, C; Schlatter, W D; Schröder, M; Schwick, C; Sciaba, A; Segoni, I; Sharma, A; Siegrist, N; Siegrist, P; Sinanis, N; Sobrier, T; Sphicas, P; Spiga, D; Spiropulu, M; Stöckli, F; Traczyk, P; Tropea, P; Troska, J; Tsirou, A; Veillet, L; Veres, G I; Voutilainen, M; Wertelaers, P; Zanetti, M; Bertl, W; Deiters, K; Erdmann, W; Gabathuler, K; Horisberger, R; Ingram, Q; Kaestli, H C; König, S; Kotlinski, D; Langenegger, U; Meier, F; Renker, D; Rohe, T; Sibille, J; Starodumov, A; Betev, B; Caminada, L; Chen, Z; Cittolin, S; Da Silva Di Calafiori, D R; Dambach, S; Dissertori, G; Dittmar, M; Eggel, C; Eugster, J; Faber, G; Freudenreich, K; Grab, C; Hervé, A; Hintz, W; Lecomte, P; Luckey, P D; Lustermann, W; Marchica, C; Milenovic, P; Moortgat, F; Nardulli, A; Nessi-Tedaldi, F; Pape, L; Pauss, F; Punz, T; Rizzi, A; Ronga, F J; Sala, L; Sanchez, A K; Sawley, M C; Sordini, V; Stieger, B; Tauscher, L; Thea, A; Theofilatos, K; Treille, D; Trüb, P; Weber, M; Wehrli, L; Weng, J; Zelepoukine, S; Amsler, C; Chiochia, V; De Visscher, S; Regenfus, C; Robmann, P; Rommerskirchen, T; Schmidt, A; Tsirigkas, D; Wilke, L; Chang, Y H; Chen, E A; Chen, W T; Go, A; Kuo, C M; Li, S W; Lin, W; Bartalini, P; Chang, P; Chao, Y; Chen, K F; Hou, W S; Hsiung, Y; Lei, Y J; Lin, S W; Lu, R S; Schümann, J; Shiu, J G; Tzeng, Y M; Ueno, K; Velikzhanin, Y; Wang, C C; Wang, M; Adiguzel, A; Ayhan, A; Azman Gokce, A; Bakirci, M N; Cerci, S; Dumanoglu, I; Eskut, E; Girgis, S; Gurpinar, E; Hos, I; Karaman, T; Kayis Topaksu, A; Kurt, P; Önengüt, G; Önengüt Gökbulut, G; Ozdemir, K; Ozturk, S; Polatöz, A; Sogut, K; Tali, B; Topakli, H; Uzun, D; Vergili, L N; Vergili, M; Akin, I V; Aliev, T; Bilmis, S; Deniz, M; Gamsizkan, H; Guler, A M; Öcalan, K; Serin, M; Sever, R; Surat, U E; Zeyrek, M; Deliomeroglu, M; Demir, D; Gülmez, E; Halu, A; Isildak, B; Kaya, M; Kaya, O; Ozkorucuklu, S; Sonmez, N; Levchuk, L; Lukyanenko, S; Soroka, D; Zub, S; Bostock, F; Brooke, J J; Cheng, T L; Cussans, D; Frazier, R; Goldstein, J; Grant, N; Hansen, M; Heath, G P; Heath, H F; Hill, C; Huckvale, B; Jackson, J; Mackay, C K; Metson, S; Newbold, D M; Nirunpong, K; Smith, V J; Velthuis, J; Walton, R; Bell, K W; Brew, C; Brown, R M; Camanzi, B; Cockerill, D J A; Coughlan, J A; Geddes, N I; Harder, K; Harper, S; Kennedy, B W; Murray, P; Shepherd-Themistocleous, C H; Tomalin, I R; Williams, J H; Womersley, W J; Worm, S D; Bainbridge, R; Ball, G; Ballin, J; Beuselinck, R; Buchmuller, O; Colling, D; Cripps, N; Davies, G; Della Negra, M; Foudas, C; Fulcher, J; Futyan, D; Hall, G; Hays, J; Iles, G; Karapostoli, G; MacEvoy, B C; Magnan, A M; Marrouche, J; Nash, J; Nikitenko, A; Papageorgiou, A; Pesaresi, M; Petridis, K; Pioppi, M; Raymond, D M; Rompotis, N; Rose, A; Ryan, M J; Seez, C; Sharp, P; Sidiropoulos, G; Stettler, M; Stoye, M; Takahashi, M; Tapper, A; Timlin, C; Tourneur, S; Vazquez Acosta, M; Virdee, T; Wakefield, S; Wardrope, D; Whyntie, T; Wingham, M; Cole, J E; Goitom, I; Hobson, P R; Khan, A; Kyberd, P; Leslie, D; Munro, C; Reid, I D; Siamitros, C; Taylor, R; Teodorescu, L; Yaselli, I; Bose, T; Carleton, M; Hazen, E; Heering, A H; Heister, A; John, J St; Lawson, P; Lazic, D; Osborne, D; Rohlf, J; Sulak, L; Wu, S; Andrea, J; Avetisyan, A; Bhattacharya, S; Chou, J P; Cutts, D; Esen, S; Kukartsev, G; Landsberg, G; Narain, M; Nguyen, D; Speer, T; Tsang, K V; Breedon, R; Calderon De La Barca Sanchez, M; Case, M; Cebra, D; Chertok, M; Conway, J; Cox, P T; Dolen, J; Erbacher, R; Friis, E; Ko, W; Kopecky, A; Lander, R; Lister, A; Liu, H; Maruyama, S; Miceli, T; Nikolic, M; Pellett, D; Robles, J; Searle, M; Smith, J; Squires, M; Stilley, J; Tripathi, M; Vasquez Sierra, R; Veelken, C; Andreev, V; Arisaka, K; Cline, D; Cousins, R; Erhan, S; Hauser, J; Ignatenko, M; Jarvis, C; Mumford, J; Plager, C; Rakness, G; Schlein, P; Tucker, J; Valuev, V; Wallny, R; Yang, X; Babb, J; Bose, M; Chandra, A; Clare, R; Ellison, J A; Gary, J W; Hanson, G; Jeng, G Y; Kao, S C; Liu, F; Liu, H; Luthra, A; Nguyen, H; Pasztor, G; Satpathy, A; Shen, B C; Stringer, R; Sturdy, J; Sytnik, V; Wilken, R; Wimpenny, S; Branson, J G; Dusinberre, E; Evans, D; Golf, F; Kelley, R; Lebourgeois, M; Letts, J; Lipeles, E; Mangano, B; Muelmenstaedt, J; Norman, M; Padhi, S; Petrucci, A; Pi, H; Pieri, M; Ranieri, R; Sani, M; Sharma, V; Simon, S; Würthwein, F; Yagil, A; Campagnari, C; D'Alfonso, M; Danielson, T; Garberson, J; Incandela, J; Justus, C; Kalavase, P; Koay, S A; Kovalskyi, D; Krutelyov, V; Lamb, J; Lowette, S; Pavlunin, V; Rebassoo, F; Ribnik, J; Richman, J; Rossin, R; Stuart, D; To, W; Vlimant, J R; Witherell, M; Apresyan, A; Bornheim, A; Bunn, J; Chiorboli, M; Gataullin, M; Kcira, D; Litvine, V; Ma, Y; Newman, H B; Rogan, C; Timciuc, V; Veverka, J; Wilkinson, R; Yang, Y; Zhang, L; Zhu, K; Zhu, R Y; Akgun, B; Carroll, R; Ferguson, T; Jang, D W; Jun, S Y; Paulini, M; Russ, J; Terentyev, N; Vogel, H; Vorobiev, I; Cumalat, J P; Dinardo, M E; Drell, B R; Ford, W T; Heyburn, B; Luiggi Lopez, E; Nauenberg, U; Stenson, K; Ulmer, K; Wagner, S R; Zang, S L; Agostino, L; Alexander, J; Blekman, F; Cassel, D; Chatterjee, A; Das, S; Gibbons, L K; Heltsley, B; Hopkins, W; Khukhunaishvili, A; Kreis, B; Kuznetsov, V; Patterson, J R; Puigh, D; Ryd, A; Shi, X; Stroiney, S; Sun, W; Teo, W D; Thom, J; Vaughan, J; Weng, Y; Wittich, P; Beetz, C P; Cirino, G; Sanzeni, C; Winn, D; Abdullin, S; Afaq, M A; Albrow, M; Ananthan, B; Apollinari, G; Atac, M; Badgett, W; Bagby, L; Bakken, J A; Baldin, B; Banerjee, S; Banicz, K; Bauerdick, L A T; Beretvas, A; Berryhill, J; Bhat, P C; Biery, K; Binkley, M; Bloch, I; Borcherding, F; Brett, A M; Burkett, K; Butler, J N; Chetluru, V; Cheung, H W K; Chlebana, F; Churin, I; Cihangir, S; Crawford, M; Dagenhart, W; Demarteau, M; Derylo, G; Dykstra, D; Eartly, D P; Elias, J E; Elvira, V D; Evans, D; Feng, L; Fischler, M; Fisk, I; Foulkes, S; Freeman, J; Gartung, P; Gottschalk, E; Grassi, T; Green, D; Guo, Y; Gutsche, O; Hahn, A; Hanlon, J; Harris, R M; Holzman, B; Howell, J; Hufnagel, D; James, E; Jensen, H; Johnson, M; Jones, C D; Joshi, U; Juska, E; Kaiser, J; Klima, B; Kossiakov, S; Kousouris, K; Kwan, S; Lei, C M; Limon, P; Lopez Perez, J A; Los, S; Lueking, L; Lukhanin, G; Lusin, S; Lykken, J; Maeshima, K; Marraffino, J M; Mason, D; McBride, P; Miao, T; Mishra, K; Moccia, S; Mommsen, R; Mrenna, S; Muhammad, A S; Newman-Holmes, C; Noeding, C; O'Dell, V; Prokofyev, O; Rivera, R; Rivetta, C H; Ronzhin, A; Rossman, P; Ryu, S; Sekhri, V; Sexton-Kennedy, E; Sfiligoi, I; Sharma, S; Shaw, T M; Shpakov, D; Skup, E; Smith, R P; Soha, A; Spalding, W J; Spiegel, L; Suzuki, I; Tan, P; Tanenbaum, W; Tkaczyk, S; Trentadue, R; Uplegger, L; Vaandering, E W; Vidal, R; Whitmore, J; Wicklund, E; Wu, W; Yarba, J; Yumiceva, F; Yun, J C; Acosta, D; Avery, P; Barashko, V; Bourilkov, D; Chen, M; Di Giovanni, G P; Dobur, D; Drozdetskiy, A; Field, R D; Fu, Y; Furic, I K; Gartner, J; Holmes, D; Kim, B; Klimenko, S; Konigsberg, J; Korytov, A; Kotov, K; Kropivnitskaya, A; Kypreos, T; Madorsky, A; Matchev, K; Mitselmakher, G; Pakhotin, Y; Piedra Gomez, J; Prescott, C; Rapsevicius, V; Remington, R; Schmitt, M; Scurlock, B; Wang, D; Yelton, J; Ceron, C; Gaultney, V; Kramer, L; Lebolo, L M; Linn, S; Markowitz, P; Martinez, G; Rodriguez, J L; Adams, T; Askew, A; Baer, H; Bertoldi, M; Chen, J; Dharmaratna, W G D; Gleyzer, S V; Haas, J; Hagopian, S; Hagopian, V; Jenkins, M; Johnson, K F; Prettner, E; Prosper, H; Sekmen, S; Baarmand, M M; Guragain, S; Hohlmann, M; Kalakhety, H; Mermerkaya, H; Ralich, R; Vodopiyanov, I; Abelev, B; Adams, M R; Anghel, I M; Apanasevich, L; Bazterra, V E; Betts, R R; Callner, J; Castro, M A; Cavanaugh, R; Dragoiu, C; Garcia-Solis, E J; Gerber, C E; Hofman, D J; Khalatian, S; Mironov, C; Shabalina, E; Smoron, A; Varelas, N; Akgun, U; Albayrak, E A; Ayan, A S; Bilki, B; Briggs, R; Cankocak, K; Chung, K; Clarida, W; Debbins, P; Duru, F; Ingram, F D; Lae, C K; McCliment, E; Merlo, J P; Mestvirishvili, A; Miller, M J; Moeller, A; Nachtman, J; Newsom, C R; Norbeck, E; Olson, J; Onel, Y; Ozok, F; Parsons, J; Schmidt, I; Sen, S; Wetzel, J; Yetkin, T; Yi, K; Barnett, B A; Blumenfeld, B; Bonato, A; Chien, C Y; Fehling, D; Giurgiu, G; Gritsan, A V; Guo, Z J; Maksimovic, P; Rappoccio, S; Swartz, M; Tran, N V; Zhang, Y; Baringer, P; Bean, A; Grachov, O; Murray, M; Radicci, V; Sanders, S; Wood, J S; Zhukova, V; Bandurin, D; Bolton, T; Kaadze, K; Liu, A; Maravin, Y; Onoprienko, D; Svintradze, I; Wan, Z; Gronberg, J; Hollar, J; Lange, D; Wright, D; Baden, D; Bard, R; Boutemeur, M; Eno, S C; Ferencek, D; Hadley, N J; Kellogg, R G; Kirn, M; Kunori, S; Rossato, K; Rumerio, P; Santanastasio, F; Skuja, A; Temple, J; Tonjes, M B; Tonwar, S C; Toole, T; Twedt, E; Alver, B; Bauer, G; Bendavid, J; Busza, W; Butz, E; Cali, I A; Chan, M; D'Enterria, D; Everaerts, P; Gomez Ceballos, G; Hahn, K A; Harris, P; Jaditz, S; Kim, Y; Klute, M; Lee, Y J; Li, W; Loizides, C; Ma, T; Miller, M; Nahn, S; Paus, C; Roland, C; Roland, G; Rudolph, M; Stephans, G; Sumorok, K; Sung, K; Vaurynovich, S; Wenger, E A; Wyslouch, B; Xie, S; Yilmaz, Y; Yoon, A S; Bailleux, D; Cooper, S I; Cushman, P; Dahmes, B; De Benedetti, A; Dolgopolov, A; Dudero, P R; Egeland, R; Franzoni, G; Haupt, J; Inyakin, A; Klapoetke, K; Kubota, Y; Mans, J; Mirman, N; Petyt, D; Rekovic, V; Rusack, R; Schroeder, M; Singovsky, A; Zhang, J; Cremaldi, L M; Godang, R; Kroeger, R; Perera, L; Rahmat, R; Sanders, D A; Sonnek, P; Summers, D; Bloom, K; Bockelman, B; Bose, S; Butt, J; Claes, D R; Dominguez, A; Eads, M; Keller, J; Kelly, T; Kravchenko, I; Lazo-Flores, J; Lundstedt, C; Malbouisson, H; Malik, S; Snow, G R; Baur, U; Iashvili, I; Kharchilava, A; Kumar, A; Smith, K; Strang, M; Alverson, G; Barberis, E; Boeriu, O; Eulisse, G; Govi, G; McCauley, T; Musienko, Y; Muzaffar, S; Osborne, I; Paul, T; Reucroft, S; Swain, J; Taylor, L; Tuura, L; Anastassov, A; Gobbi, B; Kubik, A; Ofierzynski, R A; Pozdnyakov, A; Schmitt, M; Stoynev, S; Velasco, M; Won, S; Antonelli, L; Berry, D; Hildreth, M; Jessop, C; Karmgard, D J; Kolberg, T; Lannon, K; Lynch, S; Marinelli, N; Morse, D M; Ruchti, R; Slaunwhite, J; Warchol, J; Wayne, M; Bylsma, B; Durkin, L S; Gilmore, J; Gu, J; Killewald, P; Ling, T Y; Williams, G; Adam, N; Berry, E; Elmer, P; Garmash, A; Gerbaudo, D; Halyo, V; Hunt, A; Jones, J; Laird, E; Marlow, D; Medvedeva, T; Mooney, M; Olsen, J; Piroué, P; Stickland, D; Tully, C; Werner, J S; Wildish, T; Xie, Z; Zuranski, A; Acosta, J G; Bonnett Del Alamo, M; Huang, X T; Lopez, A; Mendez, H; Oliveros, S; Ramirez Vargas, J E; Santacruz, N; Zatzerklyany, A; Alagoz, E; Antillon, E; Barnes, V E; Bolla, G; Bortoletto, D; Everett, A; Garfinkel, A F; Gecse, Z; Gutay, L; Ippolito, N; Jones, M; Koybasi, O; Laasanen, A T; Leonardo, N; Liu, C; Maroussov, V; Merkel, P; Miller, D H; Neumeister, N; Sedov, A; Shipsey, I; Yoo, H D; Zheng, Y; Jindal, P; Parashar, N; Cuplov, V; Ecklund, K M; Geurts, F J M; Liu, J H; Maronde, D; Matveev, M; Padley, B P; Redjimi, R; Roberts, J; Sabbatini, L; Tumanov, A; Betchart, B; Bodek, A; Budd, H; Chung, Y S; de Barbaro, P; Demina, R; Flacher, H; Gotra, Y; Harel, A; Korjenevski, S; Miner, D C; Orbaker, D; Petrillo, G; Vishnevskiy, D; Zielinski, M; Bhatti, A; Demortier, L; Goulianos, K; Hatakeyama, K; Lungu, G; Mesropian, C; Yan, M; Atramentov, O; Bartz, E; Gershtein, Y; Halkiadakis, E; Hits, D; Lath, A; Rose, K; Schnetzer, S; Somalwar, S; Stone, R; Thomas, S; Watts, T L; Cerizza, G; Hollingsworth, M; Spanier, S; Yang, Z C; York, A; Asaadi, J; Aurisano, A; Eusebi, R; Golyash, A; Gurrola, A; Kamon, T; Nguyen, C N; Pivarski, J; Safonov, A; Sengupta, S; Toback, D; Weinberger, M; Akchurin, N; Berntzon, L; Gumus, K; Jeong, C; Kim, H; Lee, S W; Popescu, S; Roh, Y; Sill, A; Volobouev, I; Washington, E; Wigmans, R; Yazgan, E; Engh, D; Florez, C; Johns, W; Pathak, S; Sheldon, P; Andelin, D; Arenton, M W; Balazs, M; Boutle, S; Buehler, M; Conetti, S; Cox, B; Hirosky, R; Ledovskoy, A; Neu, C; Phillips II, D; Ronquest, M; Yohay, R; Gollapinni, S; Gunthoti, K; Harr, R; Karchin, P E; Mattson, M; Sakharov, A; Anderson, M; Bachtis, M; Bellinger, J N; Carlsmith, D; Crotty, I; Dasu, S; Dutta, S; Efron, J; Feyzi, F; Flood, K; Gray, L; Grogg, K S; Grothe, M; Hall-Wilton, R; Jaworski, M; Klabbers, P; Klukas, J; Lanaro, A; Lazaridis, C; Leonard, J; Loveless, R; Magrans de Abril, M; Mohapatra, A; Ott, G; Polese, G; Reeder, D; Savin, A; Smith, W H; Sourkov, A; Swanson, J; Weinberg, M; Wenman, D; Wensveen, M; White, A

    2010-01-01

    The CMS Level-1 trigger was used to select cosmic ray muons and LHC beam events during data-taking runs in 2008, and to estimate the level of detector noise. This paper describes the trigger components used, the algorithms that were executed, and the trigger synchronisation. Using data from extended cosmic ray runs, the muon, electron/photon, and jet triggers have been validated, and their performance evaluated. Efficiencies were found to be high, resolutions were found to be good, and rates as expected.

  2. The second level trigger of the L3 experiment. Pt. 1

    International Nuclear Information System (INIS)

    Bertsch, Y.; Blaising, J.J.; Bonnefon, H.; Chollet-Le Flour, F.; Degre, A.; Dromby, G.; Lecoq, J.; Morand, R.; Moynot, M.; Perrot, G.; Riccadonna, X.

    1993-07-01

    The second level trigger of the L3 experiment performs online background rejection and reduces the first level trigger rate to a value fitting with the third level trigger processing capability. Designed around a set of 3 bit-slice XOP microprocessors, it can process up to 500 first level triggers per second without significant dead time in the data acquisition. The system described here ensures the L3 data taking since the beginning of LEP in July 1989 and the online rejection since 1990. (authors). 24 refs., 8 figs., 3 tabs

  3. Upgrade of the ATLAS Level-1 Calorimeter Trigger

    CERN Document Server

    Wessels, M; The ATLAS collaboration

    2014-01-01

    The Level-1 Calorimeter Trigger (L1Calo) of the ATLAS experiment has been operating well since the start of LHC data taking, and played a major role in the Higgs boson discovery. To face the new challenges posed by the upcoming increases of the LHC proton beam energy and luminosity, a series of upgrades is planned for L1Calo. The initial upgrade phase in 2013-14 includes substantial improvements to the analogue and digital signal processing to allow more sophisticated digital filters for energy and timing measurement, as well as compensate for pile-up and baseline shifting effects. Two existing digital algorithm processor subsystems will receive substantial hardware and firmware upgrades to increase the real-time data path bandwidth, allowing topological information to be transmitted and processed at Level-1. An entirely new subsystem, the Level-1 Topological Processor, will receive real-time data from both the upgraded L1Calo and Level-1 Muon Trigger to perform trigger algorithms based on entire event topolo...

  4. One-way EPR steering and genuine multipartite EPR steering

    Science.gov (United States)

    He, Qiongyi; Reid, Margaret D.

    2012-11-01

    We propose criteria and experimental strategies to realise the Einstein-Podolsky-Rosen (EPR) steering nonlocality. One-way steering can be obtained where there is asymmetry of thermal noise on each system. We also present EPR steering inequalities that act as signatures and suggest how to optimise EPR correlations in specific schemes so that the genuine multipartite EPR steering nonlocality (EPR paradox) can also possibly be realised. The results presented here also apply to the spatially separated macroscopic atomic ensembles.

  5. Carcass and meat characteristics of steers or bulls, finished in feedlot and fed with diets containing three levels of concentrate

    Directory of Open Access Journals (Sweden)

    José Luis Moletta

    2014-02-01

    Full Text Available The objective of this experiment was to evaluate quantitative and qualitative characters of carcass and meat from bulls and steers finished in feedlot during a 116 day period, in individual stalls and fed with a diet of corn silage and three levels of concentrate (0.70; 0.97 and 1.23% of body weight. The concentrate was formulated with 25% soybean meal, 73% of ground corn grain 1% of a mineral mix and 1% of limestone. A total of 169 composite Purunã animals, being 94 bulls and 75 steers with average age of 20 months. The interaction between physiological condition and level of concentrate was not significant (P>0.05 for any of the studied variables. Likewise, no effect of level of concentrate was detected upon the same traits. The bulls presented heavier slaughter weight (493.1 kg in comparison with the steers (450.0 kg as well as higher carcass yield (55.2 vs. 53.5%, resulting in a hot carcass weight 12.1% heavier than bulls animals, though with a lower degree of carcass finishing (3.6 vs. 4.2 mm, respectively. Bulls produced carcasses with better conformation and higher area of Longissimus dorsi (68.6 vs. 63.3 cm2, and higher percentage of muscle in comparison with castrated (66.5 vs. 62.8%. The meat from bulls was darker and with lower degree of marbling in relation to steers. Nevertheless, no differences were observed for tenderness nor for juiciness, though steers had more palatable meat.

  6. Holographic memory using beam steering

    Science.gov (United States)

    Chao, Tien-Hsin (Inventor); Hanan, Jay C. (Inventor); Reyes, George F. (Inventor); Zhou, Hanying (Inventor)

    2006-01-01

    A method, apparatus, and system provide the ability for storing holograms at high speed. A single laser diode emits a collimated laser beam to both write to and read from a photorefractice crystal. One or more liquid crystal beam steering spatial light modulators (BSSLMs) or Micro-Electro-Mechanical Systems (MEMS) mirrors steer a reference beam, split from the collimated laser beam, at high speed to the photorefractive crystal.

  7. TRIGGER

    CERN Multimedia

    W. Smith

    2012-01-01

      Level-1 Trigger The Level-1 Trigger group is ready to deploy improvements to the L1 Trigger algorithms for 2012. These include new high-PT patterns for the RPC endcap, an improved CSC PT assignment, a new PT-matching algorithm for the Global Muon Trigger, and new calibrations for ECAL, HCAL, and the Regional Calorimeter Trigger. These should improve the efficiency, rate, and stability of the L1 Trigger. The L1 Trigger group also is migrating the online systems to SLC5. To make the data transfer from the Global Calorimeter Trigger to the Global Trigger more reliable and also to allow checking the data integrity online, a new optical link system has been developed by the GCT and GT groups and successfully tested at the CMS electronics integration facility in building 904. This new system is now undergoing further tests at Point 5 before being deployed for data-taking this year. New L1 trigger menus have recently been studied and proposed by Emmanuelle Perez and the L1 Detector Performance Group...

  8. arXiv Level Zero Trigger Processor for the NA62 experiment

    CERN Document Server

    INSPIRE-00584493; Chiozzi, Stefano

    2018-05-02

    The NA62 experiment is designed to measure the ultra-rare decay K+ arrow π+ ν  branching ratio with a precision of ~ 10% at the CERN Super Proton Synchrotron (SPS). The trigger system of NA62 consists in three different levels designed to select events of physics interest in a high beam rate environment. The L0 Trigger Processor (L0TP) is the lowest level system of the trigger chain. It is hardware implemented using programmable logic. The architecture of the NA62 L0TP system is a new approach compared to existing systems used in high-energy physics experiments. It is fully digital, based on a standard gigabit Ethernet communication between detectors and the L0TP Board. The L0TP Board is a commercial development board, mounting a programmable logic device (FPGA). The primitives generated by sub-detectors are sent asynchronously using the UDP protocol to the L0TP during the entire beam spill period. The L0TP realigns in time the primitives coming from seven different sources and performs a data selectio...

  9. The performance of the ATLAS Level-1 Calorimeter Trigger with LHC collision data

    CERN Document Server

    Bracinik, J

    2011-01-01

    The ATLAS first-level calorimeter trigger is a hardware-based system designed to identify high-E$_T$ jets, electron/photon and $ au$ candidates and to measure total and missing E$_T$ in the ATLAS calorimeters. After more than two years of commissioning in situ with calibration data and cosmic rays, the system has now been used extensively to select the most interesting proton-proton collision events. Fine tuning of timing and energy calibration has been carried out in 2010 to improve the trigger response to physics objects. In these proceedings, an analysis of the performance of the level-1 calorimeter trigger is presented, along with the techniques used to achieve these results.

  10. Tracking and Level-1 triggering in the forward region of the ATLAS Muon Spectrometer at sLHC

    International Nuclear Information System (INIS)

    Bittner, B; Dubbert, J; Kroha, H; Richter, R; Schwegler, P

    2012-01-01

    In the endcap region of the ATLAS Muon Spectrometer (η > 1) precision tracking and Level-1 triggering are performed by different types of chambers. Monitored Drift Tube chambers (MDT) and Cathode Strip Chambers (CSC) are used for precision tracking, while Thin Gap Chambers (TGC) form the Level-1 muon trigger, selecting muons with high transverse momentum (p T ). When by 2018 the LHC peak luminosity of 10 34 cm −2 s −1 will be increased by a factor of ∼ 2 and by another factor of ∼ 2–2.5 in about a decade from now (''SLHC''), an improvement of both systems, precision tracking and Level-1 triggering, will become mandatory in order to cope with the high rate of uncorrelated background hits (''cavern background'') and to stay below the maximum trigger rate for the muon system, which is in the range of 10–20 % of the 100 kHz rate, allowed for ATLAS. For the Level-1 trigger of the ATLAS Muon Spectrometer this means a stronger suppression of sub-threshold muons in the high-p T trigger as well as a better rejection of tracks not coming from the primary interaction point. Both requirements, however, can only be fulfilled if spatial resolution and angular pointing accuracy of the trigger chambers, in particular of those in the Inner Station of the endcap, are improved by a large factor. This calls for a complete replacement of the currrently used TGC chambers by a new type of trigger chambers with better performance. In parallel, the precision tracking chambers must be replaced by chambers with higher rate capability to be able to cope with the intense cavern background. In this article we present concepts to decisively improve the Level-1 trigger with newly developed trigger chambers, being characterized by excellent spatial resolution, good time resolution and sufficiently short latency. We also present new types of precision chambers, designed to maintain excellent tracking efficiency and spatial resolution in the presence of high levels of uncorrelated

  11. ATLAS level-1 calorimeter trigger hardware: initial timing and energy calibration

    CERN Document Server

    Childers, JT; The ATLAS collaboration

    2010-01-01

    The ATLAS Level-1 Calorimeter Trigger identifies high-pT objects in the Liquid Argon and Tile Calorimeters with a fixed latency of up to 2.4 microseconds using a hardware-based, pipelined system built with custom electronics. The Preprocessor Module conditions and digitizes about 7200 pre-summed analogue signals from the calorimeters at the LHC bunch-crossing frequency of 40 MHz, and performs bunch-crossing identification (BCID) and deposited energy measurement for each input signal. This information is passed to further processors for object classification and total energy calculation, and the results are used to make the Level-1 trigger decision for the ATLAS detector. The BCID and energy measurement in the trigger depend on precise timing adjustments to achieve correct sampling of the input signal peak. Test pulses from the calorimeters were analysed to derive the initial timing and energy calibration, and first data from the LHC restart in autumn 2009 and early 2010 were used for validation and further op...

  12. ATLAS Level-1 Calorimeter Trigger: Status and Development

    CERN Document Server

    Bracinik, J; The ATLAS collaboration

    2013-01-01

    The ATLAS Level-1 Calorimeter Trigger seeds all the calorimeter-based triggers in the ATLAS experiment at LHC. The inputs to the system are analogue signals of reduced granularity, formed by summing cells from both the ATLAS Liquid Argon and Tile calorimeters. Several stages of analogue then digital processing, largely performed in FPGAs, refine these signals via configurable and flexible algorithms into identified physics objects, for example electron, tau or jet candidates. The complete processing chain is performed in a pipelined system at the LHC bunch-crossing frequency, and with a fixed latency of about 1us. The first LHC run from 2009-2013 provided a varied and challenging environment for first level triggers. While the energy and luminosity were below the LHC design, the pile-up conditions were similar to the nominal conditions. The physics ambitions of the experiment also tested the performance of the Level-1 system while keeping within the rate limits set by detector readout. This presentation will ...

  13. Upgrade of the ATLAS Level-1 Trigger with event topology information

    CERN Document Server

    Simioni, Eduard; The ATLAS collaboration; Bauss, B; Büscher, V; Jakobi, K; Kaluza, A; Kahra, C; Reiss, A; Schäffer, J; Schulte, A; Simon, M; Tapprogge, S; Vogel, A; Zinser, M; Palka, M

    2015-01-01

    The Large Hadron Collider (LHC) in 2015 will collide proton beams with increased luminosity from \\unit{10^{34}} up to \\unit{3 \\times 10^{34}cm^{-2}s^{-1}}. ATLAS is an LHC experiment designed to measure decay properties of high energetic particles produced in the protons collisions. The higher luminosity places stringent operational and physical requirements on the ATLAS Trigger in order to reduce the 40MHz collision rate to a manageable event storage rate of 1kHz while at the same time, selecting those events with valuable physics meaning. The Level-1 Trigger is the first rate-reducing step in the ATLAS Trigger, with an output rate of 100kHz and decision latency of less than 2.5$\\mu s$. It is composed of the Calorimeter Trigger (L1Calo), the Muon Trigger (L1Muon) and the Central Trigger Processor (CTP). In 2014, there will be a new electronics element in the chain: the Topological Processor System (L1Topo system).\\\\ The L1Topo system consist of a single AdvancedTCA shelf equipped with three L1Topo processor ...

  14. Development of a level-1 trigger and timing system for the Double Chooz neutrino experiment

    International Nuclear Information System (INIS)

    Reinhold, Bernd

    2009-01-01

    The measurement of the mixing angle θ 13 is the goal of several running and planned experiments. The experiments are either accelerator based (super)beam experiments (e.g. MINOS, T2K, Nova) or reactor anti-neutrino disappearance experiments (e.g. Daya Bay, RENO or Double Chooz). In order to measure or constrain θ 13 with the Double Chooz experiment the overall systematic errors have to be controlled at the one-percent or sub-percent level. The limitation of the systematic errors is achieved through various means and techniques. E.g. the experiment consists of two identical detectors at different baselines, which allow to make a differential anti-neutrino flux measurement, where basically only relative normalisation errors remain. The requirements on the systematic errors put also strong constraints on the quality of all components and materials used for both detectors, most prominently on the stability and radiopurity of the scintillator, the photomultiplier tubes, the vessels containing the detector liquids and the shielding against ambient radioactivity. The readout electronics, trigger and data acquisition system have to operate reliably as an integrated and highly efficient whole over several years. The trigger is provided by the Level-1 Trigger and Timing System, which is the subject of this thesis. It has to provide a highly efficient trigger (at the 0.1% level) for neutrino-induced events as well as for several types of background events. Its decision is realized in hardware and based on energy depositions in the muon veto and the target region. The Level-1 Trigger and Timing System furthermore provides a common System Clock and an absolute timestamp for each event. The Level-1 Trigger and Timing System consists of two types of VME modules, several Trigger Boards and a Trigger Master Board, which have been custom-designed and developed in the electronics workshop of our institute for this experiment and purpose, starting in 2005. In this thesis all

  15. Development of a level-1 trigger and timing system for the Double Chooz neutrino experiment

    Energy Technology Data Exchange (ETDEWEB)

    Reinhold, Bernd

    2009-02-25

    The measurement of the mixing angle {theta}{sub 13} is the goal of several running and planned experiments. The experiments are either accelerator based (super)beam experiments (e.g. MINOS, T2K, Nova) or reactor anti-neutrino disappearance experiments (e.g. Daya Bay, RENO or Double Chooz). In order to measure or constrain {theta}{sub 13} with the Double Chooz experiment the overall systematic errors have to be controlled at the one-percent or sub-percent level. The limitation of the systematic errors is achieved through various means and techniques. E.g. the experiment consists of two identical detectors at different baselines, which allow to make a differential anti-neutrino flux measurement, where basically only relative normalisation errors remain. The requirements on the systematic errors put also strong constraints on the quality of all components and materials used for both detectors, most prominently on the stability and radiopurity of the scintillator, the photomultiplier tubes, the vessels containing the detector liquids and the shielding against ambient radioactivity. The readout electronics, trigger and data acquisition system have to operate reliably as an integrated and highly efficient whole over several years. The trigger is provided by the Level-1 Trigger and Timing System, which is the subject of this thesis. It has to provide a highly efficient trigger (at the 0.1% level) for neutrino-induced events as well as for several types of background events. Its decision is realized in hardware and based on energy depositions in the muon veto and the target region. The Level-1 Trigger and Timing System furthermore provides a common System Clock and an absolute timestamp for each event. The Level-1 Trigger and Timing System consists of two types of VME modules, several Trigger Boards and a Trigger Master Board, which have been custom-designed and developed in the electronics workshop of our institute for this experiment and purpose, starting in 2005. In

  16. The second level trigger of the L3 experiment. Pt. 2

    International Nuclear Information System (INIS)

    Beingessner, S.P.; Blaising, J.J.; Chollet-Le Flour, F.; Degre, A.; Dromby, G.; Goy, C.; Lecoq, J.; Morand, R.; Moynot, M.; Perrot, G.; Rosier-Lees, S.; Forconi, G.

    1993-07-01

    The events recorded by the L3 Data Acquisition System are selected by three levels of trigger. The event filtering performed by software at the second trigger level is described. First coded offline in FORTRAN, the filtering software is microcoded for online execution in a farm of 3 XOP processors operating in a round robin mode. It identifies and rejects background events. Depending on running conditions and trigger type, rejection factors ranging from 45% to 80% are obtained on first level energy, muon and tec triggers. Selection efficiencies greater than 99.95% are achieved. (authors). 14 refs., 3 figs., 2 tabs

  17. Rate of Change in Lake Level and its Impact on Reservoir-triggered Seismicity

    Science.gov (United States)

    Simpson, D. W.

    2017-12-01

    With recent interest in increased seismicity related to fluid injection, it is useful to review cases of reservoir-triggered earthquakes to explore common characteristics and seek ways to mitigate the influence of anthropogenic impacts. Three reservoirs - Koyna, India; Nurek, Tajikistan; and Aswan, Egypt - are well-documented cases of triggered earthquakes with recorded time series of seismicity and water levels that extend for more than 30 years. The geological setting, regional tectonics and modes of reservoir utilization, along with the characteristics of the reservoir-seismicity interaction, are distinctly different in each of these three cases. Similarities and differences between these three cases point to regional and local geological and hydrological structures and the rate of changes in reservoir water level as important factors controlling the presence and timing of triggered seismicity. In a manner similar to the way in which the rate of fluid injection influences injection-related seismicity, the rate of change in reservoir water level is a significant factor in determining whether or not reservoir-triggered seismicity occurs. The high rate of annual water level rise may be important in sustaining the exceptionally long sequence of earthquakes at Koyna. In addition to the rate of filling being a determining factor in whether or not earthquakes are triggered, changes in the rate of filling may influence the time of occurrence of individual earthquakes.

  18. Summer-long grazing of high versus low endophyte (Neotyphodium coenophialum-infected tall fescue by growing beef steers results in distinct temporal blood analyte response patterns, with poor correlation to serum prolactin levels

    Directory of Open Access Journals (Sweden)

    Joshua J. Jackson

    2015-12-01

    Full Text Available Previously, we reported the effects of fescue toxicosis on developing Angus-cross steer growth, carcass, hepatic mRNA and protein expression profiles of selected serum proteins, and blood clinical and chemical profiles, after summer-long grazing (85 d of high (HE- vs low (LE-endophyte-infected fescue pastures. We now report the temporal development of acute, intermediate, and chronic responses of biochemical and clinical blood analytes determined at specified time intervals (period 1, d 0 to 36; period 2, d 37 to 58; and period 3, d 59 to 85. Throughout the trial, the alkaloid concentrations of the HE forage was consistently 19 to 25 times greater (P < 0.049 the concentration in the LE forage, and HE vs LE steers had continuously lower (P < 0.049 serum prolactin (85%, cholesterol (27%, and albumin (5%, but greater red blood cells (7%. The HE steers had decreased (P = 0.003 ADG only during period 1 (-0.05 vs 0.4 kg/d. For period 1, HE steers had reduced (P < 0.090 numbers of eosinophils (55% and lymphocytes (18%, serum triglyceride (27%, and an albumin/globulin ratio (9%, but an increased bilirubin concentration (20%. During period 2, serum LDH activities were 18% lower (P = 0.022 for HE vs LE steers. During period 3, serum levels of ALP (32%, ALT (16%, AST (15%, creatine kinase (35%, glucose (10%, and LDH (23% were lower (P < 0.040 for HE steers. Correlation analysis of serum prolactin and other blood analytes revealed that triglycerides (P = 0.042 and creatinine (P = 0.021 were moderately correlated (r < 0.433 with HE serum prolactin. In conclusion, three HE-induced blood analyte response patterns were identified: continually altered, initially altered and subsequently recovered, or altered only after long-term exposure. Blood analytes affected by length of grazing HE vs LE forages were either not, or poorly, correlated with serum prolactin. These data reveal important, temporal, data about how young cattle respond to the challenge of consuming

  19. The CMS Trigger Supervisor: Control and Hardware Monitoring System of the CMS Level-1 Trigger at CERN

    CERN Document Server

    Ildefons Magrans de Abril

    2008-01-01

    The experiments CMS (Compact Muon Solenoid) and ATLAS (A Toroidal LHC ApparatuS) at the LargeHadron Collider (LHC) are the greatest exponents of the rising complexity in High Energy Physics (HEP) datahandling instrumentation. Tens of millions of readout channels, tens of thousands of hardware boards and thesame order of connections are figures of merit. However, the hardware volume is not the only complexitydimension, the unprecedented large number of research institutes and scientists that form the internationalcollaborations, and the long design, development, commissioning and operational phases are additional factorsthat must be taken into account.The Level-1 (L1) trigger decision loop is an excellent example of these difficulties. This system is based on apipelined logic destined to analyze without deadtime the data from each LHC bunch crossing occurring every25_ns, using special coarsely segmented trigger data from the detectors. The L1 trigger is responsible forreducing the rate of accepted crossings to...

  20. Performance and carcass characteristics of steers fed with two levels of metabolizable energy intake during summer and winter season.

    Science.gov (United States)

    Arias, R A; Keim, J P; Gandarillas, M; Velásquez, A; Alvarado-Gilis, C; Mader, T L

    2018-05-22

    Climate change is producing an increase on extreme weather events around the world such as flooding, drought and extreme ambient temperatures impacting animal production and animal welfare. At present, there is a lack of studies addressing the effects of climatic conditions associated with energy intake in finishing cattle in South American feed yards. Therefore, two experiments were conducted to assess the effects of environmental variables and level of metabolizable energy intake above maintenance requirements (MEI) on performance and carcass quality of steers. In each experiment (winter and summer), steers were fed with 1.85 or 2.72 times of their requirements of metabolizable energy of maintenance. A total of 24 crossbred steers per experiment were used and located in four pens (26.25 m2/head) equipped with a Calan Broadbent Feeding System. Animals were fed with the same diet within each season, varying the amount offered to adjust the MEI treatments. Mud depth, mud scores, tympanic temperature (TT), environmental variables, average daily gain, respiration rates and carcass characteristics plus three thermal comfort indices were collected. Data analysis considered a factorial arrangement (Season and MEI). In addition, a repeated measures analysis was performed for TT and respiration rate. Mean values of ambient temperature, solar radiation and comfort thermal indices were greater in the summer experiment as expected (Pcarcass characteristics were affected by season but not by the level of MEI. Finally, due to the high variability of data as well as the small number of animals assessed in these experiments, more studies on carcass characteristics under similar conditions are required.

  1. Level-1 trigger selection of electrons and photons with CMS for LHC Run-II.

    CERN Document Server

    AUTHOR|(CDS)2088114

    2016-01-01

    The CMS experiment has a sophisticated two-level online selection system that achieves a rejection factor of nearly $10^5$. The first, hardware-level trigger (L1) is based on coarse information coming from the calorimeters and the muon detectors while the High-Level Trigger combines fine-grain information from all subdetectors. During Run II, the LHC will increase its center of mass energy to 13 or 14 TeV, and progressively reach an instantaneous luminosity of $2\\times10^{34} \\mathrm{cm}^{-2}\\mathrm{s}^{-1}$. In order to guarantee a successful and ambitious physics programme in this intense environment, the CMS trigger and data acquisition system must be upgraded. The L1 calorimeter trigger hardware and architecture in particular has been redesigned to maintain the current thresholds even in presence of more demanding conditions (e.g., for electrons and photons) and improve the performance for the selection of $\\tau$ leptons. This design benefits from recent $\\mu$TCA technology, allowing sophisticated algorit...

  2. Operation and performance of the ATLAS Level-1 Calorimeter and Level-1 Topological Triggers in Run 2 at the LHC

    CERN Document Server

    Whalen, Kate; The ATLAS collaboration

    2017-01-01

    In Run 2 at CERN's Large Hadron Collider, the ATLAS detector uses a two-level trigger system to reduce the event rate from the nominal collision rate of 40 MHz to the event storage rate of 1 kHz, while preserving interesting physics events. The first step of the trigger system, Level-1, reduces the event rate to 100 kHz with a latency of less than 2.5 μs. One component of this system is the Level-1 Calorimeter Trigger (L1Calo), which uses coarse-granularity information from the electromagnetic and hadronic calorimeters to identify regions of interest corresponding to electrons, photons, taus, jets, and large amounts of transverse energy and missing transverse energy. In this talk, we will discuss the improved performance of the L1Calo system in the challenging, high-luminosity conditions provided by the LHC in Run 2. As the LHC exceeds its design luminosity, it is becoming even more critical to reduce event rates while preserving physics. A new feature of the ATLAS trigger system for Run 2 is the Level-1 Top...

  3. Steering Maps and Their Application to Dimension-Bounded Steering

    Science.gov (United States)

    Moroder, Tobias; Gittsovich, Oleg; Huber, Marcus; Uola, Roope; Gühne, Otfried

    2016-03-01

    The existence of quantum correlations that allow one party to steer the quantum state of another party is a counterintuitive quantum effect that was described at the beginning of the past century. Steering occurs if entanglement can be proven even though the description of the measurements on one party is not known, while the other side is characterized. We introduce the concept of steering maps, which allow us to unlock sophisticated techniques that were developed in regular entanglement detection and to use them for certifying steerability. As an application, we show that this allows us to go beyond even the canonical steering scenario; it enables a generalized dimension-bounded steering where one only assumes the Hilbert space dimension on the characterized side, with no description of the measurements. Surprisingly, this does not weaken the detection strength of very symmetric scenarios that have recently been carried out in experiments.

  4. Architecture of a Level 1 Track Trigger for the CMS Experiment

    CERN Document Server

    Heintz, Ulrich

    2010-01-01

    The luminosity goal for the Super-LHC is 1035/cm2/s. At this luminosity the number of proton-proton interactions in each beam crossing will be in the hundreds. This will stress many components of the CMS detector. One system that has to be upgraded is the trigger system. To keep the rate at which the level 1 trigger fires manageable, information from the tracker has to be integrated into the level 1 trigger. Current design proposals foresee tracking detectors that perform on-detector filtering to reject hits from low-momentum particles. In order to build a trigger system, the filtered hit data from different layers and sectors of the tracker will have to be transmitted off the detector and brought together in a logic processor that generates trigger tracks within the time window allowed by the level 1 trigger latency. I will describe a possible architecture for the off-detector logic that accomplishes this goal.

  5. A Track Reconstructing Low-latency Trigger Processor for High-energy Physics

    CERN Document Server

    AUTHOR|(CDS)2067518

    2009-01-01

    The detection and analysis of the large number of particles emerging from high-energy collisions between atomic nuclei is a major challenge in experimental heavy-ion physics. Efficient trigger systems help to focus the analysis on relevant events. A primary objective of the Transition Radiation Detector of the ALICE experiment at the LHC is to trigger on high-momentum electrons. In this thesis, a trigger processor is presented that employs massive parallelism to perform the required online event reconstruction within 2 µs to contribute to the Level-1 trigger decision. Its three-stage hierarchical architecture comprises 109 nodes based on FPGA technology. Ninety processing nodes receive data from the detector front-end at an aggregate net bandwidth of 2.16 Tbps via 1080 optical links. Using specifically developed components and interconnections, the system combines high bandwidth with minimum latency. The employed tracking algorithm three-dimensionally reassembles the track segments found in the detector's dr...

  6. ATLAS level-1 calorimeter trigger hardware: initial timing and energy calibration

    International Nuclear Information System (INIS)

    Childers, J T

    2011-01-01

    The ATLAS Level-1 Calorimeter Trigger identifies high-pT objects in the Liquid Argon and Tile Calorimeters with a fixed latency of up to 2.5μs using a hardware-based, pipelined system built with custom electronics. The Preprocessor Module conditions and digitizes about 7200 pre-summed analogue signals from the calorimeters at the LHC bunch-crossing frequency of 40 MHz, and performs bunch-crossing identification (BCID) and deposited energy measurement for each input signal. This information is passed to further processors for object classification and total energy calculation, and the results are used to make the Level-1 trigger decision for the ATLAS detector. The BCID and energy measurement in the trigger depend on precise timing adjustments to achieve correct sampling of the input signal peak. Test pulses from the calorimeters were analysed to derive the initial timing and energy calibration, and first data from the LHC restart in autumn 2009 and early 2010 were used for validation and further optimization. The results from these calibration measurements are presented.

  7. Growth, carcass characteristics, and profitability of organic versus conventional dairy beef steers.

    Science.gov (United States)

    Bjorklund, E A; Heins, B J; Dicostanzo, A; Chester-Jones, H

    2014-03-01

    Bull calves (n=49), born at the University of Minnesota West Central Research and Outreach Center (Morris) between March and May 2011, were used to compare growth measurements and profitability of conventional and organic dairy steers. Calves were assigned to 1 of 3 replicated groups at birth: conventional (CONV; n=16), organic (pasture and concentrate; ORG; n=16), or organic grass only (GRS; n=17), and analysis of variables was on a pen basis. Breed groups of calves were Holstein (HO; n=9); Holsteins (n=11) maintained at 1964 breed average level; crossbreds (n=19) including combinations of HO, Montbéliarde, and Swedish Red; and crossbreds (n=10) including combinations of HO, Jersey, Swedish Red, and Normande. The CONV steers were fed a diet of 80% concentrate and 20% forage. The ORG steers were fed a diet of organic corn, organic corn silage, and at least 30% of their diet consisted of organic pasture during the grazing season. The GRS steers grazed pasture during the grazing season and were fed high-quality hay or hay silage during the nongrazing season. Intakes of a total mixed ration were recorded daily with herd management software. A profit function was defined to include revenues and expenses for beef value, feed intake, pasture intake, health cost, and yardage. The GRS (358.6 kg) steers had lesser total gains from birth to slaughter than ORG (429.6 kg) and CONV (534.5 kg) steers. Furthermore, the GRS (0.61 kg/d) steers had lesser average daily gain from birth compared with ORG (0.81 kg/d) and CONV (1.1 kg/d) steers. The GRS and ORG steers had smaller rib eye area (49.5 and 65.8 cm(2), respectively) compared with CONV (75.4 cm(2)) steers. For profitability, GRS steers had 43% greater profit than CONV steers due to organic beef price premiums and lower feed costs. On the other hand, ORG steers had substantially less profit than CONV steers. The higher cost of production for the ORG steers is due to the extreme high value of organic corn. The results of the

  8. Control, Test and Monitoring Software Framework for the ATLAS Level-1 Calorimeter Trigger

    CERN Document Server

    Achenbach, R; Aharrouche, M; Andrei, V; Åsman, B; Barnett, B M; Bauss, B; Bendel, M; Bohm, C; Booth, J R A; Bracinik, J; Brawn, I P; Charlton, D G; Childers, J T; Collins, N J; Curtis, C J; Davis, A O; Eckweiler, S; Eisenhandler, E F; Faulkner, P J W; Fleckner, J; Föhlisch, F; Gee, C N P; Gillman, A R; Goringer, C; Groll, M; Hadley, D R; Hanke, P; Hellman, S; Hidvegi, A; Hillier, S J; Johansen, M; Kluge, E E; Kühl, T; Landon, M; Lendermann, V; Lilley, J N; Mahboubi, K; Mahout, G; Meier, K; Middleton, R P; Moa, T; Morris, J D; Müller, F; Neusiedl, A; Ohm, C; Oltmann, B; Perera, V J O; Prieur, D P F; Qian, W; Rieke, S; Rühr, F; Sankey, D P C; Schäfer, U; Schmitt, K; Schultz-Coulon, H C; Silverstein, S; Sjölin, J; Staley, R J; Stamen, R; Stockton, M C; Tan, C L A; Tapprogge, S; Thomas, J P; Thompson, P D; Watkins, P M; Watson, A; Weber, P; Wessels, M; Wildt, M

    2008-01-01

    The ATLAS first-level calorimeter trigger is a hardware-based system designed to identify high-pT jets, electron/photon and tau candidates and to measure total and missing ET in the ATLAS calorimeters. The complete trigger system consists of over 300 customdesignedVME modules of varying complexity. These modules are based around FPGAs or ASICs with many configurable parameters, both to initialize the system with correct calibrations and timings and to allow flexibility in the trigger algorithms. The control, testing and monitoring of these modules requires a comprehensive, but well-designed and modular, software framework, which we will describe in this paper.

  9. TRIGGER

    CERN Multimedia

    R. Carlin with contributions from D. Acosta

    2012-01-01

    Level-1 Trigger Data-taking continues at cruising speed, with high availability of all components of the Level-1 trigger. We have operated the trigger up to a luminosity of 7.6E33, where we approached 100 kHz using the 7E33 prescale column.  Recently, the pause without triggers in case of an automatic "RESYNC" signal (the "settle" and "recover" time) was reduced in order to minimise the overall dead-time. This may become very important when the LHC comes back with higher energy and luminosity after LS1. We are also preparing for data-taking in the proton-lead run in early 2013. The CASTOR detector will make its comeback into CMS and triggering capabilities are being prepared for this. Steps to be taken include improved cooperation with the TOTEM trigger system and using the LHC clock during the injection and ramp phases of LHC. Studies are being finalised that will have a bearing on the Trigger Technical Design Report (TDR), which is to be rea...

  10. The Topological Processor for the future ATLAS Level-1 Trigger

    CERN Document Server

    Kahra, C; The ATLAS collaboration

    2014-01-01

    ATLAS is an experiment on the Large Hadron Collider (LHC), located at the European Organization for Nuclear Research (CERN) in Switzerland. By 2015 the LHC instantaneous luminosity will be increased from $10^{34}$ up to $3\\cdot 10^{34} \\mathrm{cm}^{-2} \\mathrm{s}^{-1}$. This places stringent operational and physical requirements on the ATLAS Trigger in order to reduce the 40MHz collision rate to a manageable event storage rate of 1kHz while at the same time, selecting those events that contain interesting physics events. The Level-1 Trigger is the first rate-reducing step in the ATLAS Trigger, with an output rate of 100kHz and decision latency of less than $2.5 \\mu \\mathrm{s}$. It is composed of the Calorimeter Trigger, the Muon Trigger and the Central Trigger Processor (CTP). In 2014, there will be a new electronics module: the Topological Processor (L1Topo). The L1Topo will make it possible, for the first time, to use detailed information from subdetectors in a single Level-1 module. This allows the determi...

  11. Effects of Supplementing Napier Grass With Graded Levels of Desmodium on Intake and Rumen Fermentation of Steers

    International Nuclear Information System (INIS)

    Kariuki, J.N.; Muia, J.M.K.; Gitau, G.K.; Gachuri, C.K.; Tamminga, S.

    1999-01-01

    A study was conducted to evaluate the effects of greenleaf desmodium ( Desmodium Detortum) on organic matter (OM) intake and rumen fermentation of steers fed on Napier grass (Pennisetum purpureum). four Sahiwal steers (live-weight 437+/- 21 kg) were offered ad libitum four diets constituted from Napier grass and desmodium hay in a 4*4 latin square. The proportion of Desmodium in the diet on DM basis were 0% (D1), 15% (D2), 25% (D3), and 35% (D4) respectively.The OM intake increased significantly (P < 0.05) with the level of desmodium supplement (6.1, 6.4, 7.6 and 8.3). Rumen ammonia (NH3-N) also increased with increased level of supplementation (138, 162, 198, 237 mg 1-1). rumen pH increased slightly with increasing levels of legume in diet. Feeding desmodium significantly improved (P < 0.05) total volatile acids (VFA). The result indicated that supplementing a basal diet of Napier grass with desmodium increased rumen NH3-N, VFA levels which subsequently led to enhanced digestion and intake

  12. NA62 Level 0 trigger: TELDES, TX mezzanine, RX mezzanine integration scenario

    CERN Multimedia

    Lupi, Matteo

    2015-01-01

    TELDES is a TEL62 daughter-board used in the generation of the Liquid Krypton Calorimeter primitive for the Level 0 Trigger of the NA62 Experiment. TX and RX mezzanines are daughter boards used in the same trigger system to communicate between different levels of the trigger.

  13. On a possible second-level trigger for the experiment DISTO

    International Nuclear Information System (INIS)

    Bussa, M.P.; Fava, L.; Ferrero, L.; Grasso, A.; Ivanov, V.V.; Kisel', I.V.; Konotopskaya, E.V.; Pontecorvo, G.B.; Joint Inst. for Nuclear Research, Dubna

    1995-01-01

    A two-level trigger is to be applied for suppression of the background and for effective selection of events involving short-lived Λ-, Σ- and φ-particles in the experiment DISTO. The first-level trigger is applied for track recognition, in searching for a secondary vertex, and for identifying the detected particles. 10 refs., 14 figs., 1 tab

  14. Digital signal integrity and stability in the ATLAS Level-1 Calorimeter Trigger

    CERN Document Server

    Achenbach, R; Aharrouche, M; Andrei, V; Åsman, B; Barnett, B M; Bauss, B; Bendel, M; Bohm, C; Booth, J R A; Bracinik, J; Brawn, I P; Charlton, D G; Childers, J T; Collins, N J; Curtis, C J; Davis, A O; Eckweiler, S; Eisenhandler, E F; Faulkner, P J W; Fleckner, J; Föhlisch, F; Gee, C N P; Gillman, A R; Goringer, C; Groll, M; Hadley, D R; Hanke, P; Hellman, S; Hidvegi, A; Hillier, S J; Johansen, M; Kluge, E E; Kühl, T; Landon, M; Lendermann, V; Lilley, J N; Mahboubi, K; Mahout, G; Meier, K; Middleton, R P; Moa, T; Morris, J D; Müller, F; Neusiedl, A; Ohm, C; Oltmann, B; Perera, V J O; Prieur, D P F; Qian, W; Rieke, S; Rühr, F; Sankey, D P C; Schäfer, U; Schmitt, K; Schultz-Coulon, H C; Silverstein, S; Sjölin, J; Staley, R J; Stamen, R; Stockton, M C; Tan, C L A; Tapprogge, S; Thomas, J P; Thompson, P D; Watkins, P M; Watson, A; Weber, P; Wessels, M; Wildt, M

    2008-01-01

    The ATLAS Level-1 calorimeter trigger is a hardware-based system with the goal of identifying high-pT objects and to measure total and missing ET in the ATLAS calorimeters within an overall latency of 2.5 microseconds. This trigger system is composed of the Preprocessor which digitises about 7200 analogue input channels and two digital processors to identify high-pT signatures and to calculate the energy sums. The digital part consists of multi-stage, pipelined custom-built modules. The high demands on connectivity between the initial analogue stage and digital part and between the custom-built modules are presented. Furthermore the techniques to establish timing regimes and verify connectivity and stable operation of these digital links will be described.

  15. Ingestive behavior of grazing steers fed increasing levels of concentrate supplementation with different crude protein contents.

    Science.gov (United States)

    Mendes, Fabrício Bacelar Lima; Silva, Robério Rodrigues; de Carvalho, Gleidson Giordano Pinto; da Silva, Fabiano Ferreira; Lins, Túlio Otávio Jardim D Almeida; da Silva, Anderson Luiz Nascimento; Macedo, Venício; Abreu Filho, George; de Souza, Sinvaldo Oliveira; Guimarães, Joanderson Oliveira

    2015-02-01

    This study aimed to evaluate the ingestive behavior of steers on Brachiaria brizantha pasture fed diets with increasing levels of concentrate supplementation. Thirty-two crossbred steers in the finishing phase with average weight of 420 ± 8 kg were distributed in a completely randomized design with four treatments and eight replicates per treatment. Their behavior was assessed every 5 min for 24 h, in the middle of the experimental period. Variance and regression analyses at 0.05 % probability were adopted. The times spent grazing and ruminating reduced linearly (P <0.05), whereas the times spent at the trough (eating) and on other activities increased linearly (P <0.05) as the supplementation levels were elevated. The total feeding and chewing times decreased linearly (P <0.05) as the concentrate levels in the diet were elevated. By increasing the supplementation levels, the number of bites per day decreased linearly (P <0.05), and the feed efficiency of dry matter increased quadratically. Rumination efficiency of dry matter increased linearly (P <0.05) with increasing levels of concentrate supplementation. Grazing and rumination activities are reduced when the time devoted to other activities and at the trough are increased, as a result of the substitution effect.

  16. The upgrade of the ATLAS High Level Trigger and Data Acquisition systems and their integration

    CERN Document Server

    Abreu, R; The ATLAS collaboration

    2014-01-01

    The Data Acquisition (DAQ) and High Level Trigger (HLT) systems that served the ATLAS experiment during LHC's first run are being upgraded in the first long LHC shutdown period, from 2013 to 2015. This contribution describes the elements that are vital for the new interaction between the two systems. The central architectural enhancement is the fusion of the once separate Level 2, Event Building (EB), and Event Filter steps. Through the factorization of previously disperse functionality and better exploitation of caching mechanisms, the inherent simplification carries with it an increase in performance. Flexibility to different running conditions is improved by an automatic balance of formerly separate tasks. Incremental EB is the principle of the new Data Collection, whereby the HLT farm avoids duplicate requests to the detector Read-Out System (ROS) by preserving and reusing previously obtained data. Moreover, requests are packed and fetched together to avoid redundant trips to the ROS. Anticipated EB is ac...

  17. Conceptual design of the first level trigger for the SDC experiment

    International Nuclear Information System (INIS)

    Drinkard, J.; Griffin, G.; Lankford, A.J.; Schmid, B.; Stoker, D.; Tarazi, J.; Lipniacka, A.; Brisson, J.C.; Hubbard, R.; Le Du, P.; Thooris, B.; Yashioka, H.; Hamatsu, R.; Nickerson, R.B.; Chapman, J.; Dunn, A.; Mann, J.; Miao, C.; Vejcik, S.; Dasu, S.; Gorski, T.; Lackey, J.; Smith, W.H.; Temple, W.; Coupal, D.

    1994-07-01

    We report on a conceptual design of the First Level Trigger for the SDC Experiment at the SSC. Level 1 algorithms employ barrel and intermediate trackers, and electromagnetic and hadronic calorimeters. Results of simulations of background rates and efficiencies are presented together with a discussion of the simulation method. Tracking and calorimetric triggers are discussed in detail. Some hardware implementation ideas for the trigger algorithms are mentioned. (authors). 8 refs., 4 figs., 2 tabs

  18. A track reconstructing low-latency trigger processor for high-energy physics

    International Nuclear Information System (INIS)

    Cuveland, Jan de

    2009-01-01

    The detection and analysis of the large number of particles emerging from high-energy collisions between atomic nuclei is a major challenge in experimental heavy-ion physics. Efficient trigger systems help to focus the analysis on relevant events. A primary objective of the Transition Radiation Detector of the ALICE experiment at the LHC is to trigger on high-momentum electrons. In this thesis, a trigger processor is presented that employs massive parallelism to perform the required online event reconstruction within 2 μs to contribute to the Level-1 trigger decision. Its three-stage hierarchical architecture comprises 109 nodes based on FPGA technology. Ninety processing nodes receive data from the detector front-end at an aggregate net bandwidth of 2.16 Tbit/s via 1080 optical links. Using specifically developed components and interconnections, the system combines high bandwidth with minimum latency. The employed tracking algorithm three-dimensionally reassembles the track segments found in the detector's drift chambers based on explicit value comparisons, calculates the momentum of the originating particles from the course of the reconstructed tracks, and finally leads to a trigger decision. The architecture is capable of processing up to 20 000 track segments in less than 2 μs with high detection efficiency and reconstruction precision for high-momentum particles. As a result, this thesis shows how a trigger processor performing complex online track reconstruction within tight real-time requirements can be realized. The presented hardware has been built and is in continuous data taking operation in the ALICE experiment. (orig.)

  19. A track reconstructing low-latency trigger processor for high-energy physics

    Energy Technology Data Exchange (ETDEWEB)

    Cuveland, Jan de

    2009-09-17

    The detection and analysis of the large number of particles emerging from high-energy collisions between atomic nuclei is a major challenge in experimental heavy-ion physics. Efficient trigger systems help to focus the analysis on relevant events. A primary objective of the Transition Radiation Detector of the ALICE experiment at the LHC is to trigger on high-momentum electrons. In this thesis, a trigger processor is presented that employs massive parallelism to perform the required online event reconstruction within 2 {mu}s to contribute to the Level-1 trigger decision. Its three-stage hierarchical architecture comprises 109 nodes based on FPGA technology. Ninety processing nodes receive data from the detector front-end at an aggregate net bandwidth of 2.16 Tbit/s via 1080 optical links. Using specifically developed components and interconnections, the system combines high bandwidth with minimum latency. The employed tracking algorithm three-dimensionally reassembles the track segments found in the detector's drift chambers based on explicit value comparisons, calculates the momentum of the originating particles from the course of the reconstructed tracks, and finally leads to a trigger decision. The architecture is capable of processing up to 20 000 track segments in less than 2 {mu}s with high detection efficiency and reconstruction precision for high-momentum particles. As a result, this thesis shows how a trigger processor performing complex online track reconstruction within tight real-time requirements can be realized. The presented hardware has been built and is in continuous data taking operation in the ALICE experiment. (orig.)

  20. Workshop on data acquisition and trigger system simulations for high energy physics

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1992-12-31

    This report discusses the following topics: DAQSIM: A data acquisition system simulation tool; Front end and DCC Simulations for the SDC Straw Tube System; Simulation of Non-Blocklng Data Acquisition Architectures; Simulation Studies of the SDC Data Collection Chip; Correlation Studies of the Data Collection Circuit & The Design of a Queue for this Circuit; Fast Data Compression & Transmission from a Silicon Strip Wafer; Simulation of SCI Protocols in Modsim; Visual Design with vVHDL; Stochastic Simulation of Asynchronous Buffers; SDC Trigger Simulations; Trigger Rates, DAQ & Online Processing at the SSC; Planned Enhancements to MODSEM II & SIMOBJECT -- an Overview -- R.; DAGAR -- A synthesis system; Proposed Silicon Compiler for Physics Applications; Timed -- LOTOS in a PROLOG Environment: an Algebraic language for Simulation; Modeling and Simulation of an Event Builder for High Energy Physics Data Acquisition Systems; A Verilog Simulation for the CDF DAQ; Simulation to Design with Verilog; The DZero Data Acquisition System: Model and Measurements; DZero Trigger Level 1.5 Modeling; Strategies Optimizing Data Load in the DZero Triggers; Simulation of the DZero Level 2 Data Acquisition System; A Fast Method for Calculating DZero Level 1 Jet Trigger Properties and Physics Input to DAQ Studies.

  1. Workshop on data acquisition and trigger system simulations for high energy physics

    International Nuclear Information System (INIS)

    1992-01-01

    This report discusses the following topics: DAQSIM: A data acquisition system simulation tool; Front end and DCC Simulations for the SDC Straw Tube System; Simulation of Non-Blocklng Data Acquisition Architectures; Simulation Studies of the SDC Data Collection Chip; Correlation Studies of the Data Collection Circuit ampersand The Design of a Queue for this Circuit; Fast Data Compression ampersand Transmission from a Silicon Strip Wafer; Simulation of SCI Protocols in Modsim; Visual Design with vVHDL; Stochastic Simulation of Asynchronous Buffers; SDC Trigger Simulations; Trigger Rates, DAQ ampersand Online Processing at the SSC; Planned Enhancements to MODSEM II ampersand SIMOBJECT -- an Overview -- R.; DAGAR -- A synthesis system; Proposed Silicon Compiler for Physics Applications; Timed -- LOTOS in a PROLOG Environment: an Algebraic language for Simulation; Modeling and Simulation of an Event Builder for High Energy Physics Data Acquisition Systems; A Verilog Simulation for the CDF DAQ; Simulation to Design with Verilog; The DZero Data Acquisition System: Model and Measurements; DZero Trigger Level 1.5 Modeling; Strategies Optimizing Data Load in the DZero Triggers; Simulation of the DZero Level 2 Data Acquisition System; A Fast Method for Calculating DZero Level 1 Jet Trigger Properties and Physics Input to DAQ Studies

  2. Physics performances with the new ATLAS Level-1 Topological trigger in Run 2

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00414333; The ATLAS collaboration

    2016-01-01

    The ATLAS trigger system aims at reducing the 40 MHz proton-proton collision event rate to a manageable event storage rate of 1 kHz, preserving events valuable for physics analysis. The Level-1 trigger is the first rate-reducing step in the ATLAS trigger system, with an output rate of 100 kHz and decision latency of less than 2.5 micro seconds. It is composed of the calorimeter trigger, muon trigger and central trigger processor. During the last upgrade, a new electronics element was introduced to Level-1: The Topological Processor System. It will make it possible to use detailed realtime information from the Level-1 calorimeter and muon triggers, processed in individual state of the art FPGA processors to determine angles between jets and/or leptons and calculate kinematic variables based on lists of selected/sorted objects. More than one hundred VHDL algorithms are producing trigger outputs to be incorporated into the central trigger processor. This information will be essential to improve background reject...

  3. CMS Trigger Performance

    CERN Document Server

    Donato, Silvio

    2017-01-01

    During its second run of operation (Run 2) which started in 2015, the LHC will deliver a peak instantaneous luminosity that may reach $2 \\cdot 10^{34}$ cm$^{-2}$s$^{-1}$ with an average pile-up of about 55, far larger than the design value. Under these conditions, the online event selection is a very challenging task. In CMS, it is realized by a two-level trigger system the Level-1 (L1) Trigger, implemented in custom-designed electronics, and the High Level Trigger (HLT), a streamlined version of the offline reconstruction software running on a computer farm. In order to face this challenge, the L1 trigger has been through a major upgrade compared to Run 1, whereby all electronic boards of the system have been replaced, allowing more sophisticated algorithms to be run online. Its last stage, the global trigger, is now able to perform complex selections and to compute high-level quantities, like invariant masses. Likewise, the algorithms that run in the HLT go through big improvements; in particular, new appr...

  4. TRIGGER

    CERN Multimedia

    W. Smith from contributions of C. Leonidopoulos

    2010-01-01

    Level-1 Trigger Hardware and Software Since nearly all of the Level-1 (L1) Trigger hardware at Point 5 has been commissioned, activities during the past months focused on the fine-tuning of synchronization, particularly for the ECAL and the CSC systems, on firmware upgrades and on improving trigger operation and monitoring. Periodic resynchronizations or hard resets and a shortened luminosity section interval of 23 seconds were implemented. For the DT sector collectors, an automatic power-off was installed in case of high temperatures, and the monitoring capabilities of the opto-receivers and the mini-crates were enhanced. The DTTF and the CSCTF now have improved memory lookup tables. The HCAL trigger primitive logic implemented a new algorithm providing better stability of the energy measurement in the presence of any phase misalignment. For the Global Calorimeter Trigger, additional Source Cards have been manufactured and tested. Testing of the new tau, missing ET and missing HT algorithms is underw...

  5. A Level 1 Tracking Trigger for the CMS Experiment at the LHC Phase 2 Luminosity Upgrade

    CERN Document Server

    Pozzobon, Nicola

    2011-01-01

    The second decade of Large Hadron Collider operations, from about 2020 onwards, envisages a remarkable increase in collider instantaneous luminosity, one order of magnitude above the project one. This luminosity increase presents several challenges to the LHC experiments. The present tracker of the Compact Muon Solenoid experiment must be replaced with a system providing excellent tracking quality at higher luminosities, as well as Tracking Trigger inputs to the existing “Level 0” CMS trigger system at the full 40 MHz bunch-crossing rate. The minimal requirements for a Tracking Trigger would be the capability to confirm the presence of high-pT tracks associated with Calorimeter and/or Muon Level 0 triggers. The ability to provide eective isolation criteria may also be required, and would in any case substantially improve the Trigger performance. Maintaining the data rates generated by Tracking Trigger inputs within a manageable bandwidth requires sensor modules able to locally sparsify the data. Measuring...

  6. The ATLAS Level-1 Topological Trigger Design and Operation in Run-2

    CERN Document Server

    Igonkina, Olga; The ATLAS collaboration

    2018-01-01

    The ATLAS Level-1 Trigger system performs initial event selection using data from calorimeters and the muon spectrometer to reduce the LHC collision event rate down to about 100 kHz. Trigger decisions from the different sub-systems are combined in the Central Trigger Processor for the final Level-1 decision. A new FPGAs-based AdvancedTCA sub-system was introduced to calculate in real time complex kinematic observables: the Topological Processor System. It was installed during the shutdown and commissioning started in 2015 and continued during 2016. The design and operation of the Level-1 Topological Trigger in Run-2 will be illustrated.

  7. Effect of corn dry distiller grains plus solubles supplementation level on performance and digestion characteristics of steers grazing native range during forage growing season.

    Science.gov (United States)

    Martínez-Pérez, M F; Calderón-Mendoza, D; Islas, A; Encinias, A M; Loya-Olguín, F; Soto-Navarro, S A

    2013-03-01

    Two experiments were conducted to evaluate effects of corn dry distiller grains plus condensed solubles (DDGS) supplementation level on performance digestion characteristics of steers grazing native range during the forage growing season. In the performance study, 72 (206 ± 23.6 kg; 2008) and 60 (230 ± 11.3 kg; 2009) English crossbred steer calves were used in a randomized complete block design replicated over 2 yr. The grazing periods lasted 56 and 58 d and started on August 11 and 18 for 2008 and 2009, respectively. Each year, steers were blocked by BW (light, medium, and heavy), stratified by BW within blocks, and randomly assigned to 1 of 4 grazing groups. Each grazing group (6 steers in 2008 and 5 in 2009) was assigned to a DDGS supplementation levels (0, 0.2, 0.4, and 0.6% BW). Grazing group served as the experimental unit with 12 groups per year receiving 1 of 4 treatments for 2 yr (n = 6). In the metabolism study, 16 English crossbred steers (360 ± 28.9 kg) fitted with ruminal cannulas grazing native range during the summer growing season were used in a completely randomized design to evaluate treatment effects on forage intake and digestion. The experiment was conducted during the first and second weeks of October 2008. Steers were randomly assigned to supplement level (0, 0.2, 0.4, and 0.6% BW; n = 4) and grazed a single native range pasture with supplements offered individually once daily at 0700 h. In the performance study, ADG (0.64, 0.75, 0.80, and 0.86 ± 0.03 kg/d for 0, 0.2, 0.4, and 0.6% BW, respectively) increased linearly (P = 0.01) with increasing DDGS supplementation level. In the metabolism study, forage OM, NDF, CP, and ether extract (EE) intake decreased (P ≤ 0.05) linearly with increasing DDGS supplementation level. Total CP and EE intake increased (P ≤ 0.002) with increasing DDGS supplementation level. Digestibility of OM, NDF, and EE increased (linear; P ≤ 0.008) whereas the soluble CP fraction of forage masticate sample

  8. The CMS Level-1 Trigger Barrel Track Finder

    International Nuclear Information System (INIS)

    Ero, J.; Wulz, C.; Evangelou, I.; Flouris, G.; Foudas, C.; Loukas, N.; Manthos, N.; Papadopoulos, I.; Paradas, E.; Guiducci, L.; Sotiropoulos, S.; Sphicas, P.; Triossi, A.

    2016-01-01

    The design and performance of the upgraded CMS Level-1 Trigger Barrel Muon Track Finder (BMTF) is presented. Monte Carlo simulation data as well as cosmic ray data from a CMS muon detector slice test have been used to study in detail the performance of the new track finder. The design architecture is based on twelve MP7 cards each of which uses a Xilinx Virtex-7 FPGA and can receive and transmit data at 10 Gbps from 72 input and 72 output fibers. According to the CMS Trigger Upgrade TDR the BMTF receives trigger primitive data which are computed using both RPC and DT data and transmits data from a number of muon candidates to the upgraded Global Muon Trigger. Results from detailed studies of comparisons between the BMTF algorithm results and the results of a C++ emulator are also presented. The new BMTF will be commissioned for data taking in 2016

  9. First level trigger processor for the ZEUS calorimeter

    International Nuclear Information System (INIS)

    Dawson, J.W.; Talaga, R.L.; Burr, G.W.; Laird, R.J.; Smith, W.; Lackey, J.

    1990-01-01

    This paper discusses the design of the first level trigger processor for the ZEUS calorimeter. This processor accepts data from the 13,000 photomultipliers of the calorimeter which is topologically divided into 16 regions, and after regional preprocessing, performs logical and numerical operations which cross regional boundaries. Because the crossing period at the HERA collider is 96 ns, it is necessary that first-level trigger decisions be made in pipelined hardware. One microsecond is allowed for the processor to perform the required logical and numerical operations, during which time the data from ten crossings would be resident in the processor while being clocked through the pipelined hardware. The circuitry is implemented in 100K ECL, Advanced CMOS discrete devices, and programmable gate arrays, and operates in a VME environment. All tables and registers are written/read from VME, and all diagnostic codes are executed from VME. Preprocessed data flows into the processor at a rate of 5.2GB/s, and processed data flows from the processor to the Global First-Level Trigger at a rate of 700MB/s. The system allows for subsets of the logic to be configured by software and for various important variables to be histogrammed as they flow through the processor. 2 refs., 3 figs

  10. First-level trigger processor for the ZEUS calorimeter

    International Nuclear Information System (INIS)

    Dawson, J.W.; Talaga, R.L.; Burr, G.W.; Laird, R.J.; Smith, W.; Lackey, J.

    1990-01-01

    The design of the first-level trigger processor for the Zeus calorimeter is discussed. This processor accepts data from the 13,000 photomultipliers of the calorimeter, which is topologically divided into 16 regions, and after regional preprocessing performs logical and numerical operations that cross regional boundaries. Because the crossing period at the HERA collider is 96 ns, it is necessary that first-level trigger decisions be made in pipelined hardware. One microsecond is allowed for the processor to perform the required logical and numerical operations, during which time the data from ten crossings would be resident in the processor while being clocked through the pipelined hardware. The circuitry is implemented in 100K emitter-coupled logic (ECL), advanced CMOS discrete devices and programmable gate arrays, and operates in a VME environment. All tables and registers are written/read from VME, and all diagnostic codes are executed from VME. Preprocessed data flows into the processor at a rate of 5.2 Gbyte/s, and processed data flows from the processor to the global first-level trigger at a rate of 70 Mbyte/s. The system allows for subsets of the logic to be configured by software and for various important variables to be histogrammed as they flow through the processor

  11. Dedicated Trigger for Highly Ionising Particles at ATLAS

    CERN Document Server

    Katre, Akshay; The ATLAS collaboration

    2015-01-01

    In 2012, a novel strategy was designed to detect signatures of Highly Ionising Particles (HIPs) such as magnetic monopoles, dyons or Qballs with the ATLAS trigger system. With proton-proton collisions at a centre of mass enegy of 8 TeV, the trigger was designed to have unique properties as a tracker for HIPs. It uses only the Transition Radiation Tracker (TRT) system, applying an algorithm distinct from standard tracking ones. The unique high threshold readout capability of the TRT is used at the location where HIPs in the detector are looked for. In particular the number and the fraction of TRT high threshold hits is used to distinguish HIPs from background processes. The trigger requires significantly lower energy depositions in the electro-magnetic calorimeters as a seed unlike previously used trigger algorithms for such searches. Thus the new trigger is capable of probing a large range of HIP masses and charges. We will give a description of the algorithms for this newly developed trigger for HIP searches...

  12. Online optimized hysteresis-based steering feel model for steer-by-wire systems

    Directory of Open Access Journals (Sweden)

    Ahmet Kirli

    2016-06-01

    Full Text Available In rubber-wheeled road vehicles, the mechanical connection between steering wheel and front wheels provides steering-related feedback to the driver. The torque fed back to the driver through the steering linkages and steering wheel, which is called steering feel, helps the driver in controlling the vehicle. The torque feedback is reproduced via artificial methods in steer-by-wire systems due to the lack of mechanical connection. In this work, in order to minimize the physical workload and the lateral acceleration under the consideration of handling performance, optimization of a hysteresis-based steering feel has been studied. A 2-degree-of-freedom bicycle model based on the magic formula tire model has been used for simulations and hardware-in-the-loop experiments. A mathematical model is proposed in order to create an adaptive model-based optimization of the hysteresis parameters simultaneously while driving. A hardware-in-the-loop experimental setup has been used for the driving tests. The weave and the double-lane change tests have been performed with different drivers in order to demonstrate and quantify the optimization methods that are presented in this work.

  13. Simulation of the High Performance Time to Digital Converter for the ATLAS Muon Spectrometer trigger upgrade

    International Nuclear Information System (INIS)

    Meng, X.T.; Levin, D.S.; Chapman, J.W.; Zhou, B.

    2016-01-01

    The ATLAS Muon Spectrometer endcap thin-Resistive Plate Chamber trigger project compliments the New Small Wheel endcap Phase-1 upgrade for higher luminosity LHC operation. These new trigger chambers, located in a high rate region of ATLAS, will improve overall trigger acceptance and reduce the fake muon trigger incidence. These chambers must generate a low level muon trigger to be delivered to a remote high level processor within a stringent latency requirement of 43 bunch crossings (1075 ns). To help meet this requirement the High Performance Time to Digital Converter (HPTDC), a multi-channel ASIC designed by CERN Microelectronics group, has been proposed for the digitization of the fast front end detector signals. This paper investigates the HPTDC performance in the context of the overall muon trigger latency, employing detailed behavioral Verilog simulations in which the latency in triggerless mode is measured for a range of configurations and under realistic hit rate conditions. The simulation results show that various HPTDC operational configurations, including leading edge and pair measurement modes can provide high efficiency (>98%) to capture and digitize hits within a time interval satisfying the Phase-1 latency tolerance.

  14. TRIGGER

    CERN Multimedia

    Roberta Arcidiacono

    2013-01-01

    Trigger Studies Group (TSG) The Trigger Studies Group has just concluded its third 2013 workshop, where all POGs presented the improvements to the physics object reconstruction, and all PAGs have shown their plans for Trigger development aimed at the 2015 High Level Trigger (HLT) menu. The Strategy for Trigger Evolution And Monitoring (STEAM) group is responsible for Trigger menu development, path timing, Trigger performance studies coordination, HLT offline DQM as well as HLT release, menu and conditions validation – this last task in collaboration with PdmV (Physics Data and Monte Carlo Validation group). In the last months the group has delivered several HLT rate estimates and comparisons, using the available data and Monte Carlo samples. The studies were presented at the Trigger workshops in September and December, and STEAM has contacted POGs and PAGs to understand the origin of the discrepancies observed between 8 TeV data and Monte Carlo simulations. The most recent results show what the...

  15. Operation of the Upgraded ATLAS Level-1 Central Trigger System

    CERN Document Server

    Glatzer, Julian Maximilian Volker; The ATLAS collaboration

    2015-01-01

    The ATLAS Level-1 Central Trigger (L1CT) system is a central part of ATLAS data-taking and has undergone a major upgrade for Run 2 of the LHC, in order to cope with the expected increase of instantaneous luminosity of a factor of 2 with respect to Run 1. The upgraded hardware offers more flexibility in the trigger decisions due to the double amount of trigger inputs and usable trigger channels. It also provides an interface to the new topological trigger system. Operationally - particularly useful for commissioning, calibration and test runs - it allows concurrent running of up to 3 different sub-detector combinations. In this contribution, we give an overview of the operational software framework of the L1CT system with particular emphasis of the configuration, controls and monitoring aspects. The software framework allows a consistent configuration with respect to the ATLAS experiment and the LHC machine, upstream and downstream trigger processors, and the data acquisition. Trigger and dead-time rates are m...

  16. Boosted decision trees in the CMS Level-1 endcap muon trigger

    CERN Document Server

    Low, Jia Fu; Busch, Elena Laura; Carnes, Andrew Mathew; Furic, Ivan-Kresimir; Gleyzer, Sergei; Kotov, Khristian; Madorsky, Alexander; Rorie, Jamal Tildon; Scurlock, Bobby; Shi, Wei; Acosta, Darin Edward

    2017-01-01

    The first implementation of Boosted Decision Trees (BDTs) inside a Level-1 trigger system at the LHC is presented. The Endcap Muon Track Finder (EMTF) at CMS uses BDTs to infer the momentum of muons in the forward region of the detector, based on 25 different variables. Combinations of these variables are evaluated offline using regression BDTs, whose output is stored in 1.2 GB look-up tables (LUTs) in the EMTF hardware. These BDTs take advantage of complex correlations between variables, the inhomogeneous magnetic field, and non-linear effects such as inelastic scattering to distinguish high-momentum signal muons from the overwhelming low-momentum background. The LUTs are used to turn the complex BDT evaluation into a simple look-up operation in fixed low latency. The new momentum assignment algorithm has reduced the trigger rate by a factor of 3 at the 25 GeV trigger threshold with respect to the legacy system, with further improvements foreseen in the coming year.

  17. Concept of a Stand-Alone Muon Trigger with High Transverse Momentum Resolution for the ATLAS Detector at the High-Luminosity LHC

    CERN Document Server

    Horii, Yasuyuki; The ATLAS collaboration

    2014-01-01

    The ATLAS trigger uses a three-level trigger system. The level-1 (L1) trigger for muons with high transverse momentum pT in ATLAS is based on fast chambers with excellent time resolution which are able to identify muons coming from a particular beam crossing. These trigger chambers also provide a fast measurement of the muon transverse momenta, however with limited accuracy caused by the moderate spatial resolution along the deflecting direction of the magnetic field. The higher luminosity foreseen for Phase-II puts stringent limits on the L1 trigger rates. A way to control these rates is the improvement of the spatial resolution of the triggering device which drastically sharpens the turn-on curve of the L1 trigger. To do this, the precision tracking chambers (MDT) can be used in the L1 trigger, if the corresponding trigger latency is increased as planned. The trigger rate reduction is accomplished by strongly decreasing the rate of triggers from muons with pT lower than a predefined threshold (typically 20 ...

  18. On Electrohydraulic Pressure Control for Power Steering Applications : Active Steering for Road Vehicles

    OpenAIRE

    Dell'Amico, Alessandro

    2016-01-01

    This thesis deals with the Electrohydraulic Power Steering system for road vehicles, using electronic pressure control valves. With an ever increasing demand for safer vehicles and fewer traffic accidents, steering-related active safety functions are becoming more common in modern vehicles. Future road vehicles will also evolve towards autonomous vehicles, with several safety, environmental and financial benefits. A key component in realising such solutions is active steering. The power steer...

  19. Test of a demonstrator of an MDT-based first-level muon Trigger for HL-LHC under realistic operating conditions

    CERN Document Server

    Kroha, Hubert; The ATLAS collaboration

    2015-01-01

    Highly selective first level triggers are essential for the physics programme of the ATLAS Experiment at the HL-LHC where the instantaneous luminosity will exceed the LHC’s instantaneous luminosity by almost an order of magnitude. The ATLAS first level muon trigger rate is dominated by low momentum sub-trigger threshold muons due to the limited momentum resolution at trigger level caused by the moderate spatial resolution of the resistive plate and thin gap trigger chambers. This limitation can be overcome by including the data of the precision muon drift tube chambers in the first level Trigger decision. This requires the implementation of a fast MDT read-out chain and a fast MDT track reconstruction. A hardware demonstrator of the fast read-out chain was successfully tested under HL-LHC operating conditions at CERN’s Gamma Irradiation Facility. It could be shown that the data provided by the demonstrator can be processed with a fast track reconstruction algorithm on an ARM CPU within the 6 microseconds ...

  20. Electronic differential control of 2WD electric vehicle considering steering stability

    Science.gov (United States)

    Hua, Yiding; Jiang, Haobin; Geng, Guoqing

    2017-03-01

    Aiming at the steering wheel differential steering control technology of rear wheel independent driving electric wheel, considering the assisting effect of electronic differential control on vehicle steering, based on the high speed steering characteristic of electric wheel car, the electronic differential speed of auxiliary wheel steering is also studied. A yaw moment control strategy is applied to the vehicle at high speed. Based on the vehicle stability reference value, yaw rate is used to design the fuzzy controller to distribute the driving wheel torque. The simulation results show that the basic electronic differential speed function is realized based on the yaw moment control strategy, while the vehicle stability control is improved and the driving safety is enhanced. On the other hand, the torque control strategy can also assist steering of vehicle.

  1. TRIGGER

    CERN Multimedia

    by Wesley Smith

    2010-01-01

    Level-1 Trigger Hardware and Software The overall status of the L1 trigger has been excellent and the running efficiency has been high during physics fills. The timing is good to about 1%. The fine-tuning of the time synchronization of muon triggers is ongoing and will be completed after more than 10 nb-1 of data have been recorded. The CSC trigger primitive and RPC trigger timing have been refined. A new configuration for the CSC Track Finder featured modified beam halo cuts and improved ghost cancellation logic. More direct control was provided for the DT opto-receivers. New RPC Cosmic Trigger (RBC/TTU) trigger algorithms were enabled for collision runs. There is further work planned during the next technical stop to investigate a few of the links from the ECAL to the Regional Calorimeter Trigger (RCT). New firmware and a new configuration to handle trigger rate spikes in the ECAL barrel are also being tested. A board newly developed by the tracker group (ReTRI) has been installed and activated to block re...

  2. The Level-1 Global Muon Trigger for the CMS Experiment

    OpenAIRE

    Sakulin, H; Taurok, Anton

    2003-01-01

    The three independent Level-1 muon trigger systems in CMS deliver up to 16 muon candidates per bunch crossing, each described by transverse momentum, direction, charge and quality. The Global Muon Trigger combines these measurements in order to find the best four muon candidates in the entire detector and attaches bits from the calorimeter trigger to denote calorimetric isolation and confirmation. A single-board logic design is presented: via a special front panel and a custom back plane more...

  3. Commissioning and validation of the ATLAS Level-1 topological trigger

    CERN Document Server

    AUTHOR|(SzGeCERN)788741; The ATLAS collaboration; Hong, Tae Min

    2017-01-01

    The ATLAS experiment has recently commissioned a new hardware component of its first-level trigger: the topological processor (L1Topo). This innovative system, using state-of-the-art FPGA processors, selects events by applying kinematic and topological requirements on candidate objects (energy clusters, jets, and muons) measured by calorimeters and muon sub-detectors. Since the first-level trigger is a synchronous pipelined system, such requirements are applied within a latency of 200ns. We will present the first results from data recorded using the L1Topo trigger; these demonstrate a significantly improved background event rejection, thus allowing for a rate reduction without efficiency loss. This improvement has been shown for several physics processes leading to low-$P_{T}$ leptons, including $H\\to{}\\tau{}\\tau{}$ and $J/\\Psi\\to{}\\mu{}\\mu{}$. In addition, we will discuss the use of an accurate L1Topo simulation as a powerful tool to validate and optimize the performance of this new trigger system. To reach ...

  4. 49 CFR 570.7 - Steering systems.

    Science.gov (United States)

    2010-10-01

    ... 49 Transportation 6 2010-10-01 2010-10-01 false Steering systems. 570.7 Section 570.7... Pounds or Less § 570.7 Steering systems. (a) System play. Lash or free play in the steering system shall... in the steering system. Table 1—Steering System Free Play Values Steering wheel diameter (inches...

  5. Active Return-to-Center Control Based on Torque and Angle Sensors for Electric Power Steering Systems.

    Science.gov (United States)

    Du, Pan-Pan; Su, Hao; Tang, Gong-You

    2018-03-14

    This paper presents a complete control strategy of the active return-to-center (RTC) control for electric power steering (EPS) systems. We first establish the mathematical model of the EPS system and analyze the source and influence of the self-aligning torque (SAT). Second, based on the feedback signals of steering column torque and steering wheel angle, we give the trigger conditions of a state switch between the steering assist state and the RTC state. In order to avoid the sudden change of the output torque for the driving motor when the state switches frequently between the steering assist state and the RTC state, we design an undisturbed state switching logic algorithm. This state switching logic algorithm ensures that the output value of the RTC controller is set to an initial value and increases in given steps up to a maximum value after entering the RTC state, and the output value of the RTC controller will reduce in given steps down to zero when exiting the RTC state. This therefore ensures smooth switch control between the two states and improves the driver's steering feeling. Third, we design the RTC controller, which depends upon the feedback signals of the steering wheel angle and the angular velocity. In addition, the controller increases the auxiliary control function of the RTC torque based on vehicle speed. The experimental results show that the active RTC control method does not affect the basic assist characteristics, which effectively reduces the residual angle of the steering wheel at low vehicle speed and improves the RTC performance of the vehicle.

  6. Optimization studies on the calorimetric second level tau trigger of the ATLAS experiment at the Large Hadron Collider

    CERN Document Server

    Perez Codina, Estel

    2008-01-01

    Moving to the high energy regime of LHC, the identification of tau leptons will become an important and very powerful tool, allowing the discovery of physics beyond the Standard Model. Many models, light SM Higgs and various SUSY models among them, predict an abundant production of taus with respect to other leptons. The ATLAS collaboration has developed tools to efficiently identify tau at trigger level, based on the advanced calorimetry and tracking capabilities. The work presented in this Master Thesis is focused on the optimization of the first trigger level energy thresholds and the second trigger level calorimetric variables. A systematic optimization is designed, which allows us to study the robustness of the trigger selection. The improvements achieved by using a sampling energy calibration are discussed. Finally, an optimization on the size of the calorimeter region used to calculate the trigger variables is performed.

  7. Effect of inclusion of different levels of silage on rumen microbial population and microbial protein synthesis in dairy steers fed on rice straw

    Directory of Open Access Journals (Sweden)

    Thien Truong Giang Nguyen

    2017-02-01

    Full Text Available Objective Leucaena leucocephala (Leucaena is a perennial tropical legume that can be directly grazed or harvested and offered to ruminants as hay, silage, or fresh. However, Leucaena contain phenolic compounds, which are considered anti-nutritional factors as these may reduce intake, digestibility and thus animal performance. Therefore, the objective of this experiment was to determine effects of Leucaena silage (LS feeding levels on rumen microbial populations, N-balance and microbial protein synthesis in dairy steers. Methods Four, rumen fistulated dairy steers with initial weight of 167±12 kg were randomly assigned to receive dietary treatments according to a 4×4 Latin square design. Treatments were as followings: T1 = untreated rice straw (RS; Control, T2 = 70% RS+30% LS, T3 = 40% RS+60% LS, and T4 = 100% LS. Dairy steers were fed rice straw and LS ad libitum and supplemented with concentrate at 0.2% of body weight/d. Results Results revealed that the rumen microbial population, especially cellulolytic, proteolytic bacteria and fungal zoospores were enhanced in steers that received 60% of LS (p0.05. Protozoal population was linearly decreased with increasing level of LS (p<0.05. Moreover, N-balance and microbial protein synthesis were enhanced by LS feeding (p<0.05 and were the highest in 60% LS group. Conclusion Based on this study, it could be concluded that replacement of RS with 60% LS significantly improved microbial population and microbial protein synthesis in diary steers.

  8. Experimental verification of multidimensional quantum steering

    Science.gov (United States)

    Li, Che-Ming; Lo, Hsin-Pin; Chen, Liang-Yu; Yabushita, Atsushi

    2018-03-01

    Quantum steering enables one party to communicate with another remote party even if the sender is untrusted. Such characteristics of quantum systems not only provide direct applications to quantum information science, but are also conceptually important for distinguishing between quantum and classical resources. While concrete illustrations of steering have been shown in several experiments, quantum steering has not been certified for higher dimensional systems. Here, we introduce a simple method to experimentally certify two different kinds of quantum steering: Einstein-Podolsky-Rosen (EPR) steering and single-system (SS) steering (i.e., temporal steering), for dimensionality (d) up to d = 16. The former reveals the steerability among bipartite systems, whereas the latter manifests itself in single quantum objects. We use multidimensional steering witnesses to verify EPR steering of polarization-entangled pairs and SS steering of single photons. The ratios between the measured witnesses and the maximum values achieved by classical mimicries are observed to increase with d for both EPR and SS steering. The designed scenario offers a new method to study further the genuine multipartite steering of large dimensionality and potential uses in quantum information processing.

  9. Level-1 pixel based tracking trigger algorithm for LHC upgrade

    CERN Document Server

    Moon, Chang-Seong

    2015-01-01

    The Pixel Detector is the innermost detector of the tracking system of the Compact Muon Solenoid (CMS) experiment at CERN Large Hadron Collider (LHC). It precisely determines the interaction point (primary vertex) of the events and the possible secondary vertexes due to heavy flavours ($b$ and $c$ quarks); it is part of the overall tracking system that allows reconstructing the tracks of the charged particles in the events and combined with the magnetic field to measure their impulsion. The pixel detector allows measuring the tracks in the region closest to the interaction point. The Level-1 (real-time) pixel based tracking trigger is a novel trigger system that is currently being studied for the LHC upgrade. An important goal is developing real-time track reconstruction algorithms able to cope with very high rates and high flux of data in a very harsh environment. The pixel detector has an especially crucial role in precisely identifying the primary vertex of the rare physics events from the large pile-up (P...

  10. Triggering soft bombs at the LHC

    Science.gov (United States)

    Knapen, Simon; Griso, Simone Pagan; Papucci, Michele; Robinson, Dean J.

    2017-08-01

    Very high multiplicity, spherically-symmetric distributions of soft particles, with p T ˜ few×100 MeV, may be a signature of strongly-coupled hidden valleys that exhibit long, efficient showering windows. With traditional triggers, such `soft bomb' events closely resemble pile-up and are therefore only recorded with minimum bias triggers at a very low efficiency. We demonstrate a proof-of-concept for a high-level triggering strategy that efficiently separates soft bombs from pile-up by searching for a `belt of fire': a high density band of hits on the innermost layer of the tracker. Seeding our proposed high-level trigger with existing jet, missing transverse energy or lepton hardware-level triggers, we show that net trigger efficiencies of order 10% are possible for bombs of mass several × 100 GeV. We also consider the special case that soft bombs are the result of an exotic decay of the 125 GeV Higgs. The fiducial rate for `Higgs bombs' triggered in this manner is marginally higher than the rate achievable by triggering directly on a hard muon from associated Higgs production.

  11. Simulation and Validation of the ATLAS Level-1 Topological Trigger

    CERN Document Server

    Bakker, Pepijn Johannes; The ATLAS collaboration

    2017-01-01

    The ATLAS experiment has recently commissioned a new component of its first-level trigger: the L1 topological trigger. This system, using state-of-the-art FPGA processors, makes it possible to reject events by applying topological requirements, such as kinematic criteria involving clusters, jets, muons, and total transverse energy. The data recorded using the L1Topological trigger demonstrates that this innovative trigger strategy allows for an improved rejection rate without efficiency loss. This improvement has been shown for several relevant physics processes leading to low-$p_T$ leptons, including $H\\to{}\\tau{}\\tau{}$ and $J/\\Psi\\to{}\\mu{}\\mu{}$. In addition, an accurate simulation of the L1Topological trigger is used to validate and optimize the performance of this trigger. To reach such an accuracy, this simulation must take into account the fact that the firmware algorithms are executed on a FPGA architecture, while the simulation is executed on a floating point architecture.

  12. The performance of the ATLAS missing transverse momentum high-level trigger in 2015 pp collisions at $13$ TeV

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00534627

    The performance of the ATLAS missing transverse momentum (${E_\\text{T}^\\text{miss}}$) high-level trigger during 2015 operation is presented. In 2015, the Large Hadron Collider operated at a higher centre-of-mass energy and shorter bunch spacing ($\\sqrt{s} = 13$ TeV and $25$ ns, respectively) than in previous operation. In future operation, the Large Hadron Collider will operate at even higher instantaneous luminosity ($\\mathcal{O}(10^{34} \\text{ cm$^{-2}$ s$^{-1}$}$) and produce a higher average number of interactions per bunch crossing, $\\langle \\mu \\rangle$. These operating conditions will pose significant challenges to the ${E_\\text{T}^\\text{miss}}$ trigger efficiency and rate. An overview of the new algorithms implemented to address these challenges, and of the existing algorithms is given. An integrated luminosity of $1.4 \\text{ fb$^{-1}$}$ with $\\langle \\mu \\rangle = 14$ was collected from pp collisions of the Large Hadron Collider by the ATLAS detector during October and November 2015 and was used to s...

  13. LHCb base-line level-0 trigger 3D-flow implementation

    CERN Document Server

    Crosetto, D

    1999-01-01

    The LHCb Level-0 trigger implementation with the 3D-Flow system offers full programmability, allowing it to adapt to unexpected operating conditions and enabling new, unpredicted physics. The implementation is described in detail and refers to components and technology available today. The 3D-Flow Processor system is a new, technology-independent concept in very fast, real-time system architectures. Based on the replication of a single type of circuit of 100 k gates, which communicates in six directions: bi-directional with North, East, West, and South neighbors, unidirectional from Top to Bottom, the system offers full programmability, modularity, ease of expansion and adaptation to the latest technology. A complete study of its applicability to the LHCb calorimeter triggers is presented. Full description of the input data handling, either in digital or mixed digital-analog form, of the data processing, and the transmission of results to the global level-0 trigger decision unit are provided. Any level-0 trig...

  14. Development of active rear steer actuator. Development of four wheel steer actuator for active safety; Active rear steer actuator no kaihatsu. Yobo anzen ni muketa 4WS actuator no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    Yamanaka, T [Aisin Seiki Co. Ltd., Aichi (Japan)

    1997-10-01

    Recently, ecology, energy saving and safety have become important issues. And Active Safety is spotlighted in vehicle control area. Many researches and developments on four wheel steer system have been done to improve vehicle stability. We have developed the Active Rear Steer system with electromechanical Actuator, which is mass-productive, compact, and high response and durable. 10 figs., 5 tabs.

  15. Active Return-to-Center Control Based on Torque and Angle Sensors for Electric Power Steering Systems

    Directory of Open Access Journals (Sweden)

    Pan-Pan Du

    2018-03-01

    Full Text Available This paper presents a complete control strategy of the active return-to-center (RTC control for electric power steering (EPS systems. We first establish the mathematical model of the EPS system and analyze the source and influence of the self-aligning torque (SAT. Second, based on the feedback signals of steering column torque and steering wheel angle, we give the trigger conditions of a state switch between the steering assist state and the RTC state. In order to avoid the sudden change of the output torque for the driving motor when the state switches frequently between the steering assist state and the RTC state, we design an undisturbed state switching logic algorithm. This state switching logic algorithm ensures that the output value of the RTC controller is set to an initial value and increases in given steps up to a maximum value after entering the RTC state, and the output value of the RTC controller will reduce in given steps down to zero when exiting the RTC state. This therefore ensures smooth switch control between the two states and improves the driver’s steering feeling. Third, we design the RTC controller, which depends upon the feedback signals of the steering wheel angle and the angular velocity. In addition, the controller increases the auxiliary control function of the RTC torque based on vehicle speed. The experimental results show that the active RTC control method does not affect the basic assist characteristics, which effectively reduces the residual angle of the steering wheel at low vehicle speed and improves the RTC performance of the vehicle.

  16. Strong Einstein-Podolsky-Rosen steering with unconditional entangled states

    Science.gov (United States)

    Steinlechner, Sebastian; Bauchrowitz, Jöran; Eberle, Tobias; Schnabel, Roman

    2013-02-01

    In 1935 Schrödinger introduced the terms entanglement and steering in the context of the famous gedanken experiment discussed by Einstein, Podolsky, and Rosen (EPR). Here, we report on a sixfold increase of the observed EPR-steering effect with regard to previous experiments, as quantified by the Reid criterion. We achieved an unprecedented low conditional variance product of about 0.04<1, where 1 is the upper bound below which steering is demonstrated. The steering effect was observed on an unconditional two-mode-squeezed entangled state that contained a total vacuum state contribution of less than 8%, including detection imperfections. Together with the achieved high interference contrast between the entangled state and a bright coherent laser field, our state is compatible with efficient applications in high-power laser interferometers and fiber-based networks for entanglement distribution.

  17. A binary link tracker for the BaBar level 1 trigger system

    International Nuclear Information System (INIS)

    Berenyi, A.; Chen, H.K.; Dao, K.

    1999-01-01

    The BaBar detector at PEP-II will operate in a high-luminosity e + e - collider environment near the Υ(4S) resonance with the primary goal of studying CP violation in the B meson system. In this environment, typical physics events of interest involve multiple charged particles. These events are identified by counting these tracks in a fast first level (Level 1) trigger system, by reconstructing the tracks in real time. For this purpose, a Binary Link Tracker Module (BLTM) was designed and fabricated for the BaBar Level 1 Drift Chamber trigger system. The BLTM is responsible for linking track segments, constructed by the Track Segment Finder Modules (TSFM), into complete tracks. A single BLTM module processes a 360 MBytes/s stream of segment hit data, corresponding to information from the entire Drift Chamber, and implements a fast and robust algorithm that tolerates high hit occupancies as well as local inefficiencies of the Drift Chamber. The algorithms and the necessary control logic of the BLTM were implemented in Field Programmable Gate Arrays (FPGAs), using the VHDL hardware description language. The finished 9U x 400 mm Euro-format board contains roughly 75,000 gates of programmable logic or about 10,000 lines of VHDL code synthesized into five FPGAs

  18. ATLAS Level-1 Calorimeter Trigger Subsystem Tests of a Prototype Cluster Processor Module

    CERN Document Server

    Garvey, J; Apostologlou, P; Ay, C; Barnett, B M; Bauss, B; Brawn, I P; Bohm, C; Dahlhoff, A; Davis, A O; Edwards, J; Eisenhandler, E F; Gee, C N P; Gillman, A R; Hanke, P; Hellman, S; Hidévgi, A; Hillier, S J; Jakobs, K; Kluge, E E; Landon, M; Mahboubi, K; Mahout, G; Meier, K; Meshkov, P; Moye, T H; Mills, D; Moyse, E; Nix, O; Penno, K; Perera, V J O; Qian, W; Schmitt, K; Schäfer, U; Silverstein, S; Staley, R J; Thomas, J; Trefzger, T M; Watkins, P M; Watson, A; 9th Workshop On Electronics For LHC Experiments - LECC 2003

    2003-01-01

    The Level-1 Calorimeter Trigger consists of a Preprocessor (PP), a Cluster Processor (CP), and a Jet/Energy-sum Processor (JEP). The CP and JEP receive digitised trigger-tower data from the Preprocessor and produce trigger multiplicity and Region-of-Interest (RoI) information. The trigger will also provide intermediate results to the data acquisition (DAQ) system for monitoring and diagnostic purposes by using Readout Driver (ROD) Modules. The CP Modules (CPM) are designed to find isolated electron/photon and hadron/tau clusters in overlapping windows of trigger towers. Each pipelined CPM processes 8-bit data from a total of 128 trigger towers at each LHC crossing. Four full-specification prototypes of CPMs have been built and results of complete tests on individual boards will be presented. These modules were then integrated with other modules to build an ATLAS Level-1 Calorimeter Trigger subsystem test bench. Realtime data were exchanged between modules, and time-slice readout data were tagged and transferr...

  19. Retrospective Review of Pectoralis Major Ruptures in Rodeo Steer Wrestlers

    Directory of Open Access Journals (Sweden)

    Breda H. F. Lau

    2013-01-01

    Full Text Available Background. Pectoralis major tendon ruptures have been reported in the literature as occupational injuries, accidental injuries, and sporting activities. Few cases have been reported with respect to rodeo activities. Purpose. To describe a series of PM tendon ruptures in professional steer wrestlers. Study Design. Case series, level of evidence, 4. Methods. A retrospective analysis of PM ruptures in a steer wrestling cohort was performed. Injury data between 1992 and 2008 were reviewed using medical records from the University of Calgary Sport Medicine Center. Results. Nine cases of pectoralis major ruptures in professional steer wrestlers were identified. Injuries occurred during the throwing phase of the steer or while breaking a fall. All athletes reported unexpected or abnormal behavior of the steer that contributed to the mechanism of injury. Seven cases were surgically repaired, while two cases opted for nonsurgical intervention. Eight cases reported successful return to competition following the injury. Conclusion. Steer wrestlers represent a unique cohort of PM rupture case studies. Steer wrestling is a demanding sport that involves throwing maneuvers that may predispose the muscle to rupture. All cases demonstrated good functional outcomes regardless of surgical or non-surgical treatment.

  20. Study on control schemes of flexible steering system of a multi-axle all-wheel-steering robot

    Directory of Open Access Journals (Sweden)

    Pingxia Zhang

    2016-05-01

    Full Text Available It is well known that a multi-axle wheeled robot possesses larger load capability and also higher drive performance. However, its steering flexibility is degraded due to the large number of wheels. In order to solve this problem, in this article, we proposed three control schemes based on the center of rotation or the steering angles of both the first- and last-axle wheels. To release these control schemes, steering mode selection and also the left wheel’s steering angle in a specific axle are added approaching a practical application. Thereafter, the remaining wheels’ steering angles can be calculated with the Ackerman steering theorem. In order to verify the control effects, a five-axle all-wheel-steering wheeled robot has been developed with the Bluetooth wireless monitor system. Based on the newly designed robot, validation experiments are carried out, such as lateral movement, situ rotation, and multi-mode steering within a narrow space. The results indicate that the proposed design in this article can ensure a more flexible and faster movement within a narrow space. It shows large potential in obstacle avoidance compared with the conventional partial-wheel steering mode.

  1. The Topological Processor for the future ATLAS Level-1 Trigger: from design to commissioning

    CERN Document Server

    Simioni, E; The ATLAS collaboration

    2014-01-01

    The ATLAS detector at the Large Hadron Collider (LHC) is designed to measure decay properties of high energetic particles produced in the proton-proton collisions. During its first run, the LHC collided proton bunches at a frequency of 20 MHz, and therefore the detector required a Trigger system to efficiently select events down to a manageable event storage rate of about 400 Hz. By 2015 the LHC instantaneous luminosity will be increased up to 3$\\times$$10^{34}cm^{-2}s^{-1}$: this represent an unprecedented challenge faced by the ATLAS Trigger system. To cope with the higher event rate and efficiently select relevant events from physics point of view, a new element will be included in the Level-1 Trigger scheme after 2015: the Topological Processor (L1Topo).\\\\ The L1Topo system, currently developed at CERN, will consist initially of an ATCA crate and two L1Topo modules. A high density opto-electroconverter (AVAGO miniPOD) drives up to 1.6 Tb/s of data from the calorimeter and muon detectors into two high end ...

  2. Proposed FPGA based tracking for a Level-1 track trigger at CMS for the HL-LHC

    CERN Document Server

    Pozzobon, Nicola

    2014-01-01

    The High Luminosity LHC (HL-LHC) is expected to deliver a luminosity in excess of $5\\times10^{34}$ cm$^{-2}$/s. The high event rate places stringent requirements on the trigger system. A key component of the CMS upgrade for the HL-LHC is a track trigger system which will identify tracks with transverse momenta above 2 GeV already at the first-level trigger within 5 $\\mu$s. This presentation will discuss a proposed track finding and fitting based on the tracklet based approach implemented on FPGAs. Tracklets are formed from pairs of hits in nearby layers in the detector and used in a road search. Summary Fast pattern recognition in Silicon trackers for triggering has often made use of Associative Memories for the pattern recognition step. We propose an alternative approach to solving the pattern recognition and track fitting problem for the upgraded CMS tracker for the HL-LHC operation. We make use of the trigger primitives,stubs, from the tracker. The stubs are formed from pairs of hits in sensors separated r...

  3. ATLAS Level-1 Topological Trigger : Commissioning and Validation in Run 2

    CERN Document Server

    AUTHOR|(SzGeCERN)788741; The ATLAS collaboration; Hong, Tae Min

    2017-01-01

    The ATLAS experiment has recently commissioned a new hardware component of its first-level trigger: the topological processor (L1Topo). This innovative system, using state-of-the-art FPGA processors, selects events by applying kinematic and topological requirements on candidate objects (energy clusters, jets, and muons) measured by calorimeters and muon sub-detectors. Since the first-level trigger is a synchronous pipelined system, such requirements are applied within a latency of 200ns. We will present the first results from data recorded using the L1Topo trigger; these demonstrate a significantly improved background event rejection, thus allowing for a rate reduction without efficiency loss. This improvement has been shown for several physics processes leading to low-$P_{T}$ leptons, including $H\\to{}\\tau{}\\tau{}$ and $J/\\Psi\\to{}\\mu{}\\mu{}$. In addition, we will discuss the use of an accurate L1Topo simulation as a powerful tool to validate and optimize the performance of this new trigger system. To reach ...

  4. Effects of castration age, dietary protein level and lysine/methionine ratio on animal performance, carcass and meat quality of Friesian steers intensively reared.

    Science.gov (United States)

    Prado, I N; Campo, M M; Muela, E; Valero, M V; Catalan, O; Olleta, J L; Sañudo, C

    2014-09-01

    The effects of castration age, dietary protein level and the dietary lysine/methionine (lys/met) ratio on animal performance, carcass characteristics and meat quality were studied in 64 intensively reared Friesian steers. Animals underwent castration procedures at 15 days old or at 5 months old. Dietary treatments started at 90 days old, with eight animals from each castration age randomly allocated to each treatment: 14.6% v. 16.8% CP (DM basis), and 3.0 v. 3.4 lys/met, on a 2×2×2 design. The recommended ratio of 3.0 was reached with supplementation of protected methionine. Steers were slaughtered at 443.5±26.2 kg live weight when they reached 12 months old approximately. Average daily gain, cold carcass weight or carcass classification were not affected by any studied effect. Muscle moisture (P=0.024), C18:2n-6 percentage (P=0.047), polyunsaturated fatty acid/saturated fatty acid (P=0.049) and n-6/n-3 (P=0.003) were higher in late castrated animals. Both high levels of dietary protein (P=0.008) and lys/met ratio (P=0.048) increased the percentage of muscle in the carcass. A level of 16.8% of CP in the diet also increased the percentage of monounsaturated fatty acids in the intramuscular fat (P=0.032), whereas a ratio lys/met of 3.4 decreased the percentage of saturated fatty acids (P=0.028). Thus, it is recommended using diets with a high protein level (16.8%) and a high lys/met ratio (3.4) in animals slaughtered at a young age, in order to obtain carcasses with high muscle content without negatively affecting productive traits or intramuscular fat composition.

  5. Development of high velocity gas gun with a new trigger system-numerical analysis

    Science.gov (United States)

    Husin, Z.; Homma, H.

    2018-02-01

    In development of high performance armor vests, we need to carry out well controlled experiments using bullet speed of more than 900 m/sec. After reviewing trigger systems used for high velocity gas guns, this research intends to develop a new trigger system, which can realize precise and reproducible impact tests at impact velocity of more than 900 m/sec. A new trigger system developed here is called a projectile trap. A projectile trap is placed between a reservoir and a barrel. A projectile trap has two functions of a sealing disk and triggering. Polyamidimide is selected for the trap material and dimensions of the projectile trap are determined by numerical analysis for several levels of launching pressure to change the projectile velocity. Numerical analysis results show that projectile trap designed here can operate reasonably and stresses caused during launching operation are less than material strength. It means a projectile trap can be reused for the next shooting.

  6. Development of a highly selective muon trigger exploiting the high spatial resolution of monitored drift-tube chambers for the ATLAS experiment at the HL-LHC

    CERN Document Server

    Kortner, Oliver; The ATLAS collaboration

    2018-01-01

    The High-Luminosity LHC will provide the unique opportunity to explore the nature of physics beyond the Standard Model. Highly selective first level triggers are essential for the physics programme of the ATLAS experiment at the HL-LHC, where the instantaneous luminosity will exceed the LHC design instantaneous luminosity by almost an order of magnitude. The ATLAS first level muon trigger rate is dominated by low momentum muons, selected due to the moderate momentum resolution of the current system. This first level trigger limitation can be overcome by including data from the precision muon drift tube (MDT) chambers. This requires the fast continuous transfer of the MDT hits to the off-detector trigger logic and a fast track reconstruction algorithm performed in the trigger logic. The feasibility of this approach was studied with LHC collision data and simulated data. Two main options for the hardware implementation will be studied with demonstrators: an FPGA based option with an embedded ARM microprocessor ...

  7. Development of a Highly Selective Muon Trigger Exploiting the High Spatial Resolution of Monitored Drift-Tube Chambers for the ATLAS Experiment at the HL-LHC

    CERN Document Server

    Kortner, Oliver; The ATLAS collaboration

    2018-01-01

    The High-Luminosity LHC will provide the unique opportunity to explore the nature of physics beyond the Standard Model. Highly selective first level triggers are essential for the physics programme of the ATLAS experiment at the HL-LHC, where the instantaneous luminosity will exceed the LHC design instantaneous luminosity by almost an order of magnitude. The ATLAS first level muon trigger rate is dominated by low momentum muons, selected due to the moderate momentum resolution of the current system. This first level trigger limitation can be overcome by including data from the precision muon drift tube (MDT) chambers. This requires the fast continuous transfer of the MDT hits to the off-detector trigger logic and a fast track reconstruction algorithm performed in the trigger logic. The feasibility of this approach was studied with LHC collision data and simulated data. Two main options for the hardware implementation are currently studied with demonstrators, an FPGA based option with an embedded ARM microproc...

  8. Design of a Path-Tracking Steering Controller for Autonomous Vehicles

    Directory of Open Access Journals (Sweden)

    Chuanyang Sun

    2018-06-01

    Full Text Available This paper presents a linearization method for the vehicle and tire models under the model predictive control (MPC scheme, and proposes a linear model-based MPC path-tracking steering controller for autonomous vehicles. The steering controller is designed to minimize lateral path-tracking deviation at high speeds. The vehicle model is linearized by a sequence of supposed steering angles, which are obtained by assuming the vehicle can reach the desired path at the end of the MPC prediction horizon and stay in a steady-state condition. The lateral force of the front tire is directly used as the control input of the model, and the rear tire’s lateral force is linearized by an equivalent cornering stiffness. The course-direction deviation, which is the angle between the velocity vector and the path heading, is chosen as a control reference state. The linearization model is validated through the simulation, and the results show high prediction accuracy even in regions of large steering angle. This steering controller is tested through simulations on the CarSim-Simulink platform (R2013b, MathWorks, Natick, MA, USA, showing the improved performance of the present controller at high speeds.

  9. High energy physics experiment triggers and the trustworthiness of software

    International Nuclear Information System (INIS)

    Nash, T.

    1991-10-01

    For all the time and frustration that high energy physicists expend interacting with computers, it is surprising that more attention is not paid to the critical role computers play in the science. With large, expensive colliding beam experiments now dependent on complex programs working at startup, questions of reliability -- the trustworthiness of software -- need to be addressed. This issue is most acute in triggers, used to select data to record -- and data to discard -- in the real time environment of an experiment. High level triggers are built on codes that now exceed 2 million source lines -- and for the first time experiments are truly dependent on them. This dependency will increase at the accelerators planned for the new millennium (SSC and LHC), where cost and other pressures will reduce tolerance for first run problems, and the high luminosities will make this on-line data selection essential. A sense of this incipient crisis motivated the unusual juxtaposition to topics in these lectures. 37 refs., 1 fig

  10. TRIGGER

    CERN Multimedia

    R. Arcidiacono

    2013-01-01

      In 2013 the Trigger Studies Group (TSG) has been restructured in three sub-groups: STEAM, for the development of new HLT menus and monitoring their performance; STORM, for the development of HLT tools, code and actual configurations; and FOG, responsible for the online operations of the High Level Trigger. The Strategy for Trigger Evolution And Monitoring (STEAM) group is responsible for Trigger Menu development, path timing, trigger performance studies coordination, HLT offline DQM as well as HLT release, menu and conditions validation – in collaboration and with the technical support of the PdmV group. Since the end of proton-proton data taking, the group has started preparing for 2015 data taking, with collisions at 13 TeV and 25 ns bunch spacing. The reliability of the extrapolation to higher energy is being evaluated comparing the trigger rates on 7 and 8 TeV Monte Carlo samples with the data taken in the past two years. The effect of 25 ns bunch spacing is being studied on the d...

  11. A high-voltage triggered pseudospark discharge experiment

    International Nuclear Information System (INIS)

    Ramaswamy, K.; Destler, W.W.; Rodgers, J.

    1996-01-01

    The design and execution of a pulsed high-voltage (350 endash 400 keV) triggered pseudospark discharge experiment is reported. Experimental studies were carried out to obtain an optimal design for stable and reliable pseudospark operation in a high-voltage regime (approx-gt 350 kV). Experiments were performed to determine the most suitable fill gas for electron-beam formation. The pseudospark discharge is initiated by a trigger mechanism involving a flashover between the trigger electrode and hollow cathode housing. Experimental results characterizing the electron-beam energy using the range-energy method are reported. Source size imaging was carried out using an x-ray pinhole camera and a novel technique using Mylar as a witness plate. It was experimentally determined that strong pinching occurred later in time and was associated with the lower-energy electrons. copyright 1996 American Institute of Physics

  12. Operation and Performance of the ATLAS Level-1 Calorimeter and Topological Triggers in Run 2

    CERN Document Server

    Weber, Sebastian Mario; The ATLAS collaboration

    2017-01-01

    In Run 2 at CERN's Large Hadron Collider, the ATLAS detector uses a two-level trigger system to reduce the event rate from the nominal collision rate of 40 MHz to the event storage rate of 1 kHz, while preserving interesting physics events. The first step of the trigger system, Level-1, reduces the event rate to 100 kHz within a latency of less than $2.5$ $\\mu\\text{s}$. One component of this system is the Level-1 Calorimeter Trigger (L1Calo), which uses coarse-granularity information from the electromagnetic and hadronic calorimeters to identify regions of interest corresponding to electrons, photons, taus, jets, and large amounts of transverse energy and missing transverse energy. In these proceedings, we discuss improved features and performance of the L1Calo system in the challenging, high-luminosity conditions provided by the LHC in Run 2. A new dynamic pedestal correction algorithm reduces pile-up effects and the use of variable thresholds and isolation criteria for electromagnetic objects allows for opt...

  13. Slice Test Results of the ATLAS Barrel Muon Level-1 Trigger

    CERN Document Server

    Aielli, G; Alviggi, M G; Bocci, V; Brambilla, Elena; Canale, V; Caprio, M A; Cardarelli, R; Cataldi, G; De Asmundis, R; Della Volpe, D; Di Ciaccio, A; Di Simone, A; Distante, L; Gorini, E; Grancagnolo, F; Iengo, P; Nisati, A; Pastore, F; Patricelli, S; Perrino, R; Petrolo, E; Primavera, M; Salamon, A; Santonico, R; Sekhniaidze, G; Severi, M; Spagnolo, S; Vari, R; Veneziano, Stefano; 9th Workshop On Electronics For LHC Experiments - LECC 2003

    2003-01-01

    The muon spectrometer of the ATLAS experiment makes use of the Resistive Plate Chambers detectors for particle tracking in the barrel region. The level-1 muon trigger system has to measure and discriminate muon transverse momentum, perform a fast and coarse tracking of the muon candidates, associate them to the bunch crossing corresponding to the event of interest, measure the second coordinate in the non-bending projection. The on-detector electronics first collects front-end signals coming from the two inner RPC stations on the low-pT PAD boards, each one covering a region of DetaxDphi=0.2x0.2, and hosting four Coincidence Matrix ASICs. Each CMA performs the low-pT trigger algorithm and data readout on a region of DetaxDphi=0.2x0.1. Data coming from the four CMAs are assembled by the low-pT PAD logic. Each low-pT PAD board sends data to the corresponding high-pT PAD boards, located on the outer RPC station. Four CMA on each board make use of the low-pT trigger result and of the front-end signals coming from...

  14. Realization of a second level neural network trigger for the H1 experiment at HERA

    International Nuclear Information System (INIS)

    Koehne, J.K.; Fent, J.; Froechtenicht, W.; Gaede, F.; Gruber, A.; Haberer, W.; Kiesling, C.; Kobler, T.; Moeck, J.; Wegner, A.; Goldner, D.; Kraemerkaemper, T.; Kolander, M.; Kolanoski, H.

    1997-01-01

    Since 1996 the H1 experiment is fully equipped with two independent fast pattern recognition systems operating as second level triggers (L2). The decision time is 20 μs. One of the two is the neural network trigger. It runs an array of presently ten VME-boards with CNAPS 1064 chips (20 MHz, 128 Mcps) by adaptive solutions. The input trigger data from the detector components arrive in various formats on a 8 x 16 bit wide 10 MHz bus. Before usable as 8-bit input values to the CNAPS they are preprocessed by several bit-manipulating algorithms and arithmetic functions implemented on XILINX 4008 field programmable gate arrays (FPGA). The startup strategy for the new system is to concentrate on photoproduction channels or low multiplicity final states which so far could only be efficiently triggered with unacceptable high rates. (orig.)

  15. Networks: structure and action : steering in and steering by policy networks

    NARCIS (Netherlands)

    Dassen, A.

    2010-01-01

    This thesis explores the opportunities to build a structural policy network model that is rooted in social network theories. By making a distinction between a process of steering in networks, and a process of steering by networks, it addresses the effects of network structures on network dynamics as

  16. Steering handbook

    CERN Document Server

    Pfeffer, Peter

    2017-01-01

    This edited volume presents basic principles as well as advanced concepts of the computational modeling of steering systems. Moreover, the book includes the components and functionalities of modern steering system, which are presented comprehensively and in a practical way. The book is written by more than 15 leading experts from the automotive industry and its components suppliers. The target audience primarily comprises practicing engineers, developers, researchers as well as graduate students who want to specialize in this field.

  17. Upgrade of the ATLAS Level-1 Calorimeter Trigger

    CERN Document Server

    AUTHOR|(CDS)2072874

    2014-01-01

    The Level-1 calorimeter trigger (L1Calo) operated successfully during the first data taking phase of the ATLAS experiment at the LHC. Facing the new challenges posed by the upcoming increases of the LHC beam energy and luminosity, and from the experience of the previous running, a series of upgrades is planned for L1Calo. The initial upgrade phase in 2013-14 includes substantial improvements to the analogue and digital signal processing to cope with baseline shifts due to signal pile-up. Additionally a newly introduced system will receive real-time data from both the upgraded L1Calo and L1Muon trigger to perform trigger algorithms based on entire event topologies. During the second upgrade phase in 2018-19 major parts of L1Calo will be rebuilt in order to exploit a tenfold increase in the available calorimeter data granularity compared to that of the current system. The contribution gives an overview of the existing system and the lessons learned during the first period of LHC data taking. Based on these, the...

  18. Upgrade of the ATLAS Level-1 Calorimeter Trigger

    CERN Document Server

    Mueller, Felix; The ATLAS collaboration

    2014-01-01

    The Level-1 calorimeter trigger (L1Calo) operated successfully during the first data taking phase of the ATLAS experiment at the LHC. Based on the lessons learned , a series of upgrades is planned for L1Calo to face the new challenges posed by the upcoming increases of the LHC beam energy and luminosity. The initial upgrade phase in 2013-14 includes substantial improvements to the analogue and digital signal processing to cope with baseline shifts due to signal pile-up. Additionally a newly introduced system will receive real-time data from both the upgraded L1Calo and L1Muon trigger to perform trigger algorithms based on entire event topologies. During the second upgrade phase in 2018-19 major parts of L1Calo will be rebuilt in order to exploit a tenfold increase in the available calorimeter data granularity compared to that of the current system. In this contribution we present the lessons learned during the first period of LHC data taking. Based on these we discuss the expected performance improvements tog...

  19. The ATLAS Electron and Photon Trigger

    CERN Document Server

    Jones, Samuel David; The ATLAS collaboration

    2017-01-01

    Electron and photon triggers covering transverse energies from 5 GeV to several TeV are essential for signal selection in a wide variety of ATLAS physics analyses to study Standard Model processes and to search for new phenomena. Final states including leptons and photons had, for example, an important role in the discovery and measurement of the Higgs boson. Dedicated triggers are also used to collect data for calibration, efficiency and fake rate measurements. The ATLAS trigger system is divided in a hardware-based Level-1 trigger and a software-based high-level trigger, both of which were upgraded during the LHC shutdown in preparation for Run-2 operation. To cope with the increasing luminosity and more challenging pile-up conditions at a center-of-mass energy of 13 TeV, the trigger selections at each level are optimized to control the rates and keep efficiencies high. To achieve this goal multivariate analysis techniques are used. The ATLAS electron and photon triggers and their performance with Run 2 dat...

  20. The ATLAS Electron and Photon Trigger

    CERN Document Server

    Jones, Samuel David; The ATLAS collaboration

    2018-01-01

    Electron and photon triggers covering transverse energies from 5 GeV to several TeV are essential for signal selection in a wide variety of ATLAS physics analyses to study Standard Model processes and to search for new phenomena. Final states including leptons and photons had, for example, an important role in the discovery and measurement of the Higgs boson. Dedicated triggers are also used to collect data for calibration, efficiency and fake rate measurements. The ATLAS trigger system is divided in a hardware-based Level-1 trigger and a software-based high-level trigger, both of which were upgraded during the LHC shutdown in preparation for Run-2 operation. To cope with the increasing luminosity and more challenging pile-up conditions at a center-of-mass energy of 13 TeV, the trigger selections at each level are optimized to control the rates and keep efficiencies high. To achieve this goal multivariate analysis techniques are used. The ATLAS electron and photon triggers and their performance with Run 2 dat...

  1. GPUs for real-time processing in HEP trigger systems (CHEP2013: 20. international conference on computing in high energy and nuclear physics)

    Energy Technology Data Exchange (ETDEWEB)

    Lamanna, G; Lamanna, G; Piandani, R [INFN, Pisa (Italy); Ammendola, R [INFN, Rome " Tor Vergata" (Italy); Bauce, M; Giagu, S; Messina, A [University, Rome " Sapienza" (Italy); Biagioni, A; Lonardo, A; Paolucci, P S; Rescigno, M; Simula, F; Vicini, P [INFN, Rome " Sapienza" (Italy); Fantechi, R [CERN, Geneve (Switzerland); Fiorini, M [University and INFN, Ferrara (Italy); Graverini, E; Pantaleo, F; Sozzi, M [University, Pisa (Italy)

    2014-06-11

    We describe a pilot project for the use of Graphics Processing Units (GPUs) for online triggering applications in High Energy Physics (HEP) experiments. Two major trends can be identified in the development of trigger and DAQ systems for HEP experiments: the massive use of general-purpose commodity systems such as commercial multicore PC farms for data acquisition, and the reduction of trigger levels implemented in hardware, towards a pure software selection system (trigger-less). The very innovative approach presented here aims at exploiting the parallel computing power of commercial GPUs to perform fast computations in software both at low- and high-level trigger stages. General-purpose computing on GPUs is emerging as a new paradigm in several fields of science, although so far applications have been tailored to the specific strengths of such devices as accelerator in offline computation. With the steady reduction of GPU latencies, and the increase in link and memory throughputs, the use of such devices for real-time applications in high-energy physics data acquisition and trigger systems is becoming very attractive. We discuss in details the use of online parallel computing on GPUs for synchronous low-level trigger with fixed latency. In particular we show preliminary results on a first test in the NA62 experiment at CERN. The use of GPUs in high-level triggers is also considered, the ATLAS experiment (and in particular the muon trigger) at CERN will be taken as a study case of possible applications.

  2. GPUs for real-time processing in HEP trigger systems (CHEP2013: 20. international conference on computing in high energy and nuclear physics)

    International Nuclear Information System (INIS)

    Lamanna, G; Lamanna, G; Piandani, R; Tor Vergata (Italy))" data-affiliation=" (INFN, Rome Tor Vergata (Italy))" >Ammendola, R; Sapienza (Italy))" data-affiliation=" (University, Rome Sapienza (Italy))" >Bauce, M; Sapienza (Italy))" data-affiliation=" (University, Rome Sapienza (Italy))" >Giagu, S; Sapienza (Italy))" data-affiliation=" (University, Rome Sapienza (Italy))" >Messina, A; Sapienza (Italy))" data-affiliation=" (INFN, Rome Sapienza (Italy))" >Biagioni, A; Sapienza (Italy))" data-affiliation=" (INFN, Rome Sapienza (Italy))" >Lonardo, A; Sapienza (Italy))" data-affiliation=" (INFN, Rome Sapienza (Italy))" >Paolucci, P S; Sapienza (Italy))" data-affiliation=" (INFN, Rome Sapienza (Italy))" >Rescigno, M; Sapienza (Italy))" data-affiliation=" (INFN, Rome Sapienza (Italy))" >Simula, F; Sapienza (Italy))" data-affiliation=" (INFN, Rome Sapienza (Italy))" >Vicini, P; Fantechi, R; Fiorini, M; Graverini, E; Pantaleo, F; Sozzi, M

    2014-01-01

    We describe a pilot project for the use of Graphics Processing Units (GPUs) for online triggering applications in High Energy Physics (HEP) experiments. Two major trends can be identified in the development of trigger and DAQ systems for HEP experiments: the massive use of general-purpose commodity systems such as commercial multicore PC farms for data acquisition, and the reduction of trigger levels implemented in hardware, towards a pure software selection system (trigger-less). The very innovative approach presented here aims at exploiting the parallel computing power of commercial GPUs to perform fast computations in software both at low- and high-level trigger stages. General-purpose computing on GPUs is emerging as a new paradigm in several fields of science, although so far applications have been tailored to the specific strengths of such devices as accelerator in offline computation. With the steady reduction of GPU latencies, and the increase in link and memory throughputs, the use of such devices for real-time applications in high-energy physics data acquisition and trigger systems is becoming very attractive. We discuss in details the use of online parallel computing on GPUs for synchronous low-level trigger with fixed latency. In particular we show preliminary results on a first test in the NA62 experiment at CERN. The use of GPUs in high-level triggers is also considered, the ATLAS experiment (and in particular the muon trigger) at CERN will be taken as a study case of possible applications.

  3. Instrumentation of a Level-1 Track Trigger at ATLAS with Double Buffer Front-End Architecture

    CERN Document Server

    Cooper, B; The ATLAS collaboration

    2012-01-01

    Around 2021 the Large Hadron Collider will be upgraded to provide instantaneous luminosities 5x10^34, leading to excessive rates from the ATLAS Level-1 trigger. We describe a double-buffer front-end architecture for the ATLAS tracker replacement which should enable tracking information to be used in the Level-1 decision. This will allow Level-1 rates to be controlled whilst preserving high efficiency for single lepton triggers at relatively low transverse momentum thresholds pT ~25 GeV, enabling ATLAS to remain sensitive to physics at the electroweak scale. In particular, a potential hardware solution for the communication between the upgraded silicon barrel strip detectors and the external processing within this architecture will be described, and discrete event simulations used to demonstrate that this fits within the tight latency constraints.

  4. The architecture of the CMS Level-1 Trigger Control and Monitoring System using UML

    International Nuclear Information System (INIS)

    Magrans de Abril, Marc; Ghabrous Larrea, Carlos; Lazaridis, Christos; Da Rocha Melo, Jose L; Hammer, Josef; Hartl, Christian

    2011-01-01

    The architecture of the Compact Muon Solenoid (CMS) Level-1 Trigger Control and Monitoring software system is presented. This system has been installed and commissioned on the trigger online computers and is currently used for data taking. It has been designed to handle the trigger configuration and monitoring during data taking as well as all communications with the main run control of CMS. Furthermore its design has foreseen the provision of the software infrastructure for detailed testing of the trigger system during beam down time. This is a medium-size distributed system that runs over 40 PCs and 200 processes that control about 4000 electronic boards. The architecture of this system is described using the industry-standard Universal Modeling Language (UML). This way the relationships between the different subcomponents of the system become clear and all software upgrades and modifications are simplified. The described architecture has allowed for frequent upgrades that were necessary during the commissioning phase of CMS when the trigger system evolved constantly. As a secondary objective, the paper provides a UML usage example and tries to encourage the standardization of the software documentation of large projects across the LHC and High Energy Physics community.

  5. The architecture of the CMS Level-1 Trigger Control and Monitoring System using UML

    Science.gov (United States)

    Magrans de Abril, Marc; Da Rocha Melo, Jose L.; Ghabrous Larrea, Carlos; Hammer, Josef; Hartl, Christian; Lazaridis, Christos

    2011-12-01

    The architecture of the Compact Muon Solenoid (CMS) Level-1 Trigger Control and Monitoring software system is presented. This system has been installed and commissioned on the trigger online computers and is currently used for data taking. It has been designed to handle the trigger configuration and monitoring during data taking as well as all communications with the main run control of CMS. Furthermore its design has foreseen the provision of the software infrastructure for detailed testing of the trigger system during beam down time. This is a medium-size distributed system that runs over 40 PCs and 200 processes that control about 4000 electronic boards. The architecture of this system is described using the industry-standard Universal Modeling Language (UML). This way the relationships between the different subcomponents of the system become clear and all software upgrades and modifications are simplified. The described architecture has allowed for frequent upgrades that were necessary during the commissioning phase of CMS when the trigger system evolved constantly. As a secondary objective, the paper provides a UML usage example and tries to encourage the standardization of the software documentation of large projects across the LHC and High Energy Physics community.

  6. 46 CFR 182.610 - Main steering gear.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Main steering gear. 182.610 Section 182.610 Shipping...) MACHINERY INSTALLATION Steering Systems § 182.610 Main steering gear. (a) A vessel must be provided with a main steering gear that is: (1) Of adequate strength and capable of steering the vessel at all service...

  7. The Topological Processor for the future ATLAS Level-1 Trigger: from design to commissioning

    CERN Document Server

    INSPIRE-00226165

    2014-01-01

    The ATLAS detector at LHC will require a Trigger system to efficiently select events down to a manageable event storage rate of about 400 Hz. By 2015 the LHC instantaneous luminosity will be increased up to 3 x 10^34 cm-2s-1, this represents an unprecedented challenge faced by the ATLAS Trigger system. To cope with the higher event rate and efficiently select relevant events from a physics point of view, a new element will be included in the Level-1 Trigger scheme after 2015: the Topological Processor (L1Topo). The L1Topo system, currently developed at CERN, will consist initially of an ATCA crate and two L1Topo modules. A high density opto-electroconverter (AVAGO miniPOD) drives up to 1.6 Tb/s of data from the calorimeter and muon detectors into two high-end FPGA (Virtex7-690), to be processed in about 200 ns. The design has been optimized to guarantee excellent signal in- tegrity of the high-speed links and low latency data transmission on the Real Time Data Path (RTDP). The L1Topo receives data in a standa...

  8. TRIGGER

    CERN Multimedia

    W. Smith

    2010-01-01

    Level-1 Trigger Hardware and Software The Level-1 Trigger hardware has performed well during both the recent proton-proton and heavy ion running. Efforts were made to improve the visibility and handling of alarms and warnings. The tracker ReTRI boards that prevent fixed frequencies of Level-1 Triggers are now configured through the Trigger Supervisor. The Global Calorimeter Trigger (GCT) team has introduced a buffer cleanup procedure at stops and a reset of the QPLL during configuring to ensure recalibration in case of a switch from the LHC clock to the local clock. A device to test the cables between the Regional Calorimeter Trigger and the GCT has been manufactured. A wrong charge bit was fixed in the CSC Trigger. The ECAL group is improving crystal masking and spike suppression in the trigger primitives. New firmware for the Drift Tube Track Finder (DTTF) sorters was developed to improve fake track tagging and sorting. Zero suppression was implemented in the DT Sector Collector readout. The track finder b...

  9. The Run-2 ATLAS Trigger System

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00222798; The ATLAS collaboration

    2016-01-01

    The ATLAS trigger successfully collected collision data during the first run of the LHC between 2009-2013 at different centre-of-mass energies between 900 GeV and 8 TeV. The trigger system consists of a hardware Level-1 and a software-based high level trigger (HLT) that reduces the event rate from the design bunch-crossing rate of 40 MHz to an average recording rate of a few hundred Hz. In Run-2, the LHC will operate at centre-of-mass energies of 13 and 14 TeV and higher luminosity, resulting in roughly five times higher trigger rates. A brief review of the ATLAS trigger system upgrades that were implemented between Run-1 and Run-2, allowing to cope with the increased trigger rates while maintaining or even improving the efficiency to select physics processes of interest, will be given. This includes changes to the Level-1 calorimeter and muon trigger systems, the introduction of a new Level-1 topological trigger module and the merging of the previously two-level HLT system into a single event filter farm. A ...

  10. Quantum steering in cascaded four-wave mixing processes.

    Science.gov (United States)

    Wang, Li; Lv, Shuchao; Jing, Jietai

    2017-07-24

    Quantum steering is used to describe the "spooky action-at-a-distance" nonlocality raised in the Einstein-Podolsky-Rosen (EPR) paradox, which is important for understanding entanglement distribution and constructing quantum networks. Here, in this paper, we study an experimentally feasible scheme for generating quantum steering based on cascaded four-wave-mixing (FWM) processes in hot rubidium (Rb) vapor. Quantum steering, including bipartite steering and genuine tripartite steering among the output light fields, is theoretically analyzed. We find the corresponding gain regions in which the bipartite and tripartite steering exist. The results of bipartite steering can be used to establish a hierarchical steering model in which one beam can steer the other two beams in the whole gain region; however, the other two beams cannot steer the first beam simultaneously. Moreover, the other two beams cannot steer with each other in the whole gain region. More importantly, we investigate the gain dependence of the existence of the genuine tripartite steering and we find that the genuine tripartite steering exists in most of the whole gain region in the ideal case. Also we discuss the effect of losses on the genuine tripartite steering. Our results pave the way to experimental demonstration of quantum steering in cascaded FWM process.

  11. The Database Driven ATLAS Trigger Configuration System

    CERN Document Server

    Martyniuk, Alex; The ATLAS collaboration

    2015-01-01

    This contribution describes the trigger selection configuration system of the ATLAS low- and high-level trigger (HLT) and the upgrades it received in preparation for LHC Run 2. The ATLAS trigger configuration system is responsible for applying the physics selection parameters for the online data taking at both trigger levels and the proper connection of the trigger lines across those levels. Here the low-level trigger consists of the already existing central trigger (CT) and the new Level-1 Topological trigger (L1Topo), which has been added for Run 2. In detail the tasks of the configuration system during the online data taking are Application of the selection criteria, e.g. energy cuts, minimum multiplicities, trigger object correlation, at the three trigger components L1Topo, CT, and HLT On-the-fly, e.g. rate-dependent, generation and application of prescale factors to the CT and HLT to adjust the trigger rates to the data taking conditions, such as falling luminosity or rate spikes in the detector readout ...

  12. The ATLAS Muon and Tau Trigger

    CERN Document Server

    Dell'Asta, L; The ATLAS collaboration

    2013-01-01

    [Muon] The ATLAS experiment at CERN's Large Hadron Collider (LHC) deploys a three-levels processing scheme for the trigger system. The level-1 muon trigger system gets its input from fast muon trigger detectors. Fast sector logic boards select muon candidates, which are passed via an interface board to the central trigger processor and then to the High Level Trigger (HLT). The muon HLT is purely software based and encompasses a level-2 (L2) trigger followed by an event filter (EF) for a staged trigger approach. It has access to the data of the precision muon detectors and other detector elements to refine the muon hypothesis. Trigger-specific algorithms were developed and are used for the L2 to increase processing speed for instance by making use of look-up tables and simpler algorithms, while the EF muon triggers mostly benefit from offline reconstruction software to obtain most precise determination of the track parameters. There are two algorithms with different approaches, namely inside-out and outside-in...

  13. Online Reconstruction and Calibration with Feedback Loop in the ALICE High Level Trigger

    Directory of Open Access Journals (Sweden)

    Rohr David

    2016-01-01

    at the Large Hadron Collider (LHC at CERN. The High Level Trigger (HLT is an online computing farm, which reconstructs events recorded by the ALICE detector in real-time. The most computing-intensive task is the reconstruction of the particle trajectories. The main tracking devices in ALICE are the Time Projection Chamber (TPC and the Inner Tracking System (ITS. The HLT uses a fast GPU-accelerated algorithm for the TPC tracking based on the Cellular Automaton principle and the Kalman filter. ALICE employs gaseous subdetectors which are sensitive to environmental conditions such as ambient pressure and temperature and the TPC is one of these. A precise reconstruction of particle trajectories requires the calibration of these detectors. As our first topic, we present some recent optimizations to our GPU-based TPC tracking using the new GPU models we employ for the ongoing and upcoming data taking period at LHC. We also show our new approach to fast ITS standalone tracking. As our second topic, we present improvements to the HLT for facilitating online reconstruction including a new flat data model and a new data flow chain. The calibration output is fed back to the reconstruction components of the HLT via a feedback loop. We conclude with an analysis of a first online calibration test under real conditions during the Pb-Pb run in November 2015, which was based on these new features.

  14. Towards a Level-1 Tracking Trigger for the ATLAS Experiment

    CERN Document Server

    De Santo, A; The ATLAS collaboration

    2014-01-01

    Plans for a physics-driven upgrade of the LHC foresee staged increases of the accelerator's average instantaneous luminosity, of up to a factor of five compared to the original design. In order to cope with the sustained luminosity increase, and the resulting higher detector occupancy and particle interaction rates, the ATLAS experiment is planning phased upgrades of the trigger system and of the DAQ infrastructure. In the new conditions, maintaining an adequate signal acceptance for electro-weak processes will pose unprecedented challenges, as the default solution to cope with the higher rates would be to increase thresholds on the transverse momenta of physics objects (leptons, jets, etc). Therefore the possibility to apply fast processing at the first trigger level in order to use tracking information as early as possible in the trigger selection represents a most appealing opportunity, which can preserve the ATLAS trigger's selectivity without reducing its flexibility. Studies to explore the feasibility o...

  15. The Level 0 Pixel Trigger system for the ALICE experiment

    International Nuclear Information System (INIS)

    Rinella, G Aglieri; Kluge, A; Krivda, M

    2007-01-01

    The ALICE Silicon Pixel Detector contains 1200 readout chips. Fast-OR signals indicate the presence of at least one hit in the 8192 pixel matrix of each chip. The 1200 bits are transmitted every 100 ns on 120 data readout optical links using the G-Link protocol. The Pixel Trigger System extracts and processes them to deliver an input signal to the Level 0 trigger processor targeting a latency of 800 ns. The system is compact, modular and based on FPGA devices. The architecture allows the user to define and implement various trigger algorithms. The system uses advanced 12-channel parallel optical fiber modules operating at 1310 nm as optical receivers and 12 deserializer chips closely packed in small area receiver boards. Alternative solutions with multi-channel G-Link deserializers implemented directly in programmable hardware devices were investigated. The design of the system and the progress of the ALICE Pixel Trigger project are described in this paper

  16. TRIGGER

    CERN Multimedia

    W. Smith

    2011-01-01

    Level-1 Trigger Hardware and Software Overall the L1 trigger hardware has been running very smoothly during the last months of proton running. Modifications for the heavy-ion run have been made where necessary. The maximal design rate of 100 kHz can be sustained without problems. All L1 latencies have been rechecked. The recently installed Forward Scintillating Counters (FSC) are being used in the heavy ion run. The ZDC scintillators have been dismantled, but the calorimeter itself remains. We now send the L1 accept signal and other control signals to TOTEM. Trigger cables from TOTEM to CMS will be installed during the Christmas shutdown, so that the TOTEM data can be fully integrated within the CMS readout. New beam gas triggers have been developed, since the BSC-based trigger is no longer usable at high luminosities. In particular, a special BPTX signal is used after a quiet period with no collisions. There is an ongoing campaign to provide enough spare modules for the different subsystems. For example...

  17. TRIGGER

    CERN Multimedia

    J. Alimena

    2013-01-01

    Trigger Strategy Group The Strategy for Trigger Evolution And Monitoring (STEAM) group is responsible for the development of future High-Level Trigger menus, as well as of its DQM and validation, in collaboration and with the technical support of the PdmV group. Taking into account the beam energy and luminosity expected in 2015, a rough estimate of the trigger rates indicates a factor four increase with respect to 2012 conditions. Assuming that a factor two can be tolerated thanks to the increase in offline storage and processing capabilities, a toy menu has been developed using the new OpenHLT workflow to estimate the transverse energy/momentum thresholds that would halve the current trigger rates. The CPU time needed to run the HLT has been compared between data taken with 25 ns and 50 ns bunch spacing, for equivalent pile-up: no significant difference was observed on the global time per event distribution at the only available data point, corresponding to a pile-up of about 10 interactions. Using th...

  18. A theoretical model of speed-dependent steering torque for rolling tyres

    Science.gov (United States)

    Wei, Yintao; Oertel, Christian; Liu, Yahui; Li, Xuebing

    2016-04-01

    It is well known that the tyre steering torque is highly dependent on the tyre rolling speed. In limited cases, i.e. parking manoeuvre, the steering torque approaches the maximum. With the increasing tyre speed, the steering torque decreased rapidly. Accurate modelling of the speed-dependent behaviour for the tyre steering torque is a key factor to calibrate the electric power steering (EPS) system and tune the handling performance of vehicles. However, no satisfactory theoretical model can be found in the existing literature to explain this phenomenon. This paper proposes a new theoretical framework to model this important tyre behaviour, which includes three key factors: (1) tyre three-dimensional transient rolling kinematics with turn-slip; (2) dynamical force and moment generation; and (3) the mixed Lagrange-Euler method for contact deformation solving. A nonlinear finite-element code has been developed to implement the proposed approach. It can be found that the main mechanism for the speed-dependent steering torque is due to turn-slip-related kinematics. This paper provides a theory to explain the complex mechanism of the tyre steering torque generation, which helps to understand the speed-dependent tyre steering torque, tyre road feeling and EPS calibration.

  19. The ATLAS Level-1 Trigger System with 13TeV nominal LHC collisions

    CERN Document Server

    Helary, Louis; The ATLAS collaboration

    2017-01-01

    The Level-1 (L1) Trigger system of the ATLAS experiment at CERN's Large Hadron Collider (LHC) plays a key role in the ATLAS detector data-taking. It is a hardware system that selects in real time events containing physics-motivated signatures. Selection is purely based on calorimetry energy depositions and hits in the muon chambers consistent with muon candidates. The L1 Trigger system has been upgraded to cope with the more challenging run-II LHC beam conditions, including increased centre-of-mass energy, increased instantaneous luminosity and higher levels of pileup. This talk summarises the improvements, commissioning and performance of the L1 ATLAS Trigger for the LHC run-II data period. The acceptance of muon triggers has been improved by increasing the hermiticity of the muon spectrometer. New strategies to obtain a better muon trigger signal purity were designed for certain geometrically difficult transition regions by using the ATLAS hadronic calorimeter. Algorithms to reduce noise spikes in muon trig...

  20. Optimisation of the level-1 calorimeter trigger at ATLAS for Run II

    Energy Technology Data Exchange (ETDEWEB)

    Suchek, Stanislav [Kirchhoff-Institute for Physics, Im Neuenheimer Feld 227, 69120 Heidelberg (Germany); Collaboration: ATLAS-Collaboration

    2015-07-01

    The Level-1 Calorimeter Trigger (L1Calo) is a central part of the ATLAS Level-1 Trigger system, designed to identify jet, electron, photon, and hadronic tau candidates, and to measure their transverse energies, as well total transverse energy and missing transverse energy. The optimisation of the jet energy resolution is an important part of the L1Calo upgrade for Run II. A Look-Up Table (LUT) is used to translate the electronic signal from each trigger tower to its transverse energy. By optimising the LUT calibration we can achieve better jet energy resolution and better performance of the jet transverse energy triggers, which are vital for many physics analyses. In addition, the improved energy calibration leads to significant improvements of the missing transverse energy resolution. A new Multi-Chip Module (MCM), as a part of the L1Calo upgrade, provides two separate LUTs for jets and electrons/photons/taus, allowing to optimise jet transverse energy and missing transverse energy separately from the electromagnetic objects. The optimisation is validated using jet transverse energy and missing transverse energy triggers turn-on curves and rates.

  1. Multiobjective optimization of a steering linkage

    Energy Technology Data Exchange (ETDEWEB)

    Sleesonsom, S.; Bureerat, S. [Sustainable and Infrastructure Research and Development Center, Dept. of Mechanical Engineering, Faculty of Engineering, Khon Kaen University, Khon Kaen (Thailand)

    2016-08-15

    In this paper, multi-objective optimization of a rack-and-pinion steering linkage is proposed. This steering linkage is a common mechanism used in small cars with three advantages as it is simple to construct, economical to manufacture, and compact and easy to operate. In the previous works, many researchers tried to minimize a steering error but minimization of a turning radius is somewhat ignored. As a result, a multi-objective optimization problem is assigned to simultaneously minimize a steering error and a turning radius. The design variables are linkage dimensions. The design problem is solved by the hybrid of multi-objective population-based incremental learning and differential evolution with various constraint handling schemes. The new design strategy leads to effective design of rack-and-pinion steering linkages satisfying both steering error and turning radius criteria.

  2. Multiobjective optimization of a steering linkage

    International Nuclear Information System (INIS)

    Sleesonsom, S.; Bureerat, S.

    2016-01-01

    In this paper, multi-objective optimization of a rack-and-pinion steering linkage is proposed. This steering linkage is a common mechanism used in small cars with three advantages as it is simple to construct, economical to manufacture, and compact and easy to operate. In the previous works, many researchers tried to minimize a steering error but minimization of a turning radius is somewhat ignored. As a result, a multi-objective optimization problem is assigned to simultaneously minimize a steering error and a turning radius. The design variables are linkage dimensions. The design problem is solved by the hybrid of multi-objective population-based incremental learning and differential evolution with various constraint handling schemes. The new design strategy leads to effective design of rack-and-pinion steering linkages satisfying both steering error and turning radius criteria

  3. Discrete event simulation of the ATLAS second level trigger

    International Nuclear Information System (INIS)

    Vermeulen, J.C.; Dankers, R.J.; Hunt, S.; Harris, F.; Hortnagl, C.; Erasov, A.; Bogaerts, A.

    1998-01-01

    Discrete event simulation is applied for determining the computing and networking resources needed for the ATLAS second level trigger. This paper discusses the techniques used and some of the results obtained so far for well defined laboratory configurations and for the full system

  4. The Architecture of the CMS Level-1 Trigger Control and Monitoring System

    CERN Document Server

    Magrans de Abril, Marc; Hammer, Josef; Hartl, Christian; Xie, Zhen

    2011-01-01

    The architecture of the Level-1 Trigger Control and Monitoring system for the CMS experiment is presented. This system has been installed and commissioned on the trigger online computers and is currently used for data taking at the LHC. This is a medium-size distributed system that runs over 40 PCs and 200 processes that control about 4000 electronic boards. It has been designed to handle the trigger configuration and monitoring during data taking as well as all communications with the main run control of CMS. Furthermore its design has foreseen the provision of the software infrastructure for detailed testing of the trigger system during beam down time.

  5. The CMS trigger in Run 2

    CERN Document Server

    Tosi, Mia

    2018-01-01

    During its second period of operation (Run 2) which started in 2015, the LHC will reach a peak instantaneous luminosity of approximately 2$\\times 10^{34}$~cm$^{-2}s^{-1}$ with an average pile-up of about 55, far larger than the design value. Under these conditions, the online event selection is a very challenging task. In CMS, it is realised by a two-level trigger system: the Level-1 (L1) Trigger, implemented in custom-designed electronics, and the High Level Trigger (HLT), a streamlined version of the offline reconstruction software running on a computer farm.\\\\ In order to face this challenge, the L1 trigger has undergone a major upgrade compared to Run 1, whereby all electronic boards of the system have been replaced, allowing more sophisticated algorithms to be run online. Its last stage, the global trigger, is now able to perform complex selections and to compute high-level quantities, like invariant masses. Likewise, the algorithms that run in the HLT went through big improvements; in particular, new ap...

  6. Improvement of motor inertia influence of electric power steering; Dendoshiki power steering no motor kansei no eikyo to hosho

    Energy Technology Data Exchange (ETDEWEB)

    Takehara, S; Sakamoto, K; Hanamoto, Y [Mazda Motor Corp., Hiroshima (Japan); Noritsugu, T [Okayama University, Okayama (Japan)

    1997-10-01

    Motor inertia of electric power steering affects not only steering characteristics but vehicle dynamics. We have investigated the influence of motor inertia and proposed a feedback strategy to compensate it. Weight of the test vehicle is 1100Kg and the steering system is pinion type electric power steering. By using simulation model and vehicle test, we have realized natural steering maneuvering and stable vehicle dynamics. 4 refs., 11 figs.

  7. The ATLAS Trigger: Recent Experience and Future Plans

    CERN Document Server

    The ATLAS collaboration

    2009-01-01

    This paper will give an overview of the ATLAS trigger design and its innovative features. It will describe the valuable experience gained in running the trigger reconstruction and event selection in the fastchanging environment of the detector commissioning during 2008. It will also include a description of the trigger selection menu and its 2009 deployment plan from first collisions to the nominal luminosity. ATLAS is one of the two general-purpose detectors at the Large Hadron Collider (LHC). The trigger system needs to efficiently reject a large rate of background events and still select potentially interesting ones with high efficiency. After a first level trigger implemented in custom electronics, the trigger event selection is made by the High Level Trigger (HLT) system, implemented in software. To reduce the processing time to manageable levels, the HLT uses seeded, step-wise and fast selection algorithms, aiming at the earliest possible rejection of background events. The ATLAS trigger event selection...

  8. The design and performance of the ATLAS jet trigger

    International Nuclear Information System (INIS)

    Shimizu, Shima

    2014-01-01

    The ATLAS jet trigger is an important element of the event selection process, providing data samples for studies of Standard Model physics and searches for new physics at the LHC. The ATLAS jet trigger system has undergone substantial modifications over the past few years of LHC operations, as experience developed with triggering in a high luminosity and high event pileup environment. In particular, the region-of-interest based strategy has been replaced by a full scan of the calorimeter data at the third trigger level, and by a full scan of the level-1 trigger input at level-2 for some specific trigger chains. Hadronic calibration and cleaning techniques are applied in order to provide improved performance and increased stability in high luminosity data taking conditions. In this note we discuss the implementation and operational aspects of the ATLAS jet trigger during 2011 and 2012 data taking periods at the LHC.

  9. The Phase-1 Upgrade of the 
ATLAS Level-1 Endcap Muon Trigger

    CERN Document Server

    Akatsuka, Shunichi; The ATLAS collaboration

    2018-01-01

    Talk slides for RealTime 2018, 9th -15th June 2018 @ Williamsburg, Virginia, USA. Time slot 20 min. (probably 15 min. presentation + 5 min. discussion). This talk is on Phase-1 Upgrade of the Level-1 Endcap Muon trigger. The first part of this presentation describes the overview of the ATLAS trigger system, muon trigger in Run 2 and the Phase-1 Upgrade, and the strategy of phase-1 upgrade. Then in the following few pages, the physics algorithm of the Run 3 muon trigger and its performance is described. The main focus of this talk is on the implementation of the trigger logic to the FPGA. The key component of the trigger part implementation is described, using a schematic diagram and a simulation output screenshot.

  10. LHCb base-line level-0 trigger 3D-Flow implementation

    International Nuclear Information System (INIS)

    Crosetto, Dario B.

    1999-01-01

    The LHCb Level-0 trigger implementation with the 3D-Flow system offers full programmability, allowing it to adapt to unexpected operating conditions and enabling new, unpredicted physics. The implementation is described in detail and refers to components and technology available today. The 3D-Flow Processor system is a new, technology-independent concept in very fast, real-time system architectures. Based on the replication of a single type of circuit of 100k gates, which communicates in six directions: bi-directional with North, East, West, and South neighbors, unidirectional from Top to Bottom, the system offers full programmability, modularity, ease of expansion and adaptation to the latest technology. A complete study of its applicability to the LHCb calorimeter triggers is presented. Full description of the input data handling, either in digital or mixed digital-analog form, of the data processing, and the transmission of results to the global level-0 trigger decision unit are provided. Any level-0 trigger algorithm (2x2, 3x3, 4x4, etc.) with up to 20 steps, can be implemented with zero dead-time, while sustaining input data rate (up to 32-bit per input channel, per bunch crossing) at 40 MHz. For each step, each 3D-Flow processor can execute up to 26 operations, inclusive of compare, ranging, finding local maxima, and efficient data exchange with neighboring channels. (One-to-one correspondence between input channel and trigger tower.) Populated with only two main types of components, front-end FPGAs and 3D-Flow processors, a single type of board, it is shown how the whole Level-0 calorimeter trigger can be accommodated into six crates (9U), each containing 16 identical boards. All 3D-Flow inter-chip Bottom to Top ports connection are all contained on the board (data are multiplexed 2 : 1, PCB traces are shorter than 6 cm); all 3D-flow inter-chip North, East, West, and South ports connections, between boards and crates, are multiplexed (8+2) : 1 and are

  11. The Phase-1 Upgrade of the ATLAS First Level Calorimeter Trigger

    CERN Document Server

    Andrei, George Victor; The ATLAS collaboration

    2017-01-01

    The ATLAS Level-1 calorimeter trigger is planning a series of upgrades in order to face the challenges posed by the upcoming increase of the LHC luminosity. The hardware built for the Phase-1 upgrade will be installed during the long shutdown of the LHC starting in 2019, with the aim of being fully commissioned before the restart in 2021. The upgrade will benefit from new front end electronics for parts of the calorimeter which provide the trigger system with digital data with a tenfold increase in granularity. This makes possible the use of more complex algorithms than currently used and while maintaining low trigger thresholds under much harsher collision conditions. Of principal significance among these harsher conditions will be the increased number interactions per bunch crossing, known as pile-up. The Level-1 calorimeter system upgrade consists of an active and a passive system for digital data distribution and three different Feature EXtraction systems (FEXs) which run complex algorithms to identify el...

  12. Dedicated Trigger for Highly Ionising Particles at ATLAS

    CERN Document Server

    Katre, Akshay; The ATLAS collaboration

    2015-01-01

    In 2012, a novel strategy was designed to detect signatures of Highly Ionising Particles (HIPs) such as magnetic monopoles, dyons or Q-balls with ATLAS. A dedicated trigger was developed and deployed for proton-proton collisions at a centre of mass energy of 8 TeV. It uses the Transition Radiation Tracker (TRT) system, applying an algorithm distinct from standard tracking ones. The high threshold (HT) readout capability of the TRT is used to distinguish HIPs from other background processes. The trigger requires significantly lower energy depositions in the electromagnetic calorimeters and is thereby capable of probing a larger range of HIP masses and charges. A description of the algorithm for this newly developed trigger is presented, along with a comparitive study of its performance during the 2012 data-taking period with respect to previous efforts.

  13. GPUs for the realtime low-level trigger of the NA62 experiment at CERN

    CERN Document Server

    Ammendola, R; Biagioni, A; Chiozzi, S; Cotta Ramusino, A; Fantechi, R; Fiorini, M; Gianoli, A; Graverini, E; Lamanna, G; Lonardo, A; Messina, A; Neri, I; Pantaleo, F; Paolucci, P S; Piandani, R; Pontisso, L; Simula, F; Sozzi, M; Vicini, P

    2015-01-01

    A pilot project for the use of GPUs (Graphics processing units) in online triggering ap- plications for high energy physics experiments (HEP) is presented. GPUs offer a highly parallel architecture and the fact that most of the chip resources are devoted to computa- tion. Moreover, they allow to achieve a large computing power using a limited amount of space and power. The application of online parallel computing on GPUs is shown for the synchronous low level trigger of NA62 experiment at CERN. Direct GPU communication using a FPGA-based board has been exploited to reduce the data transmission latency and results on a first field test at CERN will be highlighted. This work is part of a wider project named GAP (GPU application project), intended to study the use of GPUs in real-time applications in both HEP and medical imagin

  14. Study on a New Steering Mechanism for Point-the-Bit Rotary Steerable System

    Directory of Open Access Journals (Sweden)

    Yuanzhi Li

    2014-02-01

    Full Text Available This paper presents a novel steering mechanism embedded in a point-the-bit rotary steerable system (RSS for oilfield exploitation. The new steering mechanism adopts a set of universal joints to alleviate the high alternative strain on drilling mandrel and employs a specially designed planetary gear small tooth number difference (PGSTD to achieve directional steering. Its principle and characteristics are explained and examined through a series of analyses. First, the eccentric displacement vector of the offset point on the drilling mandrel is formulated and kinematic solutions are established. Next, structural design for the new steering mechanism is addressed. Then, procedures and program architectures for simulating offset state of the drilling mandrel and motion trajectory of the whole steering mechanism are presented. After that, steering motion simulations of the new steering mechanism for both 2D and 3D well trajectories are then performed by combining LabVIEW and SolidWorks. Finally, experiments on the steering motion control of the new steering mechanism prototype are carried out. The simulations and experiments reveal that the steering performance of the new steering mechanism is satisfied. The research can provide good guidance for further research and engineering application of the point-the-bit RSS.

  15. The NA62 Liquid Krypton Electromagnetic Calorimeter Level 0 Trigger

    CERN Document Server

    INSPIRE-00293812; Paoluzzi, Giovanni; Salamon, Andrea; Salina, Gaetano; Santovetti, Emanuele; Scarfi, Francesco M.; Bonaiuto, Vincenzo; Sargeni, Fausto

    2012-01-01

    The NA62 experiment at CERN SPS aims to measure the Branching Ratio of the very rare kaon decay K+ -> pi+ nu nubar collecting O(100) events with a 10% background to make a stringent test of the Standard Model. One of the main backgrounds to the proposed measurement is represented by the K+ -> pi+ pi0 decay. To suppress this background an efficient photo veto system is foreseen. In the 1-10 mrad angular region the NA48 high performance liquid krypton electromagnetic calorimeter is used. The design, implementation and current status of the Liquid Krypton Electromagnetic Calorimeter Level 0 Trigger are presented.

  16. The NA62 Liquid Krypton Electromagnetic Calorimeter Level 0 Trigger

    CERN Document Server

    INSPIRE-00646848; Fucci, Adolfo; Paoluzzi, Giovanni; Salamon, Andrea; Salina, Gaetano; Santovetti, Emanuele; Scarfi, Francesco M.; Sargeni, Fausto

    2011-01-01

    The NA62 experiment at CERN SPS aims to measure the Branching Ratio of the very rare kaon decay K+ -> pi+ nu nubar collecting O(100) events with a 10% background to make a stringent test of the Standard Model. One of the main backgrounds to the proposed measurement is represented by the K+ -> pi+ pi0 decay. To suppress this background an efficient photo veto system is foreseen. In the 1-10 mrad angular region the NA48 high performance liquid krypton electromagnetic calorimeter is used. The design, implementation and current status of the Liquid Krypton Electromagnetic Calorimeter Level 0 Trigger are presented.

  17. Commissioning and Validation of the ATLAS Level-1 Topological Trigger in Run 2

    CERN Document Server

    Zheng, Daniel; The ATLAS collaboration

    2017-01-01

    The ATLAS experiment has introduced and recently commissioned a completely new hardware sub-system of its first-level trigger: the topological processor (L1Topo). L1Topo consist of two AdvancedTCA blades mounting state-of-the-art FPGA processors, providing high input bandwidth (up to 4 Gb/s) and low latency data processing (200 ns). L1Topo is able to select collision events by applying kinematic and topological requirements on candidate objects (energy clusters, jets, and muons) measured by calorimeters and muon sub-detectors. Results from data recorded using the L1Topo trigger will be presented. These results demonstrate a significantly improved background event rejection, thus allowing for rate reduction with minimal efficiency loss. This improvement has been shown for several physics processes leading to low-$p_T$ leptons, including $H\\rightarrow\\tau \\tau$ and $J/\\psi \\rightarrow \\mu \\mu$. In addition to describing the L1Topo trigger system, we will discuss the use of an accurate L1Topo simulation as a pow...

  18. Experimental temporal quantum steering

    Czech Academy of Sciences Publication Activity Database

    Bartkiewicz, K.; Černoch, Antonín; Lemr, K.; Miranowicz, A.; Nori, F.

    2016-01-01

    Roč. 6, Nov (2016), 1-8, č. článku 38076. ISSN 2045-2322 R&D Projects: GA ČR GAP205/12/0382 Institutional support: RVO:68378271 Keywords : temporal quantum steering * EPR steering Subject RIV: BH - Optics, Masers, Lasers Impact factor: 4.259, year: 2016

  19. A mixed signal multi-chip module with high speed serial output links for the ATLAS Level-1 trigger

    CERN Document Server

    Pfeiffer, U

    2000-01-01

    We have built and tested a mixed signal multi-chip module (MCM) to be used in the Level-1 Pre-Processor system for the Calorimeter Trigger of the ATLAS experiment at CERN. The MCM performs high speed digital signal processing on four analogue input signals. Results are transmitted serially at a serial data rate of 800 MBd. Nine chips of different technologies are mounted on a four layer Cu substrate. ADC converters and serialiser chips are the major consumers of electrical power on the MCM, which amounts to 9 W for all dies. Special cut-out areas are used to dissipate heat directly to the copper substrate. In this paper we report on design criteria, chosen MCM technology for substrate and die mounting, experiences with the MCM operation and measurement results. (4 refs).

  20. Effects of weaning age and diet on growth and carcass characteristics in steers.

    Science.gov (United States)

    Fluharty, F L; Loerch, S C; Turner, T B; Moeller, S J; Lowe, G D

    2000-07-01

    Two experiments were conducted to determine the effects of diet on growth of steers weaned at approximately 100 vs 205 d of age. In Exp. 1, a 2 x 2 x 2 factorial experiment was conducted using 78 Angus crossbred cow-calf pairs. The factors examined were age at weaning (early, at 103+/-3 d [EW] vs normal, at 203+/-3 d [NW]), feeding strategy (ad libitum vs postweaning programmed intake), and dietary CP concentration (100 vs 120% of NRC [1984] recommended levels). Early-weaned calves had a greater (P feed for ad libitum consumption reached market weight at 394 d, compared with 409 d for programmed-intake steers (P creep feed, or weaned at 210+/-3 d with access to creep feed for 60 d prior to weaning. Early-weaned calves were heavier (P .10) in longissimus muscle area compared to EW calves fed a 60% concentrate diet. At slaughter, 80 to 100% of steers on all treatments graded low Choice or higher. Feeding high-concentrate diets to EW beef calves accelerated growth rate and fat deposition early in the feeding period and may be a way to provide young cattle for a high-quality beef market.

  1. Geometrodynamic steering principle reveals the determiners of inertia

    International Nuclear Information System (INIS)

    Wheeler, J.A.

    1988-01-01

    What shall the authors need to grasp the essence of quantum gravity? One requirement, at least, is essential: to understand the steering principle of classical geometrodynamics. The authors outline here the physical content of that steering principle - heat of the so-called initial value problem - in its J.W. York, Jr. formulation. The central idea epitomizes itself in a single simple sentence: Mass-energy there determines inertia here. They spell out this steering principle both in its precise form and in its poor man's version. At both levels of analysis considerations of physics and mathematics alike require that the effective mass-energy of gravity waves must make itself felt on the spacetime geometry - and therefore on the gyro-defined local inertial frame of reference - on the same level as matter itself. Additional to the (mass)/(distance) Newtonian potential so familiar as measure of the effect of a nearby mass on the local frame is the Thirring and Lense gravitomagnetic potential, proportional to (angular momentum) x (distance vector)/(distance). The recent proposal of Ciufolini for a dual laser-ranged LAGEOS satellite to detect the thus-predicted gravitomagnetism of the earth is briefly described

  2. 46 CFR 176.814 - Steering systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Steering systems. 176.814 Section 176.814 Shipping COAST...) INSPECTION AND CERTIFICATION Material Inspections § 176.814 Steering systems. At each initial and subsequent inspection for certification the owner or managing operator shall be prepared to test the steering systems of...

  3. The ATLAS Trigger System: Ready for Run-2

    CERN Document Server

    Maeda, Junpei; The ATLAS collaboration

    2015-01-01

    The ATLAS trigger has been successfully collecting collision data during the first run of the LHC between 2009-2013 at a centre-of-mass energy between 900 GeV and 8 TeV. The trigger system consists of a hardware Level-1 and a software based high-level trigger that reduces the event rate from the design bunch-crossing rate of 40 MHz to an average recording rate of a few hundred Hz. During the data-taking period of Run-2 the LHC will operate at a centre-of-mass energy of about 13 TeV resulting in roughly five times higher trigger rates. In these proceedings, we briefly review the ATLAS trigger system upgrades that were implemented during the shutdown, allowing us to cope with the increased trigger rates while maintaining or even improving our efficiency to select relevant physics processes. This includes changes to the Level-1 calorimeter and muon trigger system, the introduction of a new Level-1 topological trigger module and themerging of the previously two-level higher-level trigger system into a single even...

  4. Geometrical Acceptance Analysis for RPC PAC Trigger

    CERN Document Server

    Seo, Eunsung

    2010-01-01

    The CMS(Compact Muon Solenoid) is one of the four experiments that will analyze the collision results of the protons accelerated by the Large Hardron Collider(LHC) at CERN(Conseil Europen pour la Recherche Nuclaire). In case of the CMS experiment, the trigger system is divided into two stages : The Level-1 Trigger and High Level Trigger. The RPC(Resistive Plate Chamber) PAC(PAttern Comparator) Trigger system, which is a subject of this thesis, is a part of the Level-1 Muon Trigger System. Main task of the PAC Trigger is to identify muons, measures transverse momenta and select the best muon candidates for each proton bunch collision occurring every 25 ns. To calculate the value of PAC Trigger efficiency for triggerable muon, two terms of different efficiencies are needed ; acceptance efficiency and chamber efficiency. Main goal of the works described in this thesis is obtaining the acceptance efficiency of the PAC Trigger in each logical cone. Acceptance efficiency is a convolution of the chambers geometry an...

  5. The Upgrade of the ATLAS First Level Calorimeter Trigger

    CERN Document Server

    Yamamoto, Shimpei; The ATLAS collaboration

    2015-01-01

    The Level-1 calorimeter trigger (L1Calo) operated successfully during the first data taking phase of the ATLAS experiment at the LHC. Based on the lessons learned, a series of upgrades is planned for L1Calo to face the new challenges posed by the upcoming increases of the LHC beam energy and luminosity. The initial upgrade phase in 2013-15 includes substantial improvements to the analogue and digital signal processing to cope with baseline shifts due to signal pile-up. Additionally a newly introduced system will receive real-time data from both the upgraded L1Calo and L1Muon trigger to perform trigger algorithms based on entire event topologies. During the second upgrade phase in 2018-19 major parts of L1Calo will be rebuilt in order to exploit a tenfold increase in the available calorimeter data granularity compared to that of the current system. In this contribution we present the lessons learned during the first period of LHC data taking. Based on these we discuss the expected performance improvements toge...

  6. ATLAS Level-1 Muon Barrel Trigger robustness study at X5 test facility

    CERN Document Server

    Di Mattia, A; Nisati, A; Pastore, F C; Vari, R; Veneziano, Stefano; Aielli, G; Camarri, P; Cardarelli, R; Di Ciaccio, A; Di Simone, A; Liberti, B; Santonico, R

    2004-01-01

    The present paper describes the Level-1 Barrel Muon Trigger performance as expected with the current configuration of the RPC detectors, as designed for the Barrel Muon Spectrometer of ATLAS. Results of a beam test performed at the X5-GIF facility at CERN are presented in order to show the trigger efficiency with different conditions of RPC detection efficiency and several background rates. Small RPC chambers with part of the final trigger electronics are used, while the trigger coincidence logic is applied off-line using a detailed simulation model. copy 2003 Published by Esevier B.V. 3 Refs.

  7. Beam Steering Devices Reduce Payload Weight

    Science.gov (United States)

    2012-01-01

    Scientists have long been able to shift the direction of a laser beam, steering it toward a target, but often the strength and focus of the light is altered. For precision applications, where the quality of the beam cannot be compromised, scientists have typically turned to mechanical steering methods, redirecting the source of the beam by swinging the entire laser apparatus toward the target. Just as the mechanical methods used for turning cars has evolved into simpler, lighter, power steering methods, so has the means by which researchers can direct lasers. Some of the typical contraptions used to redirect lasers are large and bulky, relying on steering gimbals pivoted, rotating supports to shift the device toward its intended target. These devices, some as large and awkward as a piece of heavy luggage, are subject to the same issues confronted by mechanical parts: Components rub, wear out, and get stuck. The poor reliability and bulk not to mention the power requirements to run one of the machines have made mechanical beam steering components less than ideal for use in applications where weight, bulk, and maneuverability are prime concerns, such as on an unmanned aerial vehicle (UAV) or a microscope. The solution to developing reliable, lighter weight, nonmechanical steering methods to replace the hefty steering boxes was to think outside the box, and a NASA research partner did just that by developing a new beam steering method that bends and redirects the beam, as opposed to shifting the entire apparatus. The benefits include lower power requirements, a smaller footprint, reduced weight, and better control and flexibility in steering capabilities. Such benefits are realized without sacrificing aperture size, efficiency, or scanning range, and can be applied to myriad uses: propulsion systems, structures, radiation protection systems, and landing systems.

  8. Effects of condensed tannins supplementation level on weight gain and in vitro and in vivo bloat precursors in steers grazing winter wheat.

    Science.gov (United States)

    Min, B R; Pinchak, W E; Anderson, R C; Fulford, J D; Puchala, R

    2006-09-01

    Research was conducted to determine the effects of level of supplementation with quebracho condensed tannins (CT) on in vitro ruminal fluid gas production, in vivo ruminal fluid protein fractions, bloat dynamics, and ADG of steers grazing winter wheat. Two experiments were conducted to 1) enumerate the effect of ruminal fluid from steers fed quebracho CT (0, 1, and 2% CT/kg of DMI) on in vitro gas and methane production from minced fresh wheat forage; and 2) quantify the influence of CT supplementation on ruminal protein characteristics, biofilm complexes, bloat potential, and ADG of steers grazing wheat pasture. Eighteen ruminally cannulated steers (386 +/- 36 kg of BW) were randomly allocated to 1 of 3 treatments that included a control (water infusion) and 2 CT treatment levels (1 or 2% CT/kg of DMI). Treatments were administered daily (63 d) through the rumen cannula as pre-mixes with warm water (approximately 30 degrees C). Rumen contents were collected 2 h postinfusion (at 1030 to 1130) on d 0, 20, 40, 50, and 60. Bloat was visually scored daily for 5 d each wk. In Exp. 1, supplementation of CT decreased the rate of in vitro gas production in a dose-dependent response. In Exp. 2, ADG increased (P bloat score across stage of growth and replicates decreased linearly with increasing CT supplementation; bloat scores were greater (P rumen fluid protein fractions varied among CT treatments and stage of growth. Addition of CT reduced the severity of bloat, principally through reducing microbial activities, biofilm production, and ruminal gas production. Quebracho CT is potentially a value-added supplement that can decrease the impacts of frothy bloat and increase BW gains in stocker cattle-wheat systems.

  9. Power-Production Diagnostic Tools for Low-Density Wind Farms with Applications to Wake Steering

    Science.gov (United States)

    Takle, E. S.; Herzmann, D.; Rajewski, D. A.; Lundquist, J. K.; Rhodes, M. E.

    2016-12-01

    Hansen (2011) provided guidelines for wind farm wake analysis with applications to "high density" wind farms (where average distance between turbines is less than ten times rotor diameter). For "low-density" (average distance greater than fifteen times rotor diameter) wind farms, or sections of wind farms we demonstrate simpler sorting and visualization tools that reveal wake interactions and opportunities for wind farm power prediction and wake steering. SCADA data from a segment of a large mid-continent wind farm, together with surface flux measurements and lidar data are subjected to analysis and visualization of wake interactions. A time-history animated visualization of a plan view of power level of individual turbines provides a quick analysis of wake interaction dynamics. Yaw-based sectoral histograms of enhancement/decline of wind speed and power from wind farm reference levels reveals angular width of wake interactions and identifies the turbine(s) responsible for the power reduction. Concurrent surface flux measurements within the wind farm allowed us to evaluate stability influence on wake loss. A one-season climatology is used to identify high-priority candidates for wake steering based on estimated power recovery. Typical clearing prices on the day-ahead market are used to estimate the added value of wake steering. Current research is exploring options for identifying candidate locations for wind farm "build-in" in existing low-density wind farms.

  10. Performance of ATLAS RPC Level-1 Muon trigger during the 2015 data taking

    CERN Document Server

    Corradi, Massimo; The ATLAS collaboration

    2016-01-01

    The Level-1 Muon Barrel Trigger is one of the main elements of the event selection of the ATLAS experiment at the Large Hadron Collider. Its input stage consists of an array of processors receiving the full granularity of data from Resistive Plate Chambers in the central area of the ATLAS detector ("Barrel"). The trigger efficiency and the level of synchronisation of its elements with the rest of ATLAS and the LHC clock are crucial figures of this system: many parameters of the constituent RPC detector and the trigger electronics have to be constantly and carefully checked to assure a correct functioning of the Level-1 selection. Notwithstanding the complexity of such a large array of integrated RPC detectors, the ATLAS Level-1 system has resumed operations successfully after the past 2 year shutdown, with levels similar to those of Run 1. We present the inclusive monitoring of the RPC+L1 system that we have developed to characterise the behaviour of the system, using reconstructed muons in events selected by...

  11. The CHAOS second level trigger. A fast, programmable ECL trigger for a magnetic spectrometer using multiwire proportional chambers

    International Nuclear Information System (INIS)

    Raywood, K.J.; McFarland, S.J.; Sevior, M.E.

    1994-11-01

    A versatile second level trigger has been developed for the CHAOS facility at TRIUMF using fast ECLine trigger modules augmented by some specially constructed modules. It consists of a primary stage and two optional secondary stages. The primary track finding stage is capable of making a decision based on track vertex, polarity and momentum. The next stage is able to reject events based on the correlation between track momentum scattering angle. The third stage can make a cut on the sum of tile momenta of two tracks. In addition there is an extra parallel stage that is responsible for ensuring that the beam particle has the correct incoming trajectory. All stages are programmable and, depending on experimental conditions and trigger configuration, usual rejection times are between 2 and 4 μs. (author). 6 refs., 13 figs

  12. The CHAOS second level trigger. A fast, programmable ECL trigger for a magnetic spectrometer using multiwire proportional chambers

    Energy Technology Data Exchange (ETDEWEB)

    Raywood, K J; McFarland, S J [British Columbia Univ., Vancouver, BC (Canada). Dept. of Physics; Amaudruz, P A; Smith, G R [TRIUMF, Vancouver, BC (Canada); Sevior, M E [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1994-11-01

    A versatile second level trigger has been developed for the CHAOS facility at TRIUMF using fast ECLine trigger modules augmented by some specially constructed modules. It consists of a primary stage and two optional secondary stages. The primary track finding stage is capable of making a decision based on track vertex, polarity and momentum. The next stage is able to reject events based on the correlation between track momentum scattering angle. The third stage can make a cut on the sum of tile momenta of two tracks. In addition there is an extra parallel stage that is responsible for ensuring that the beam particle has the correct incoming trajectory. All stages are programmable and, depending on experimental conditions and trigger configuration, usual rejection times are between 2 and 4 {mu}s. (author). 6 refs., 13 figs.

  13. The Phase-1 Upgrade for the Level-1 Muon Barrel Trigger of the ATLAS Experiment at LHC

    CERN Document Server

    Izzo, Vincenzo; The ATLAS collaboration

    2018-01-01

    The Level-1 Muon Barrel Trigger of the ATLAS Experiment at LHC makes use of Resistive Plate Chamber (RPC) detectors. The on-detector trigger electronics modules are able to identify muons with predefined transverse momentum values (pT) by executing a coincidence logic on signals coming from the various detector layers. On-detector trigger boards then transfer trigger data to the off-detector electronics. A complex trigger system processes the incoming data by combining trigger information from the barrel and the endcap regions, and providing the combined muon candidate to the Central Trigger Processor (CTP). For almost a decade, the Level-1 Trigger system operated very well, despite the challenging requirements on trigger efficiency and performance, and the continuously increasing LHC luminosity. In order to cope with these constraints, various upgrades for the full trigger system were already deployed, and others have been designed to be installed in the next years. Most of the upgrades to the trigger system...

  14. Operator space approach to steering inequality

    International Nuclear Information System (INIS)

    Yin, Zhi; Marciniak, Marcin; Horodecki, Michał

    2015-01-01

    In Junge and Palazuelos (2011 Commun. Math. Phys. 306 695–746) and Junge et al (2010 Commun. Math. Phys. 300 715–39) the operator space theory was applied to study bipartite Bell inequalities. The aim of the paper is to follow this line of research and use the operator space technique to analyze the steering scenario. We obtain a bipartite steering functional with unbounded largest violation of steering inequality, as well as constructing all ingredients explicitly. It turns out that the unbounded largest violation is obtained by a non maximally entangled state. Moreover, we focus on the bipartite dichotomic case where we construct a steering functional with unbounded largest violation of steering inequality. This phenomenon is different to the Bell scenario where only the bounded largest violation can be obtained by any bipartite dichotomic Bell functional. (paper)

  15. Instrumentation of a Level-1 Track Trigger at ATLAS with Double Buffer Front-End Architecture

    CERN Document Server

    Cooper, B; The ATLAS collaboration

    2012-01-01

    The increased collision rate and pile-up produced at the HLLHC requires a substantial upgrade of the ATLAS level-1 trigger in order to maintain a broad physics reach. We show that tracking information can be used to control trigger rates, and describe a proposal for how this information can be extracted within a two-stage level-1 trigger design that has become the baseline for the HLLHC upgrade. We demonstrate that, in terms of the communication between the external processing and the tracking detector frontends, a hardware solution is possible that fits within the latency constraints of level-1.

  16. Towards a Level-1 tracking trigger for the ATLAS experiment at the High Luminosity LHC

    CERN Document Server

    Martin, T A D; The ATLAS collaboration

    2014-01-01

    The ability to apply fast processing that can take account of the properties of the tracks that are being reconstructed will enhance the rejection, while retaining high efficiency for events with desired signatures, such as high momentum leptons or multiple jets. Studies to understand the feasibility of such a system have begun, and proceed in two directions: a fast readout for high granularity silicon detectors, and a fast pattern recognition algorithm to be applied just after the Front-End readout for specific sub detectors. Both existing, and novel technologies can offer solutions. The aim of these studies is to determine the parameter space to which this system must be adapted. The status of ongoing tests on specific hardware components crucial for this system, both to increase the ATLAS physics potential and fully satisfy the trigger requirements at very high luminosities are discussed.

  17. Driver behavior following an automatic steering intervention.

    Science.gov (United States)

    Fricke, Nicola; Griesche, Stefan; Schieben, Anna; Hesse, Tobias; Baumann, Martin

    2015-10-01

    The study investigated driver behavior toward an automatic steering intervention of a collision mitigation system. Forty participants were tested in a driving simulator and confronted with an inevitable collision. They performed a naïve drive and afterwards a repeated exposure in which they were told to hold the steering wheel loosely. In a third drive they experienced a false alarm situation. Data on driving behavior, i.e. steering and braking behavior as well as subjective data was assessed in the scenarios. Results showed that most participants held on to the steering wheel strongly or counter-steered during the system intervention during the first encounter. Moreover, subjective data collected after the first drive showed that the majority of drivers was not aware of the system intervention. Data from the repeated drive in which participants were instructed to hold the steering wheel loosely, led to significantly more participants holding the steering wheel loosely and thus complying with the instruction. This study seems to imply that without knowledge and information of the system about an upcoming intervention, the most prevalent driving behavior is a strong reaction with the steering wheel similar to an automatic steering reflex which decreases the system's effectiveness. Results of the second drive show some potential for countermeasures, such as informing drivers shortly before a system intervention in order to prevent inhibiting reactions. Copyright © 2015 Elsevier Ltd. All rights reserved.

  18. Hierarchical trigger of the ALICE calorimeters

    CERN Document Server

    Muller, Hans; Novitzky, Norbert; Kral, Jiri; Rak, Jan; Schambach, Joachim; Wang, Ya-Ping; Wang, Dong; Zhou, Daicui

    2010-01-01

    The trigger of the ALICE electromagnetic calorimeters is implemented in 2 hierarchically connected layers of electronics. In the lower layer, level-0 algorithms search shower energy above threshold in locally confined Trigger Region Units (TRU). The top layer is implemented as a single, global trigger unit that receives the trigger data from all TRUs as input to the level-1 algorithm. This architecture was first developed for the PHOS high pT photon trigger before it was adopted by EMCal also for the jet trigger. TRU units digitize up to 112 analogue input signals from the Front End Electronics (FEE) and concentrate their digital stream in a single FPGA. A charge and time summing algorithm is combined with a peakfinder that suppresses spurious noise and is precise to single LHC bunches. With a peak-to-peak noise level of 150 MeV the linear dynamic range above threshold spans from MIP energies at 215 up to 50 GeV. Local level-0 decisions take less than 600 ns after LHC collisions, upon which all TRUs transfer ...

  19. The LHCb vertex locator and level-1 trigger

    CERN Document Server

    Dijkstra, H

    2000-01-01

    LHCb will study CP violation and other rare phenomena in B-decays with a forward detector at the LHC. One of the challenges is to design a fast and efficient trigger. The design of the silicon Vertex Locator (VELO) has been driven by the requirements of one of the most selective triggers of the experiment. The VELO trigger is designed to work at an input rate of 1 MHz. The requirements and implementation of the VELO and the associated trigger are summarised, followed by a description of an upgrade which improves the trigger performance significantly. (3 refs).

  20. Biogas production from steer manures in Vietnam

    DEFF Research Database (Denmark)

    Pham, Cuong H.; Saggar, Surinder; Vu, Cuong C.

    2017-01-01

    manures collected from two different experiments of steers fed diets containing feed supplements. BMP was 110.1 (NLkg-1 VS) for manure from steers receiving a control diet, significantly lower 79.0 (NL kg-1 VS) for manure from steers fed a diet containing 0.3% tannin (%DM), but then showed an increasing...... trend to 90.9 and 91.2 (NL kg-1 VS) for manures from steers receiving 0.4 and 0.5% tannin (%DM) supplements, respectively. Similarly, the CH4 production (NL kg-1 VS) of manure from steers was 174 for control, 142 for control supplemented concentrate (C), 143 for control added rice straw treated...

  1. Distributed control and monitoring of high-level trigger processes on the LHCb online farm

    CERN Document Server

    Vannerem, P; Jost, B; Neufeld, N

    2003-01-01

    The on-line data taking of the LHCb experiment at the future LHC collider will be controlled by a fully integrated and distributed Experiment Control System (ECS). The ECS will supervise both the detector operation (DCS) and the trigger and data acquisition (DAQ) activities of the experiment. These tasks require a large distributed information management system. The aim of this paper is to show how the control and monitoring of software processes such as trigger algorithms are integrated in the ECS of LHCb.

  2. DYN1: a 66 MHz front end analog memory chip with first level trigger capture for use in future high luminosity particle physics experiments

    International Nuclear Information System (INIS)

    Anghinolfi, F.; Aspell, P.; Bonino, R.; Campbell, D.; Campbell, M.; Clark, A.G.; Heijne, E.H.M.; Jarron, P.; Santiard, J.C.; Verweij, H.

    1994-01-01

    DYN1 is a 32 channel, 128 cell analog memory with continuous write and read access. The chip amplifies the detector signals and integrates the signal currents onto capacitors within the memory during each bunch crossing interval. Dense dynamic logic circuitry accepts multiple first level triggers, freezes the corresponding analog data and stores their addresses in an external FIFO. The triggered data can then be read out at leisure whilst simultaneously sampling and storing new triggered events. A first level trigger latency of up to 2 μs is accepted at the maximum LHC clock frequency of 66 MHz. The chip shows an overall gain of 48.2 mV/25 000 e - . The mean channel noise is 4.5 mV and the pedestal variation from cell to cell within one channel is 1.9 mV. The total dynamic range has been measured at 4.6 V giving a resolution of 11 bits (0.05%) for the memory itself. (orig.)

  3. TRIGGER

    CERN Multimedia

    Wesley Smith

    Level-1 Trigger Hardware and Software The final parts of the Level-1 trigger hardware are now being put in place. For the ECAL endcaps, more than half of the Trigger Concentrator Cards for the ECAL Endcap (TCC-EE) are now available at CERN, such that one complete endcap can be covered. The Global Trigger now correctly handles ECAL calibration sequences, without being influenced by backpressure. The Regional Calorimeter Trigger (RCT) hardware is complete and working in USC55. Intra-crate tests of all 18 RCT crates and the Global Calorimeter Trigger (GCT) are regularly taking place. Pattern tests have successfully captured data from HCAL through RCT to the GCT Source Cards. HB/HE trigger data are being compared with emulator results to track down the very few remaining hardware problems. The treatment of hot and dead cells, including their recording in the database, has been defined. For the GCT, excellent agreement between the emulator and data has been achieved for jets and HF ET sums. There is still som...

  4. The Run-2 ATLAS Trigger System

    International Nuclear Information System (INIS)

    Martínez, A Ruiz

    2016-01-01

    The ATLAS trigger successfully collected collision data during the first run of the LHC between 2009-2013 at different centre-of-mass energies between 900 GeV and 8TeV. The trigger system consists of a hardware Level-1 and a software-based high level trigger (HLT) that reduces the event rate from the design bunch-crossing rate of 40 MHz to an average recording rate of a few hundred Hz. In Run-2, the LHC will operate at centre-of-mass energies of 13 and 14 TeV and higher luminosity, resulting in up to five times higher rates of processes of interest. A brief review of the ATLAS trigger system upgrades that were implemented between Run-1 and Run-2, allowing to cope with the increased trigger rates while maintaining or even improving the efficiency to select physics processes of interest, will be given. This includes changes to the Level-1 calorimeter and muon trigger systems, the introduction of a new Level-1 topological trigger module and the merging of the previously two-level HLT system into a single event processing farm. A few examples will be shown, such as the impressive performance improvements in the HLT trigger algorithms used to identify leptons, hadrons and global event quantities like missing transverse energy. Finally, the status of the commissioning of the trigger system and its performance during the 2015 run will be presented. (paper)

  5. GPU-based real-time triggering in the NA62 experiment

    CERN Document Server

    Ammendola, R.; Cretaro, P.; Di Lorenzo, S.; Fantechi, R.; Fiorini, M.; Frezza, O.; Lamanna, G.; Lo Cicero, F.; Lonardo, A.; Martinelli, M.; Neri, I.; Paolucci, P.S.; Pastorelli, E.; Piandani, R.; Pontisso, L.; Rossetti, D.; Simula, F.; Sozzi, M.; Vicini, P.

    2016-01-01

    Over the last few years the GPGPU (General-Purpose computing on Graphics Processing Units) paradigm represented a remarkable development in the world of computing. Computing for High-Energy Physics is no exception: several works have demonstrated the effectiveness of the integration of GPU-based systems in high level trigger of different experiments. On the other hand the use of GPUs in the low level trigger systems, characterized by stringent real-time constraints, such as tight time budget and high throughput, poses several challenges. In this paper we focus on the low level trigger in the CERN NA62 experiment, investigating the use of real-time computing on GPUs in this synchronous system. Our approach aimed at harvesting the GPU computing power to build in real-time refined physics-related trigger primitives for the RICH detector, as the the knowledge of Cerenkov rings parameters allows to build stringent conditions for data selection at trigger level. Latencies of all components of the trigger chain have...

  6. The Phase-1 Upgrade for the Level-1 Muon Barrel Trigger of the ATLAS Experiment at LHC

    CERN Document Server

    Izzo, Vincenzo; The ATLAS collaboration

    2018-01-01

    The Level-1 Muon Barrel Trigger of the ATLAS Experiment at LHC makes use of Resistive Plate Chamber (RPC) detectors. The on-detector trigger electronics modules are able to identify muons with predefined transverse momentum values (pT) by executing a coincidence logic on signals coming from the various detector layers. Then, on-detector trigger boards transfer trigger data to the off-detector electronics. A complex trigger system processes the incoming data by combining trigger information from the Barrel and the End-cap regions, and by providing the combined muon candidate to the Central Trigger Processor (CTP). For almost a decade, the Level-1 Trigger system has been operating very well, despite the challenging requirements on trigger efficiency and performance, and the continuously increasing LHC luminosity. In order to cope with these constraints, various upgrades for the full trigger system were already deployed, and others have been designed to be installed in the next years. Most of the upgrades to the...

  7. Rate Predictions and Trigger/DAQ Resource Monitoring in ATLAS

    CERN Document Server

    Schaefer, D M; The ATLAS collaboration

    2012-01-01

    Since starting in 2010, the Large Hadron Collider (LHC) has pro- duced collisions at an ever increasing rate. The ATLAS experiment successfully records the collision data with high eciency and excel- lent data quality. Events are selected using a three-level trigger system, where each level makes a more re ned selection. The level-1 trigger (L1) consists of a custom-designed hardware trigger which seeds two higher software based trigger levels. Over 300 triggers compose a trig- ger menu which selects physics signatures such as electrons, muons, particle jets, etc. Each trigger consumes computing resources of the ATLAS trigger system and oine storage. The LHC instantaneous luminosity conditions, desired physics goals of the collaboration, and the limits of the trigger infrastructure determine the composition of the ATLAS trigger menu. We describe a trigger monitoring frame- work for computing the costs of individual trigger algorithms such as data request rates and CPU consumption. This framework has been used...

  8. TRIGGER

    CERN Multimedia

    W. Smith from contributions of C. Leonidopoulos, I. Mikulec, J. Varela and C. Wulz.

    Level-1 Trigger Hardware and Software Over the past few months, the Level-1 trigger has successfully recorded data with cosmic rays over long continuous stretches as well as LHC splash events, beam halo, and collision events. The L1 trigger hardware, firmware, synchronization, performance and readiness for beam operation were reviewed in October. All L1 trigger hardware is now installed at Point 5, and most of it is completely commissioned. While the barrel ECAL Trigger Concentrator Cards are fully operational, the recently delivered endcap ECAL TCC system is still being commissioned. For most systems there is a sufficient number of spares available, but for a few systems additional reserve modules are needed. It was decided to increase the overall L1 latency by three bunch crossings to increase the safety margin for trigger timing adjustments. In order for CMS to continue data taking during LHC frequency ramps, the clock distribution tree needs to be reset. The procedures for this have been tested. A repl...

  9. Throughput-Based Traffic Steering in LTE-Advanced HetNet Deployments

    DEFF Research Database (Denmark)

    Gimenez, Lucas Chavarria; Kovacs, Istvan Z.; Wigard, Jeroen

    2015-01-01

    The objective of this paper is to propose traffic steering solutions that aim at optimizing the end-user throughput. Two different implementations of an active mode throughput-based traffic steering algorithm for Heterogeneous Networks (HetNet) are introduced. One that always forces handover of t...... throughput is generally higher, reaching values of 36% and 18% for the medium- and high-load conditions....

  10. On Using Current Steering Logic in Mixed Analogue-digital Circuits

    DEFF Research Database (Denmark)

    Lehmann, Torsten

    1998-01-01

    The authors investigate power supply noise in mixed analogue-digital circuits, arising from communication between the analogue and digital parts of the circuit. Current steering techniques and proper buffering are used to show which noise currents can be reduced and which cannot. In addition......, a high-swing current steering buffer for driving analogue switches or external digital signals is proposed....

  11. The Run-2 ATLAS Trigger System

    CERN Document Server

    Ruiz-Martinez, Aranzazu; The ATLAS collaboration

    2016-01-01

    The ATLAS trigger has been successfully collecting collision data during the first run of the LHC between 2009-2013 at a centre-of-mass energy between 900 GeV and 8 TeV. The trigger system consists of a hardware Level-1 (L1) and a software based high-level trigger (HLT) that reduces the event rate from the design bunch-crossing rate of 40 MHz to an average recording rate of a few hundred Hz. In Run-2, the LHC will operate at centre-of-mass energies of 13 and 14 TeV resulting in roughly five times higher trigger rates. We will briefly review the ATLAS trigger system upgrades that were implemented during the shutdown, allowing us to cope with the increased trigger rates while maintaining or even improving our efficiency to select relevant physics processes. This includes changes to the L1 calorimeter and muon trigger systems, the introduction of a new L1 topological trigger module and the merging of the previously two-level HLT system into a single event filter farm. At hand of a few examples, we will show the ...

  12. Implementation and synchronisation of the First Level Global Trigger for the CMS experiment at LHC

    International Nuclear Information System (INIS)

    Taurok, A.; Bergauer, H.; Padrta, M.

    2001-01-01

    The hardware implementation of the First Level Global Trigger for the Compact Muon Solenoid experiment at the CERN Large Hadron Collider is described. Special emphasis is given to the algorithm logic and the synchronisation procedure. Up to 128 different trigger algorithms are calculated in parallel by the Global Trigger (GT) for every beam crossing taking place at 25 ns intervals. Already, at the first trigger level the GT is able to select complex topological event configurations by performing fast calculations. The electronics is based on VME and relies completely on Field Programmable Gate Arrays (FPGA) technology. The electronic circuits are optimised for speed by exploiting, to a great extent, the small look-up tables provided in the FPGA chips

  13. 46 CFR 108.641 - Instructions for changing steering gear.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Instructions for changing steering gear. 108.641 Section... steering gear. Instructions stating, in order, the different steps to be taken for changing to emergency and secondary steering gear must be posted in the steering gear room and at each secondary steering...

  14. TRIGGER

    CERN Multimedia

    Wesley Smith

    Level-1 Trigger Hardware and Software The hardware of the trigger components has been mostly finished. The ECAL Endcap Trigger Concentrator Cards (TCC) are in production while Barrel TCC firmware has been upgraded, and the Trigger Primitives can now be stored by the Data Concentrator Card for readout by the DAQ. The Regional Calorimeter Trigger (RCT) system is complete, and the timing is being finalized. All 502 HCAL trigger links to RCT run without error. The HCAL muon trigger timing has been equalized with DT, RPC, CSC and ECAL. The hardware and firmware for the Global Calorimeter Trigger (GCT) jet triggers are being commissioned and data from these triggers is available for readout. The GCT energy sums from rings of trigger towers around the beam pipe beam have been changed to include two rings from both sides. The firmware for Drift Tube Track Finder, Barrel Sorter and Wedge Sorter has been upgraded, and the synchronization of the DT trigger is satisfactory. The CSC local trigger has operated flawlessly u...

  15. Analysis of Vehicle Steering and Driving Bifurcation Characteristics

    Directory of Open Access Journals (Sweden)

    Xianbin Wang

    2015-01-01

    Full Text Available The typical method of vehicle steering bifurcation analysis is based on the nonlinear autonomous vehicle model deriving from the classic two degrees of freedom (2DOF linear vehicle model. This method usually neglects the driving effect on steering bifurcation characteristics. However, in the steering and driving combined conditions, the tyre under different driving conditions can provide different lateral force. The steering bifurcation mechanism without the driving effect is not able to fully reveal the vehicle steering and driving bifurcation characteristics. Aiming at the aforementioned problem, this paper analyzed the vehicle steering and driving bifurcation characteristics with the consideration of driving effect. Based on the 5DOF vehicle system dynamics model with the consideration of driving effect, the 7DOF autonomous system model was established. The vehicle steering and driving bifurcation dynamic characteristics were analyzed with different driving mode and driving torque. Taking the front-wheel-drive system as an example, the dynamic evolution process of steering and driving bifurcation was analyzed by phase space, system state variables, power spectral density, and Lyapunov index. The numerical recognition results of chaos were also provided. The research results show that the driving mode and driving torque have the obvious effect on steering and driving bifurcation characteristics.

  16. Installation and Commissioning of the CMS Level-1 Calorimeter Trigger Upgrade

    CERN Document Server

    AUTHOR|(CDS)2071552; Aggleton, Robin Cameron; Baber, Mark David John; Barbieri, Richard Alexander; Belknap, Donald Austin; Berryhill, Jeffrey; Brooke, James John; Bundock, Aaron; Cali, Ivan Amos; Cepeda, Maria Luisa; Dasgupta, Sudeshna; da Silva, J.C; Dasu, Sridhara Rao; Durkin, Timothy John; Fobes, Robert William; Ghabrous Larrea, Carlos; Gorski, Thomas; Grimes, Mark; Guilbaud, Maxime; Guo, Z; Hall, Geoffrey; Harder, Kristian; Harper, Sam; Iles, Gregory Michiel; Innocenti, Gian Michele; Ives, Sarah Joanne; Jones, John; Kreis, Benjamin Jonah; Lee, Y; Li, W; Lucas, Christopher; Lucas, Robyn Elizabeth; Marrouche, Jad; Newbold, David; Northup, Michael; Oljavo, I; Paramesvaran, Sudarshan; Rivera, Ryan Allen; Roland, Christof; Rose, A; Sankey, D; Smith, Wesley; Svetek, Ales; Tapper, Alexander; Thea, Alessandro; Tikalsky, Jesra Lilah; Uplegger, Lorenzo; Vicente, Marcelo; Williams, Thomas Stephen; Wyslouch, Boleslaw

    2016-01-01

    The Compact Muon Solenoid (CMS) experiment is currently installing upgrades to their Calorimeter Trigger for LHC Run 2 to ensure that the trigger thresholds can stay low, and physics data collection will not be compromised. The electronics will be upgraded in two stages. Stage-1 for 2015 will upgrade some electronics and links from copper to optical in the existing calorimeter trigger so that the algorithms can be improved and we do not lose valuable data before stage-2 can be fully installed by 2016. Stage-2 will fully replace the calorimeter trigger at CMS with a micro-TCA and optical link system. It requires that the updates to the calorimeter back-ends, the source of the trigger primitives, be completed. The new systemâ??s boards will utilize Xilinx Virtex-7 FPGAs and have hundreds of high-speed links operating at up to 10 Gbps to maximize data throughput. The integration, commissioning, and installation of stage-1 in 2015 will be described, as well as the integration and parallel installation of th...

  17. Method of Controlling Steering of a Ground Vehicle

    Science.gov (United States)

    Dawson, Andrew D. (Inventor); Bluethmann, William J. (Inventor); Lee, Chunhao J. (Inventor); Vitale, Robert L. (Inventor); Guo, Raymond (Inventor); Atluri, Venkata Prasad (Inventor)

    2016-01-01

    A method of controlling steering of a vehicle through setting wheel angles of a plurality of modular electronic corner assemblies (eModules) is provided. The method includes receiving a driving mode selected from a mode selection menu. A position of a steering input device is determined in a master controller. A velocity of the vehicle is determined, in the master controller, when the determined position of the steering input device is near center. A drive mode request corresponding to the selected driving mode to the plurality of steering controllers is transmitted to the master controller. A required steering angle of each of the plurality of eModules is determined, in the master controller, as a function of the determined position of the steering input device, the determined velocity of the vehicle, and the selected first driving mode. The eModules are set to the respective determined steering angles.

  18. Reducing Digging Losses by Using Automated Steering to Plant and Invert Peanuts

    Directory of Open Access Journals (Sweden)

    George Vellidis

    2014-07-01

    Full Text Available GPS guidance of farm machinery has been increasingly adopted by farmers because of the perceived gains in efficiency that it provides. In the southeastern USA one of the reasons farmers adopt GPS guidance, and specifically automated steering (auto-steer, is that it can theoretically result in large yield gains when used to plant and invert peanuts—one of the region’s most important crops. The goal of our study was to quantify the yield benefit of using real time kinematic (RTK-based auto-steer to plant and invert peanuts under a variety of terrain conditions. Yield benefits result from reduced digging losses. The study was conducted for two consecutive years (2010 and 2011 on a private farm in Georgia, USA. When all data are grouped together, auto-steer outperformed conventional by 579 kg/ha in 2010 and 451 kg/ha in 2011. We also evaluated the performance of auto-steer under different curvature conditions using low, medium, and high curvature rows. The results showed that auto-steer outperformed conventional under all curvature by a minimum of 338 kg/ha. Finally, we evaluated passive implement guidance in combination with auto-steer and found that it holds tremendous potential for further reducing digging losses. In many cases, auto-steer will pay for itself within a year.

  19. Fast Plasma Investigation for MMS: Simulation of the Burst Triggering System

    Science.gov (United States)

    Barrie, A. C.; Dorelli, J. C.; Winkert, G. E.; Lobell, J. V.; Holland, M. P.; Adrian, M. L.; Pollock, C. J.

    2011-01-01

    The Magnetospheric Multiscale (MMS) mission will study small-scale reconnection structures and their rapid motions from closely spaced platforms using instruments capable of high angular, energy, and time resolution measurements. To meet these requirements, the Fast Plasma Instrument (FPI) consists of eight (8) identical half top-hat electron sensors and eight (8) identical ion sensors and an Instrument Data Processing Unit (IDPU). The sensors (electron or ion) are grouped into pairs whose 6 degree x 180 degree fields-of-view (FOV) are set 90 degrees apart. Each sensor is equipped with electrostatic aperture steering to allow the sensor to scan a 45 degree x 180 degree fan about the its nominal viewing (0 deflection) direction. Each pair of sensors, known as the Dual Electron Spectrometer (DES) and the Dual Ion Spectrometer (DIS), occupies a quadrant on the MMS spacecraft and the combination of the eight electron/ion sensors, employing aperture steering, image the full-sky every 30-ms (electrons) and 150-ms (ions), respectively. To probe the diffusion regions of reconnection, the highest temporal/spatial resolution mode of FPI results in the DES complement of a given spacecraft generating 6.5-Mb (raised dot) per second of electron data while the DIS generates 1.1-Mb (raised dot) per second of ion data yielding an FPI total data rate of 6.6-Mb (raised dot) per second. The FPI electron/ion data is collected by the IDPU then transmitted to the Central Data Instrument Processor (CIDP) on the spacecraft for science interest ranking. Only data sequences that contain the greatest amount of temporal/spatial structure will be intelligently down-linked by the spacecraft. This requires a data ranking process known as the burst trigger system. The burst trigger system uses pseudo physical quantities to approximate the local plasma environments. As each pseudo quantity will have a different value, a set of two scaling factors is employed for each pseudo term. These pseudo

  20. Development of a monitoring tool to validate trigger level analysis in the ATLAS experiment

    CERN Document Server

    Hahn, Artur

    2014-01-01

    This report summarizes my thirteen week summer student project at CERN from June 30th until September 26th of 2014. My task was to contribute to a monitoring tool for the ATLAS experiment, comparing jets reconstructed by the trigger to fully offline reconstructed and saved events by creating a set of insightful histograms to be used during run 2 of the Large Hadron Collider, planned to start in early 2015. The motivation behind this project is to validate the use of data taken solely from the high level trigger for analysis purposes. Once the code generating the plots was completed, it was tested on data collected during run 1 up to the year 2012 and Monte Carlo simulated events with center-of-mass energies ps = 8TeV and ps = 14TeV.

  1. ATLAS FTK: Fast Track Trigger

    CERN Document Server

    Volpi, Guido; The ATLAS collaboration

    2015-01-01

    An overview of the ATLAS Fast Tracker processor is presented, reporting the design of the system, its expected performance, and the integration status. The next LHC runs, with a significant increase in instantaneous luminosity, will provide a big challenge to the trigger and data acquisition systems of all the experiments. An intensive use of the tracking information at the trigger level will be important to keep high efficiency in interesting events, despite the increase in multiple p-p collisions per bunch crossing (pile-up). In order to increase the use of tracks within the High Level Trigger (HLT), the ATLAS experiment planned the installation of an hardware processor dedicated to tracking: the Fast TracKer (FTK) processor. The FTK is designed to perform full scan track reconstruction at every Level-1 accept. To achieve this goal, the FTK uses a fully parallel architecture, with algorithms designed to exploit the computing power of custom VLSI chips, the Associative Memory, as well as modern FPGAs. The FT...

  2. Multi-Agent System based Event-Triggered Hybrid Controls for High-Security Hybrid Energy Generation Systems

    DEFF Research Database (Denmark)

    Dou, Chun-Xia; Yue, Dong; Guerrero, Josep M.

    2017-01-01

    This paper proposes multi-agent system based event- triggered hybrid controls for guaranteeing energy supply of a hybrid energy generation system with high security. First, a mul-ti-agent system is constituted by an upper-level central coordi-nated control agent combined with several lower......-level unit agents. Each lower-level unit agent is responsible for dealing with internal switching control and distributed dynamic regula-tion for its unit system. The upper-level agent implements coor-dinated switching control to guarantee the power supply of over-all system with high security. The internal...

  3. Hadronic Triggers and trigger-object level analysis at ATLAS

    CERN Document Server

    Zaripovas, Donatas Ramilas; The ATLAS collaboration

    2017-01-01

    Hadronic signatures are critical to the high energy physics analysis program, and are broadly used for both Standard Model measurements and searches for new physics. These signatures include generic quark and gluon jets, as well as jets originating from b-quarks or the decay of massive particles (such as electroweak bosons or top quarks). Additionally missing transverse momentum from non-interacting particles provides an interesting probe in the search for new physics beyond the Standard Model. Developing trigger selections that target these events is a huge challenge at the LHC due to the enormous rates associated with these signatures. This challenge is exacerbated by the amount of pile-up activity, which continues to grow. In order to address these challenges, several new techniques have been developed during the past year in order to significantly improve the potential of the 2017 dataset and overcome the limiting factors to more deeply probing for new physics, such as storage and computing requirements f...

  4. Hadronic triggers and trigger object-level analysis at ATLAS

    CERN Document Server

    Zaripovas, Donatas Ramilas; The ATLAS collaboration

    2017-01-01

    Hadronic signatures are critical to the high energy physics analysis program at the Large Hadron Collider (LHC), and are broadly used for both Standard Model measurements and searches for new physics. These signatures include generic quark and gluon jets, as well as jets originating from b-quarks or the decay of massive particles (such as electroweak bosons or top quarks). Additionally missing transverse momentum from non-interacting particles provides an interesting probe in the search for new physics beyond the Standard Model. Developing trigger selections that target these events is a huge challenge at the LHC due to the enormous event rates associated with these signatures. This challenge is exacerbated by the amount of pile-up activity, which continues to grow. In order to address these challenges, several new techniques have been developed during the past year in order to significantly improve the potential of the 2017 dataset and overcome the limiting factors, such as storage and computing requirements...

  5. TRIGGER

    CERN Multimedia

    W. Smith

    Level-1 Trigger Hardware and Software The road map for the final commissioning of the level-1 trigger system has been set. The software for the trigger subsystems is being upgraded to run under CERN Scientific Linux 4 (SLC4). There is also a new release for the Trigger Supervisor (TS 1.4), which implies upgrade work by the subsystems. As reported by the CERN group, a campaign to tidy the Trigger Timing and Control (TTC) racks has begun. The machine interface was upgraded by installing the new RF2TTC module, which receives RF signals from LHC Point 4. Two Beam Synchronous Timing (BST) signals, one for each beam, can now be received in CMS. The machine group will define the exact format of the information content shortly. The margin on the locking range of the CMS QPLL is planned for study for different subsystems in the next Global Runs, using a function generator. The TTC software has been successfully tested on SLC4. Some TTC subsystems have already been upgraded to SLC4. The TTCci Trigger Supervisor ...

  6. TRIGGER

    CERN Multimedia

    Wesley Smith

    Level-1 Trigger Hardware and Software The trigger synchronization procedures for running with cosmic muons and operating with the LHC were reviewed during the May electronics week. Firmware maintenance issues were also reviewed. Link tests between the new ECAL endcap trigger concentrator cards (TCC48) and the Regional Calorimeter Trigger have been performed. Firmware for the energy sum triggers and an upgraded tau trigger of the Global Calorimeter Triggers has been developed and is under test. The optical fiber receiver boards for the Track-Finder trigger theta links of the DT chambers are now all installed. The RPC trigger is being made more robust by additional chamber and cable shielding and also by firmware upgrades. For the CSC’s the front-end and trigger motherboard firmware have been updated. New RPC patterns and DT/CSC lookup tables taking into account phi asymmetries in the magnetic field configuration are under study. The motherboard for the new pipeline synchronizer of the Global Trigg...

  7. A trigger simulation framework for the ALICE experiment

    International Nuclear Information System (INIS)

    Antinori, F; Carminati, F; Gheata, A; Gheata, M

    2011-01-01

    A realistic simulation of the trigger system in a complex HEP experiment is essential for performing detailed trigger efficiency studies. The ALICE trigger simulation is evolving towards a framework capable of replaying the full trigger chain starting from the input to the individual trigger processors and ending with the decision mechanisms of the ALICE central trigger processor. This paper describes the new ALICE trigger simulation framework that is being tested and deployed. The framework handles details like trigger levels, signal delays and busy signals, implementing the trigger logic via customizable trigger device objects managed by a robust scheduling mechanism. A big advantage is the high flexibility of the framework, which is able to mix together components described with very different levels of detail. The framework is being gradually integrated within the ALICE simulation and reconstruction frameworks.

  8. Electrical Steering of Vehicles - Fault-tolerant Analysis and Design

    DEFF Research Database (Denmark)

    Blanke, Mogens; Thomsen, Jesper Sandberg

    2006-01-01

    solutions and still meet strict requirements to functional safety. The paper applies graph-based analysis of functional system structure to find a novel fault-tolerant architecture for an electrical steering where a dedicated AC-motor design and cheap voltage measurements ensure ability to detect all......The topic of this paper is systems that need be designed such that no single fault can cause failure at the overall level. A methodology is presented for analysis and design of fault-tolerant architectures, where diagnosis and autonomous reconfiguration can replace high cost triple redundancy...

  9. Design of Model-based Controller with Disturbance Estimation in Steer-by-wire System

    Directory of Open Access Journals (Sweden)

    Jung Sanghun

    2018-01-01

    Full Text Available The steer-by-wire system is a next generation steering control technology that has been actively studied because it has many advantages such as fast response, space efficiency due to removal of redundant mechanical elements, and high connectivity with vehicle chassis control, such as active steering. Steer-by-wire system has disturbance composed of tire friction torque and self-aligning torque. These disturbances vary widely due to the weight or friction coefficient change. Therefore, disturbance compensation logic is strongly required to obtain desired performance. This paper proposes model-based controller with disturbance compensation to achieve the robust control performance. Targeted steer-by-wire system is identified through the experiment and system identification method. Moreover, model-based controller is designed using the identified plant model. Disturbance of targeted steer-by-wire is estimated using disturbance observer(DOB, and compensate the estimated disturbance into control input. Experiment of various scenarios are conducted to validate the robust performance of proposed model-based controller.

  10. 46 CFR 61.20-1 - Steering gear.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 2 2010-10-01 2010-10-01 false Steering gear. 61.20-1 Section 61.20-1 Shipping COAST... Periodic Tests of Machinery and Equipment § 61.20-1 Steering gear. (a) The marine inspector must inspect the steering gear at each inspection for certification for vessels whose Certificate of Inspections...

  11. Use of modeling to assess the scalability of Ethernet networks for the ATLAS second level trigger

    CERN Document Server

    Korcyl, K; Dobinson, Robert W; Saka, F

    1999-01-01

    The second level trigger of LHC's ATLAS experiment has to perform real-time analyses on detector data at 10 GBytes/s. A switching network is required to connect more than thousand read-out buffers to about thousand processors that execute the trigger algorithm. We are investigating the use of Ethernet technology to build this large switching network. Ethernet is attractive because of the huge installed base, competitive prices, and recent introduction of the high-performance Gigabit version. Due to the network's size it has to be constructed as a layered structure of smaller units. To assess the scalability of such a structure we evaluated a single switch unit. (0 refs).

  12. Highly Efficient Moisture-Triggered Nanogenerator Based on Graphene Quantum Dots.

    Science.gov (United States)

    Huang, Yaxin; Cheng, Huhu; Shi, Gaoquan; Qu, Liangti

    2017-11-08

    A high-performance moisture triggered nanogenerator is fabricated by using graphene quantum dots (GQDs) as the active material. GQDs are prepared by direct oxidation and etching of natural graphite powder, which have small sizes of 2-5 nm and abundant oxygen-containing functional groups. After the treatment by electrochemical polarization, the GQDs-based moisture triggered nanogenerator can deliver a high voltage up to 0.27 V under 70% relative humidity variation, and a power density of 1.86 mW cm -2 with an optimized load resistor. The latter value is much higher than the moisture-electric power generators reported previously. The GQD moisture triggered nanogenerator is promising for self-power electronics and miniature sensors.

  13. Performance of the ATLAS Muon Trigger in Run 2

    CERN Document Server

    Morgenstern, Marcus; The ATLAS collaboration

    2018-01-01

    Events containing muons in the final state are an important signature for many analyses being carried out at the Large Hadron Collider (LHC), including both standard model measurements and searches for new physics. To be able to study such events, it is required to have an efficient and well-understood muon trigger. The ATLAS muon trigger consists of a hardware based system (Level 1), as well as a software based reconstruction (High Level Trigger). Due to high luminosity and pile up conditions in Run 2, several improvements have been implemented to keep the trigger rate low while still maintaining a high efficiency. Some examples of recent improvements include requiring coincidence hits between different layers of the muon spectrometer, improvements for handling overlapping muons, and optimised muon isolation. We will present an overview of how we trigger on muons, recent improvements, and the performance of the muon trigger in Run 2 data.

  14. Performances of the ATLAS Level-1 Muon barrel trigger during the Run-II data taking

    CERN Document Server

    Sessa, Marco; The ATLAS collaboration

    2017-01-01

    The Level-1 Muon Barrel Trigger is one of the main elements of the event selection of the ATLAS experiment at the Large Hadron Collider. It exploits the Resistive Plate Chambers (RPC) detectors to generate the trigger signal. The RPCs are placed in the barrel region of the ATLAS experiment: they are arranged in three concentric double layers and operate in a strong magnetic toroidal field. RPC detectors cover the pseudo-rapidity range $|\\eta|<1.05$ for a total surface of more than $4000\\ m^2$ and about 3600 gas volumes. The Level-1 Muon Trigger in the barrel region allows to select muon candidates with respect to their transverse momentum and associates them with the correct bunch-crossing number. The trigger system is able to take a decision within a latency of about 2 $\\mu s$. The detailed measurement of the RPC detector efficiencies and of the trigger performance during the ATLAS Run-II data taking is here presented.

  15. Performance of the ATLAS Level-1 muon barrel trigger during the Run 2 data taking

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00404546; The ATLAS collaboration

    2018-01-01

    The Level-1 Muon Barrel Trigger is one of the main elements of the event selection of the ATLAS experiment at the Large Hadron Collider. It exploits the Resistive Plate Chambers (RPC) detectors to generate the trigger signal. The RPCs are placed in the barrel region of the ATLAS experiment: they are arranged in three concentric double layers and operate in a strong magnetic toroidal field. RPC detectors cover the pseudo-rapidity range |η| < 1.05 for a total surface of more than 4000 m 2 and about 3600 gas volumes. The Level-1 Muon Trigger in the barrel region allows to select muon candidates according to their transverse momentum and associates them with the correct bunch-crossing. The trigger system is able to take a decision within a latency of about 2 μs. The measurement of the RPC detector efficiencies and the trigger performance during the ATLAS Run-II data taking are here presented.

  16. Triggering on electrons and photons with CMS

    Directory of Open Access Journals (Sweden)

    Zabi Alexandre

    2012-06-01

    Full Text Available Throughout the year 2011, the Large Hadron Collider (LHC has operated with an instantaneous luminosity that has risen continually to around 4 × 1033cm−2s−1. With this prodigious high-energy proton collisions rate, efficient triggering on electrons and photons has become a major challenge for the LHC experiments. The Compact Muon Solenoid (CMS experiment implements a sophisticated two-level online selection system that achieves a rejection factor of nearly 106. The first level (L1 is based on coarse information coming from the calorimeters and the muon detectors while the High-Level Trigger (HLT combines fine-grain information from all sub-detectors. In this intense hadronic environment, the L1 electron/photon trigger provides a powerful tool to select interesting events. It is based upon information from the Electromagnetic Calorimeter (ECAL, a high-resolution detector comprising 75848 lead tungstate (PbWO4 crystals in a “barrel” and two “endcaps”. The performance as well as the optimization of the electron/photon trigger are presented.

  17. Level-1 jets and energy sums trigger performance with part of the 2017 dataset

    CERN Document Server

    CMS Collaboration

    2017-01-01

    After the first long shutdown, the LHC has restarted at a centre-of-mass energy of 13 TeV. The LHC is expected to achieve an instantaneous luminosity larger than $10^{34} cm^{-2}s^{-1}$ and an average peak number of pile-up interactions of at least 40. The CMS Level-1 trigger architecture has undergone a full upgrade in order to maintain and improve the trigger performance under these new conditions. It will allow CMS to keep the trigger rate under control and to avoid a significant increase in trigger thresholds that would have a negative impact on the CMS physics programme. This note includes studies of the performance of the jets and energy sums as defined in the calorimeter trigger upgrade.

  18. Level-1 muon trigger performance with the full 2017 dataset

    CERN Document Server

    CMS Collaboration

    2018-01-01

    This document describes the performance of the CMS Level-1 Muon Trigger with the full dataset of 2017. Efficiency plots are included for each track finder (TF) individually and for the system as a whole. The efficiency is measured to be greater than 90% for all track finders.

  19. Development of the jet Feature EXtractor (jFEX) for the ATLAS Level 1 calorimeter trigger upgrade at the LHC

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00547698; The ATLAS collaboration; Brogna, Andrea Salvatore; Buescher, Volker; Degele, Reinhold; Herr, Holger; Kahra, Christian; Rave, Stefan; Rocco, Elena; Schaefer, Uli; Vieira De Souza, Julio; Tapprogge, Stefan; Bauss, Bruno

    2017-01-01

    To cope with the enhanced luminosity delivered by the Large Hadron Collider from 2021 onwards, the ATLAS experiment has planned several upgrades. The first level trigger based on calorimeter data will be upgraded to exploit fine-granularity readout using a new system of Feature EXtractors (FEXs, FPGA-based trigger boards), each optimized to trigger on different physics objects. This contribution is focused on the jet FEX. The main challenges of such a board are the input bandwidth of up to 3.1 Tbps, dense routing of high-speed signals and power consumption. The design, PCB simulations and results of integrated tests of a prototype are shown in this document.

  20. ATLAS Trigger and Data Acquisition Upgrades for High Luminosity LHC

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00439268; The ATLAS collaboration

    2016-01-01

    The ATLAS experiment at CERN is planning a second phase of upgrades to prepare for the "High Luminosity LHC", a 4th major run due to start in 2026. In order to deliver an order of magnitude more data than previous runs, 14 TeV protons will collide with an instantaneous luminosity of 7.5 × 1034 cm−2s−1, resulting in much higher pileup and data rates than the current experiment was designed to handle. While this extreme scenario is essential to realise the physics programme, it is a huge challenge for the detector, trigger, data acquisition and computing. The detector upgrades themselves also present new requirements and opportunities for the trigger and data acquisition system. Initial upgrade designs for the trigger and data acquisition system are shown, including the real time low latency hardware trigger, hardware-based tracking, the high throughput data acquisition system and the commodity hardware and software-based data handling and event filtering. The motivation, overall architecture and expected ...

  1. ATLAS Trigger and Data Acquisition Upgrades for High Luminosity LHC

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00421104; The ATLAS collaboration

    2016-01-01

    The ATLAS experiment at CERN is planning a second phase of upgrades to prepare for the "High Luminosity LHC", a 4th major run due to start in 2026. In order to deliver an order of magnitude more data than previous runs, 14 TeV protons will collide with an instantaneous luminosity of $7.5 \\times 10^{34} cm^{-2}s^{-1}$, resulting in much higher pileup and data rates than the current experiment was designed to handle. While this extreme scenario is essential to realise the physics programme, it is a huge challenge for the detector, trigger, data acquisition and computing. The detector upgrades themselves also present new requirements and opportunities for the trigger and data acquisition system. Initial upgrade designs for the trigger and data acquisition system are shown, including the real time low latency hardware trigger, hardware-based tracking, the high throughput data acquisition system and the commodity hardware and software-based data handling and event filtering. The motivation, overall architecture an...

  2. ATLAS Trigger and Data Acquisition Upgrades for High Luminosity LHC

    CERN Document Server

    George, Simon; The ATLAS collaboration

    2016-01-01

    The ATLAS experiment at CERN is planning a second phase of upgrades to prepare for the "High Luminosity LHC", a 4th major run due to start in 2026. In order to deliver an order of magnitude more data than previous runs, 14 TeV protons will collide with an instantaneous luminosity of 7.5 × 10^{34} cm^{−2}s^{−1}, resulting in much higher pileup and data rates than the current experiment was designed to handle. While this extreme scenario is essential to realise the physics programme, it is a huge challenge for the detector, trigger, data acquisition and computing. The detector upgrades themselves also present new requirements and opportunities for the trigger and data acquisition system. Initial upgrade designs for the trigger and data acquisition system are shown, including the real time low latency hardware trigger, hardware-based tracking, the high throughput data acquisition system and the commodity hardware and software-based data handling and event filtering. The motivation, overall architecture and ...

  3. ATLAS Trigger and Data Acquisition Upgrades for High Luminosity LHC

    CERN Document Server

    Balunas, William Keaton; The ATLAS collaboration

    2016-01-01

    The ATLAS experiment at CERN is planning a second phase of upgrades to prepare for the "High Luminosity LHC", a 4th major run due to start in 2026. In order to deliver an order of magnitude more data than previous runs, 14 TeV protons will collide with an instantaneous luminosity of $7.5 × 10^{34}$ cm$^{−2}$s$^{−1}$, resulting in much higher pileup and data rates than the current experiment was designed to handle. While this extreme scenario is essential to realise the physics programme, it is a huge challenge for the detector, trigger, data acquisition and computing. The detector upgrades themselves also present new requirements and opportunities for the trigger and data acquisition system. Initial upgrade designs for the trigger and data acquisition system are shown, including the real time low latency hardware trigger, hardware-based tracking, the high throughput data acquisition system and the commodity hardware and software-based data handling and event filtering. The motivation, overall architectur...

  4. The DOe Silicon Track Trigger

    International Nuclear Information System (INIS)

    Steinbrueck, Georg

    2003-01-01

    We describe a trigger preprocessor to be used by the DOe experiment for selecting events with tracks from the decay of long-lived particles. This Level 2 impact parameter trigger utilizes information from the Silicon Microstrip Tracker to reconstruct tracks with improved spatial and momentum resolutions compared to those obtained by the Level 1 tracking trigger. It is constructed of VME boards with much of the logic existing in programmable processors. A common motherboard provides the I/O infrastructure and three different daughter boards perform the tasks of identifying the roads from the tracking trigger data, finding the clusters in the roads in the silicon detector, and fitting tracks to the clusters. This approach provides flexibility for the design, testing and maintenance phases of the project. The track parameters are provided to the trigger framework in 25 μs. The effective impact parameter resolution for high-momentum tracks is 35 μm, dominated by the size of the Tevatron beam

  5. Relative entropy of steering: on its definition and properties

    International Nuclear Information System (INIS)

    Kaur, Eneet; Wilde, Mark M

    2017-01-01

    In Gallego and Aolita (2015 Phys. Rev . X 5 041008), the authors proposed a definition for the relative entropy of steering and showed that the resulting quantity is a convex steering monotone. Here we advocate for a different definition for relative entropy of steering, based on well grounded concerns coming from quantum Shannon theory. We prove that this modified relative entropy of steering is a convex steering monotone. Furthermore, we establish that it is uniformly continuous and faithful, in both cases giving quantitative bounds that should be useful in applications. We also consider a restricted relative entropy of steering which is relevant for the case in which the free operations in the resource theory of steering have a more restricted form (the restricted operations could be more relevant in practical scenarios). The restricted relative entropy of steering is convex, monotone with respect to these restricted operations, uniformly continuous, and faithful. (paper)

  6. Analysis on current limiting characteristics of a transformer type SFCL with two triggering current levels

    International Nuclear Information System (INIS)

    Lim, Sung-Hun; Ko, Seckcheol; Han, Tae-Hee

    2013-01-01

    Highlights: ► We suggested the transformer type SFCL with two triggering current levels. ► The short-circuit tests for the suggested SFCL was executed. ► The fault angle as the fault conditions to verify its operation was selected. ► The usefulness of the suggested SFCL was confirmed through the short-circuit test. -- Abstract: In this paper, the transformer type superconducting fault current limiter (SFCL) with two triggering current levels was suggested and its current limiting characteristics were analyzed. The structure of the suggested transformer type SFCL with two triggering current levels largely consists of two parts. One is the transformer with two magnetically coupled coils, which correspond to the primary winding and the secondary one connected with one high-T C superconducting (HTSC) element. The other is third coil, or, another secondary winding with one HTSC element, which is wound on the same iron core together with two coils. This suggested transformer type SFCL can limit the fault current by generating its limiting impedance with two different amplitudes, which are dependent on the initial amplitude of the fault current in case of the fault occurrence. To confirm the usefulness of the proposed SFCL, the current limiting tests of the SFCL according to the fault angle, one of the effective fault conditions to affect the amplitude of the initial fault current, were carried out and its effective limiting operations were discussed

  7. GPUs for real-time processing in HEP trigger systems

    CERN Document Server

    Ammendola, R; Deri, L; Fiorini, M; Frezza, O; Lamanna, G; Lo Cicero, F; Lonardo, A; Messina, A; Sozzi, M; Pantaleo, F; Paolucci, Ps; Rossetti, D; Simula, F; Tosoratto, L; Vicini, P

    2014-01-01

    We describe a pilot project (GAP - GPU Application Project) for the use of GPUs (Graphics processing units) for online triggering applications in High Energy Physics experiments. Two major trends can be identied in the development of trigger and DAQ systems for particle physics experiments: the massive use of general-purpose commodity systems such as commercial multicore PC farms for data acquisition, and the reduction of trigger levels implemented in hardware, towards a fully software data selection system (\\trigger-less"). The innovative approach presented here aims at exploiting the parallel computing power of commercial GPUs to perform fast computations in software not only in high level trigger levels but also in early trigger stages. General-purpose computing on GPUs is emerging as a new paradigm in several elds of science, although so far applications have been tailored to the specic strengths of such devices as accelerators in oine computation. With the steady reduction of GPU latencies, and the incre...

  8. The ATLAS level-1 trigger: Status of the system and first results from cosmic-ray data

    Energy Technology Data Exchange (ETDEWEB)

    Aielli, G [Universita degli Studi di Roma ' Tor Vergata' and INFN Roma II, Rome (Italy); Andrei, V; Achenbach, R [Kirchhoff-Institut fuer Physik, University of Heidelberg, D-69120 Heidelberg (Germany); Adragna, P [Physics Department, Queen Mary, University of London, London E1 4NS (United Kingdom); Aloisio, A; Alviggi, M G [Universita degli Studi di Napoli ' Federico II' and INFN Napoli (Italy); Antonelli, S [INFN Bologna and Universita degli Studi di Bologna (Italy); Ask, S [CERN, PH Department (Switzerland); Barnett, B M [CCLRC Rutherford Appleton Laboratory, Chilton, Didcot, Oxon OX11 0QX (United Kingdom); Bauss, B [Institut fuer Physik, University of Mainz, D-55099 Mainz (Germany); Bellagamba, L [INFN Bologna and Universita degli Studi di Bologna (Italy); Ben Ami, S [Technion Israel Institute of Technology (Israel); Bendel, M [Institut fuer Physik, University of Mainz, D-55099 Mainz (Germany); Benhammou, Y [Tel Aviv University (Israel); Berge, D. [CERN, PH Department (Switzerland)], E-mail: David.Berge@cern.ch; Bianco, M [Universita degli Studi di Lecce and INFN Lecce (Italy); Biglietti, M G [Universita degli Studi di Napoli ' Federico II' and INFN Napoli (Italy); Bohm, C [Fysikum, University of Stockholm, SE-10691 Stockholm (Sweden); Booth, J R.A. [School of Physics and Astronomy, University of Birmingham, Birmingham B15 2TT (United Kingdom); CCLRC Rutherford Appleton Laboratory, Chilton, Didcot, Oxon OX11 0QX (United Kingdom); Boscherini, D [INFN Bologna and Universita degli Studi di Bologna (Italy)

    2007-10-21

    The ATLAS detector at CERN's Large Hadron Collider (LHC) will be exposed to proton-proton collisions from beams crossing at 40 MHz. At the design luminosity of 10{sup 34}cm{sup -2}s{sup -1} there are on average 23 collisions per bunch crossing. A three-level trigger system will select potentially interesting events in order to reduce the readout rate to about 200 Hz. The first trigger level is implemented in custom-built electronics and makes an initial fast selection based on detector data of coarse granularity. It has to reduce the rate by a factor of 10{sup 4} to less than 100 kHz. The other two consecutive trigger levels are in software and run on PC farms. We present an overview of the first-level trigger system and report on the current installation status. Moreover, we show analysis results of cosmic-ray data recorded in situ at the ATLAS experimental site with final or close-to-final hardware.

  9. The ATLAS level-1 trigger: Status of the system and first results from cosmic-ray data

    International Nuclear Information System (INIS)

    Aielli, G.; Andrei, V.; Achenbach, R.; Adragna, P.; Aloisio, A.; Alviggi, M.G.; Antonelli, S.; Ask, S.; Barnett, B.M.; Bauss, B.; Bellagamba, L.; Ben Ami, S.; Bendel, M.; Benhammou, Y.; Berge, D.; Bianco, M.; Biglietti, M.G.; Bohm, C.; Booth, J.R.A.; Boscherini, D.

    2007-01-01

    The ATLAS detector at CERN's Large Hadron Collider (LHC) will be exposed to proton-proton collisions from beams crossing at 40 MHz. At the design luminosity of 10 34 cm -2 s -1 there are on average 23 collisions per bunch crossing. A three-level trigger system will select potentially interesting events in order to reduce the readout rate to about 200 Hz. The first trigger level is implemented in custom-built electronics and makes an initial fast selection based on detector data of coarse granularity. It has to reduce the rate by a factor of 10 4 to less than 100 kHz. The other two consecutive trigger levels are in software and run on PC farms. We present an overview of the first-level trigger system and report on the current installation status. Moreover, we show analysis results of cosmic-ray data recorded in situ at the ATLAS experimental site with final or close-to-final hardware

  10. Optimization of steering elements in the RIA driver linac

    International Nuclear Information System (INIS)

    Lessner, E. S.; Aseev, V. S.; Ostroumov, P. N.; Physics

    2005-01-01

    The driver linac of the projected RIA facility is a versatile accelerator, a 1.4-GV, CW superconducting (SC) linac designed to simultaneously accelerate several heavy-ion charge states, providing beams from proton to uranium at 400 MeV/u at power levels at a minimum of 100 kW and up to 400 kW for most beams. Acceleration of multiple-charge-state uranium beams places stringent requirements on the linac design. A steering algorithm was derived that fulfilled the driver's real estate requirements, such as placement of steering dipole coils on SC solenoids and of beam position monitors outside cryostats, and beam-dynamics requirements, such as coupling effects induced by the focusing solenoids. The algorithm has been fully integrated into the tracking code TRACK and it is used to study and optimize the number and position of steering elements that minimize the multiple-beam centroid oscillations and preserve the beam emittance under misalignments of accelerating and transverse focusing elements in the driver linac

  11. TRIGGER

    CERN Multimedia

    Wesley Smith

    Level-1 Trigger Hardware and Software The production of the trigger hardware is now basically finished, and in time for the turn-on of the LHC. The last boards produced are the Trigger Concentrator Cards for the ECAL Endcaps (TCC-EE). After the recent installation of the four EE Dees, the TCC-EE prototypes were used for their commissioning. Production boards are arriving and are being tested continuously, with the last ones expected in November. The Regional Calorimeter Trigger hardware is fully integrated after installation of the last EE cables. Pattern tests from the HCAL up to the GCT have been performed successfully. The HCAL triggers are fully operational, including the connection of the HCAL-outer and forward-HCAL (HO/HF) technical triggers to the Global Trigger. The HCAL Trigger and Readout (HTR) board firmware has been updated to permit recording of the tower “feature bit” in the data. The Global Calorimeter Trigger hardware is installed, but some firmware developments are still n...

  12. Level Zero Trigger processor for the ultra rare kaon decay experiment—NA62

    CERN Document Server

    Chiozzi, S; Gianoli, A; Mila, G; Neri, I; Petrucci, F; Soldi, D

    2016-01-01

    n the NA62 experiment at CERN-SPS the communication between detectors and the Lowest Level (L0) trigger processor is performed via Ethernet packets, using the UDP protocol. The L0 Trigger Processor handles the signals from sub-detectors that take part to the trigger generation. In order to choose the best solution for its realization, two different approaches have been implemented. The first approach is fully based on a FPGA device while the second one joins an off-the-shelf PC to the FPGA. The performance of the two systems will be discussed and compared.

  13. Wireless traffic steering for green cellular networks

    CERN Document Server

    Zhang, Shan; Zhou, Sheng; Niu, Zhisheng; Shen, Xuemin (Sherman)

    2016-01-01

    This book introduces wireless traffic steering as a paradigm to realize green communication in multi-tier heterogeneous cellular networks. By matching network resources and dynamic mobile traffic demand, traffic steering helps to reduce on-grid power consumption with on-demand services provided. This book reviews existing solutions from the perspectives of energy consumption reduction and renewable energy harvesting. Specifically, it explains how traffic steering can improve energy efficiency through intelligent traffic-resource matching. Several promising traffic steering approaches for dynamic network planning and renewable energy demand-supply balancing are discussed. This book presents an energy-aware traffic steering method for networks with energy harvesting, which optimizes the traffic allocated to each cell based on the renewable energy status. Renewable energy demand-supply balancing is a key factor in energy dynamics, aimed at enhancing renewable energy sustainability to reduce on-grid energy consum...

  14. Simulation of dynamic pile-up corrections in the ATLAS level-1 calorimeter trigger

    Energy Technology Data Exchange (ETDEWEB)

    Narrias-Villar, Daniel; Wessels, Martin; Brandt, Oleg [Heidelberg University, Heidelberg (Germany)

    2015-07-01

    The Level-1 Calorimeter Trigger is a crucial part of the ATLAS trigger effort to select only relevant physics events out of the large number of interactions at the LHC. In Run II, in which the LHC will double the centre-of-mass energy and further increase the instantaneous luminosity, pile-up is a limiting key factor for triggering and reconstruction of relevant events. The upgraded L1Calo Multi-Chip-Modules (nMCM) will address this problem by applying dynamic pile-up corrections in real-time, of which a precise simulation is crucial for physics analysis. Therefore pile-up effects are studied in order to provide a predictable parametrised baseline correction for the Monte Carlo simulation. Physics validation plots, such as trigger rates and turn-on curves are laid out.

  15. Light-Triggered Soft Artificial Muscles: Molecular-Level Amplification of Actuation Control Signals.

    Science.gov (United States)

    Dicker, Michael P M; Baker, Anna B; Iredale, Robert J; Naficy, Sina; Bond, Ian P; Faul, Charl F J; Rossiter, Jonathan M; Spinks, Geoffrey M; Weaver, Paul M

    2017-08-23

    The principle of control signal amplification is found in all actuation systems, from engineered devices through to the operation of biological muscles. However, current engineering approaches require the use of hard and bulky external switches or valves, incompatible with both the properties of emerging soft artificial muscle technology and those of the bioinspired robotic systems they enable. To address this deficiency a biomimetic molecular-level approach is developed that employs light, with its excellent spatial and temporal control properties, to actuate soft, pH-responsive hydrogel artificial muscles. Although this actuation is triggered by light, it is largely powered by the resulting excitation and runaway chemical reaction of a light-sensitive acid autocatalytic solution in which the actuator is immersed. This process produces actuation strains of up to 45% and a three-fold chemical amplification of the controlling light-trigger, realising a new strategy for the creation of highly functional soft actuating systems.

  16. Continuous Steering Stability Control Based on an Energy-Saving Torque Distribution Algorithm for a Four in-Wheel-Motor Independent-Drive Electric Vehicle

    Directory of Open Access Journals (Sweden)

    Li Zhai

    2018-02-01

    Full Text Available In this paper, a continuous steering stability controller based on an energy-saving torque distribution algorithm is proposed for a four in-wheel-motor independent-drive electric vehicle (4MIDEV to improve the energy consumption efficiency while maintaining the stability in steering maneuvers. The controller is designed as a hierarchical structure, including the reference model level, the upper-level controller, and the lower-level controller. The upper-level controller adopts the direct yaw moment control (DYC, which is designed to work continuously during the steering maneuver to better ensure steering stability in extreme situations, rather than working only after the vehicle is judged to be unstable. An adaptive two-hierarchy energy-saving torque distribution algorithm is developed in the lower-level controller with the friction ellipse constraint as a basis for judging whether the algorithm needs to be switched, so as to achieve a more stable and energy-efficient steering operation. The proposed stability controller was validated in a co-simulation of CarSim and Matlab/Simulink. The simulation results under different steering maneuvers indicate that the proposed controller, compared with the conventional servo controller and the ordinary continuous controller, can reduce energy consumption up to 23.68% and improve the vehicle steering stability.

  17. Pulling the trigger on LHC electronics

    CERN Document Server

    CERN. Geneva

    2001-01-01

    The conditions at CERN's Large Hadron Collider pose severe challenges for the designers and builders of front-end, trigger and data acquisition electronics. A recent workshop reviewed the encouraging progress so far and discussed what remains to be done. The LHC experiments have addressed level one trigger systems with a variety of high-speed hardware. The CMS Calorimeter Level One Regional Trigger uses 160 MHz logic boards plugged into the front and back of a custom backplane, which provides point-to-point links between the cards. Much of the processing in this system is performed by five types of 160 MHz digital applications-specific integrated circuits designed using Vitesse submicron high-integration gallium arsenide gate array technology. The LHC experiments make extensive use of field programmable gate arrays (FPGAs). These offer programmable reconfigurable logic, which has the flexibility that trigger designers need to be able to alter algorithms so that they can follow the physics and detector perform...

  18. Firmware implementation of algorithms for the new topological processor in the ATLAS first level trigger

    Energy Technology Data Exchange (ETDEWEB)

    Maldaner, Stephan; Caputo, Regina; Schaefer, Ulrich; Tapprogge, Stefan [Universitaet Mainz, Staudingerweg 7, 55128 Mainz (Germany)

    2013-07-01

    After the upgrade of the Large Hadron Collider in 2013/2014 proton-proton collisions will be provided at a center-of-mass energy of up to 14 TeV with an instantaneous luminosity of at least 1 . 10{sup 34} cm{sup -2}s{sup -1}. During this upgrade a new FPGA based electronics system (Topological Processor) will be included in the ATLAS trigger chain to keep up with the increased rate of events. To reduce rates while maintaining high signal efficiency of the trigger the processor will make its decisions based upon topological criteria like angular cuts and mass calculations. As a hardware based trigger, it will have to fit into the tight first level trigger latency budget of 2.5 μs and thus provides the challenge of making decisions within very short time. Beside the latency, the main constraints on the algorithms are the required amount of logic resources of the FPGA which will be implemented as firmware. Therefore to be able to use as much information as possible, each module will be equipped with 2 state-of-the-art Xilinx Virtex 7 FPGAs to process the incoming data. This talk will present some of the topological algorithms and discuss properties of their implementation in firmware.

  19. Fundamentals studies of a magnetically steered vacuum arc

    Science.gov (United States)

    Walke, Paul

    In recent years demand from production industry for high performance cutting tools, aero and automobile engine parts has prompted research into both existing and novel methods of laying down hard, low friction coatings . A key process for the production of such coatings has been Physical Vapour Deposition (PVD) which has proved to be a consistent and reliable tool for industry. For this technique to continue to be improved and more advanced coatings to be produced, research at the fundamental level is required. This thesis describes research investigating the behaviour of the steered arc cathode spot and methods of improving existing steered arc coating technology.The majority of existing steered arc systems use either permanent magnets or a combination of permanent and electromagnets to steer the arc. Described here is a novel system which employs a pair of electromagnetic coils of cylindrical geometry which enable the arc to be positioned on a circular orbit through a range of continuously variable radii. In addition to this the coils are capable of controlling the transverse and normal magnetic field profiles independently of the steering radius selected. This enables the behaviour of the arc spot to be investigated under a range of magnetic field conditions thus allowing the comparison of measured arc behaviour with a new model of arc motion. Care has described the motion of the arc spot as a biased random walk and has derived an analytical solution to describe the time dependent, probability density function for the arc position in two dimensions. Two distributions are proposed (one in each dimension); the first describes the probability density for the arc position in the direction of driven motion, the second the probability density in the direction of arc confinement. The shape of these distributions is dependent upon the transverse and normal components of the applied magnetic field.A series of experiments are described here that measure the shape of these

  20. The ATLAS Trigger algorithms upgrade and performance in Run 2

    CERN Document Server

    Bernius, Catrin; The ATLAS collaboration

    2017-01-01

    Title: The ATLAS Trigger algorithms upgrade and performance in Run 2 (TDAQ) The ATLAS trigger has been used very successfully for the online event selection during the first part of the second LHC run (Run-2) in 2015/16 at a center-of-mass energy of 13 TeV. The trigger system is composed of a hardware Level-1 trigger and a software-based high-level trigger; it reduces the event rate from the bunch-crossing rate of 40 MHz to an average recording rate of about 1 kHz. The excellent performance of the ATLAS trigger has been vital for the ATLAS physics program of Run-2, selecting interesting collision events for wide variety of physics signatures with high efficiency. The trigger selection capabilities of ATLAS during Run-2 have been significantly improved compared to Run-1, in order to cope with the higher event rates and pile-up which are the result of the almost doubling of the center-of-mass collision energy and the increase in the instantaneous luminosity of the LHC. At the Level-1 trigger the undertaken impr...

  1. Graphics Processing Units for HEP trigger systems

    International Nuclear Information System (INIS)

    Ammendola, R.; Bauce, M.; Biagioni, A.; Chiozzi, S.; Cotta Ramusino, A.; Fantechi, R.; Fiorini, M.; Giagu, S.; Gianoli, A.; Lamanna, G.; Lonardo, A.; Messina, A.

    2016-01-01

    General-purpose computing on GPUs (Graphics Processing Units) is emerging as a new paradigm in several fields of science, although so far applications have been tailored to the specific strengths of such devices as accelerator in offline computation. With the steady reduction of GPU latencies, and the increase in link and memory throughput, the use of such devices for real-time applications in high-energy physics data acquisition and trigger systems is becoming ripe. We will discuss the use of online parallel computing on GPU for synchronous low level trigger, focusing on CERN NA62 experiment trigger system. The use of GPU in higher level trigger system is also briefly considered.

  2. Graphics Processing Units for HEP trigger systems

    Energy Technology Data Exchange (ETDEWEB)

    Ammendola, R. [INFN Sezione di Roma “Tor Vergata”, Via della Ricerca Scientifica 1, 00133 Roma (Italy); Bauce, M. [INFN Sezione di Roma “La Sapienza”, P.le A. Moro 2, 00185 Roma (Italy); University of Rome “La Sapienza”, P.lee A.Moro 2, 00185 Roma (Italy); Biagioni, A. [INFN Sezione di Roma “La Sapienza”, P.le A. Moro 2, 00185 Roma (Italy); Chiozzi, S.; Cotta Ramusino, A. [INFN Sezione di Ferrara, Via Saragat 1, 44122 Ferrara (Italy); University of Ferrara, Via Saragat 1, 44122 Ferrara (Italy); Fantechi, R. [INFN Sezione di Pisa, Largo B. Pontecorvo 3, 56127 Pisa (Italy); CERN, Geneve (Switzerland); Fiorini, M. [INFN Sezione di Ferrara, Via Saragat 1, 44122 Ferrara (Italy); University of Ferrara, Via Saragat 1, 44122 Ferrara (Italy); Giagu, S. [INFN Sezione di Roma “La Sapienza”, P.le A. Moro 2, 00185 Roma (Italy); University of Rome “La Sapienza”, P.lee A.Moro 2, 00185 Roma (Italy); Gianoli, A. [INFN Sezione di Ferrara, Via Saragat 1, 44122 Ferrara (Italy); University of Ferrara, Via Saragat 1, 44122 Ferrara (Italy); Lamanna, G., E-mail: gianluca.lamanna@cern.ch [INFN Sezione di Pisa, Largo B. Pontecorvo 3, 56127 Pisa (Italy); INFN Laboratori Nazionali di Frascati, Via Enrico Fermi 40, 00044 Frascati (Roma) (Italy); Lonardo, A. [INFN Sezione di Roma “La Sapienza”, P.le A. Moro 2, 00185 Roma (Italy); Messina, A. [INFN Sezione di Roma “La Sapienza”, P.le A. Moro 2, 00185 Roma (Italy); University of Rome “La Sapienza”, P.lee A.Moro 2, 00185 Roma (Italy); and others

    2016-07-11

    General-purpose computing on GPUs (Graphics Processing Units) is emerging as a new paradigm in several fields of science, although so far applications have been tailored to the specific strengths of such devices as accelerator in offline computation. With the steady reduction of GPU latencies, and the increase in link and memory throughput, the use of such devices for real-time applications in high-energy physics data acquisition and trigger systems is becoming ripe. We will discuss the use of online parallel computing on GPU for synchronous low level trigger, focusing on CERN NA62 experiment trigger system. The use of GPU in higher level trigger system is also briefly considered.

  3. Automated beam steering using optimal control

    Energy Technology Data Exchange (ETDEWEB)

    Allen, C. K. (Christopher K.)

    2004-01-01

    We present a steering algorithm which, with the aid of a model, allows the user to specify beam behavior throughout a beamline, rather than just at specified beam position monitor (BPM) locations. The model is used primarily to compute the values of the beam phase vectors from BPM measurements, and to define cost functions that describe the steering objectives. The steering problem is formulated as constrained optimization problem; however, by applying optimal control theory we can reduce it to an unconstrained optimization whose dimension is the number of control signals.

  4. Electronics for CMS Endcap Muon Level-1 Trigger System Phase-1 and HL LHC Upgrades Summary

    CERN Document Server

    Madorsky, Alexander

    2017-01-01

    To accommodate high-luminosity LHC operation at 13 TeV collision energy, the CMS Endcap Muon Level-1 Trigger system had to be significantly modified. To provide the best track reconstruction, the trigger system must now import all available trigger primitives generated by Cathode Strip Chambers and by certain other subsystems, such as Resistive Plate Chambers (RPC). In addition to massive input bandwidth, this also required significant increase in logic and memory resources.To satisfy these requirements, a new Sector Processor unit has been designed. It consists of three modules. The Core Logic module houses the large FPGA that contains the track-finding logic and multi-gigabit serial links for data exchange. The Optical module contains optical receivers and transmitters; it communicates with the Core Logic module via a custom backplane section. The Pt Lookup Table (PTLUT) module contains 1 GB of low-latency memory that is used to assign the final Pt to reconstructed muon tracks. The µTCA architecture (ado...

  5. Speed choice and steering behavior in curve driving

    NARCIS (Netherlands)

    Winsum, W. van; Godthelp, J.

    1996-01-01

    The relation between speed choice and steering performance during curve negotiation was studied in a driving simulator. The hypothesis was that curve radius and steering competence both affect steering error during curve driving, resulting in compensatory speed choice. In this, the control of safety

  6. 46 CFR 58.25-20 - Piping for steering gear.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 2 2010-10-01 2010-10-01 false Piping for steering gear. 58.25-20 Section 58.25-20... MACHINERY AND RELATED SYSTEMS Steering Gear § 58.25-20 Piping for steering gear. (a) Pressure piping must... the hydraulic system can be readily recharged from within the steering-gear compartment and must be...

  7. Upgrade of the cathode strip chamber level 1 trigger optical links at CMS

    International Nuclear Information System (INIS)

    Ecklund, K; Liu, J; Matveev, M; Padley, P; Madorsky, A

    2012-01-01

    At the Large Hadron Collider (LHC) at CERN, the CMS experiment's Level 1 Trigger system for the endcap Cathode Strip Chambers (CSC) has 180 optical links to transmit Level 1 trigger primitives from 60 peripheral crates to the CSC Track Finder (CSCTF) which reconstructs muon candidates. Currently there is a limit of 3 trigger primitives per crate serving a cluster of 9 chambers. With the anticipated LHC luminosity increase up to 10 35 cm −2 s −1 at full energy of 7 TeV/beam the Muon Port Card (MPC), which transmits the primitives, the receiver in the CSCTF (Sector Processor) and the optical transmission system itself need to be upgraded. At the same time it is very desirable to preserve all the old optical links intact for compatibility with the present Track Finder during transition period. We present here the results of our efforts in the past two years to upgrade the MPC board, including the hardware developments, data transmission tests and latency measurements.

  8. The ATLAS Trigger System : Ready for Run-2

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00211007; The ATLAS collaboration

    2016-01-01

    The ATLAS trigger has been successfully collecting collision data during the first run of the LHC between 2009-2013 at a centre-of-mass energy between 900 GeV and 8 TeV. The trigger system consists of a hardware based Level-1 (L1) and a software based high-level trigger (HLT) that reduces the event rate from the design bunch-crossing rate of 40 MHz to an average recording rate of a few hundred Hz. During the course of the ongoing Run-2 data-taking campaign at 13 TeV centre-of-mass energy the trigger rates will be approximately 5 times higher compared to Run-1. In these proceedings we briefly review the ATLAS trigger system upgrades that were implemented during the shutdown, allowing us to cope with the increased trigger rates while maintaining or even improving our efficiency to select relevant physics processes. This includes changes to the L1 calorimeter and muon trigger system, the introduction of a new L1 topological trigger subsystem and the merging of the previously two-level HLT system into a single ev...

  9. Improving Einstein–Podolsky–Rosen steering inequalities with state information

    International Nuclear Information System (INIS)

    Schneeloch, James; Broadbent, Curtis J.; Howell, John C.

    2014-01-01

    We discuss the relationship between entropic Einstein–Podolsky–Rosen (EPR)-steering inequalities and their underlying uncertainty relations along with the hypothesis that improved uncertainty relations lead to tighter EPR-steering inequalities. In particular, we discuss how using information about the state of a quantum system affects one's ability to witness EPR-steering. As an example, we consider the recent improvement to the entropic uncertainty relation between pairs of discrete observables (Berta et al., 2010 [10]). By considering the assumptions that enter into the development of a steering inequality, we derive correct steering inequalities from these improved uncertainty relations and find that they are identical to ones already developed (Schneeloch et al., 2013 [9]). In addition, we consider how one can use state information to improve our ability to witness EPR-steering, and develop a new continuous variable symmetric EPR-steering inequality as a result.

  10. The D0 run II trigger system

    International Nuclear Information System (INIS)

    Schwienhorst, Reinhard; Michigan State U.

    2004-01-01

    The D0 detector at the Fermilab Tevatron was upgraded for Run II. This upgrade included improvements to the trigger system in order to be able to handle the increased Tevatron luminosity and higher bunch crossing rates compared to Run I. The D0 Run II trigger is a highly exible system to select events to be written to tape from an initial interaction rate of about 2.5 MHz. This is done in a three-tier pipelined, buffered system. The first tier (level 1) processes fast detector pick-off signals in a hardware/firmware based system to reduce the event rate to about 1. 5kHz. The second tier (level 2) uses information from level 1 and forms simple Physics objects to reduce the rate to about 850 Hz. The third tier (level 3) uses full detector readout and event reconstruction on a filter farm to reduce the rate to 20-30 Hz. The D0 trigger menu contains a wide variety of triggers. While the emphasis is on triggering on generic lepton and jet final states, there are also trigger terms for specific final state signatures. In this document we describe the D0 trigger system as it was implemented and is currently operating in Run II

  11. B-Identifikation im Level 2 Trigger des ATLAS Experiments

    CERN Document Server

    AUTHOR|(CDS)2072780

    Zur Zeit wird am europäischen Forschungszentrum für Teilchenphysik CERN der neue Proton-Proton-Speicherring LHC und die zugehörigen vier Experimente gebaut. Ziele der Experimente sind unter anderem der Nachweis des Higgs-Bosons sowie detaillierte Studien des top-Quarks. Um möglichst reine Datensätze zu erhalten wäre es hilfreich, diese Ereignisse bereits während der Datennahme möglichst effizient zu selektieren. Dabei würde es helfen, wenn b-Quark-Jets auf Trigger-Niveau erkannt werden könnten. Ziel der Arbeit war die Entwicklung eines Algorithmus zur Identifikation von b-Quark-Jets, welcher die Anforderungen des Level 2 Triggers erfüllt. Das erste Kapitel der Arbeit gibt einen Einblick in die wesentlichen Bestandteile des Standardmodells der Teilchenphysik. In den folgenden zwei Kapiteln wird der Beschleuniger und der ATLAS Detektor sowie das ATLAS-Triggersystem beschrieben. Kapitel vier beschreibt die Möglichkeiten der B-Jet-Identifikation sowie einen Vertexalgorithmus auf Basis der Perigee-Pa...

  12. A Fast Hardware Tracker for the ATLAS Trigger System

    CERN Document Server

    Neubauer, M; The ATLAS collaboration

    2011-01-01

    In hadron collider experiments, triggering the detector to store interesting events for offline analysis is a challenge due to the high rates and multiplicities of particles produced. The LHC will soon operate at a center-of-mass energy of 14 TeV and at high instantaneous luminosities of the order of $10^{34}$ to $10^{35}$ cm$^{-2}$ s$^{-1}$. A multi-level trigger strategy is used in ATLAS, with the first level (LVL1) implemented in hardware and the second and third levels (LVL2 and EF) implemented in a large computer farm. Maintaining high trigger efficiency for the physics we are most interested in while at the same time suppressing high rate physics from inclusive QCD processes is a difficult but important problem. It is essential that the trigger system be flexible and robust, with sufficient redundancy and operating margin. Providing high quality track reconstruction over the full ATLAS detector by the start of processing at LVL2 is an important element to achieve these needs. As the instantaneous lumino...

  13. Evolved Control of Natural Plants: Crossing the Reality Gap for User-Defined Steering of Growth and Motion

    DEFF Research Database (Denmark)

    Hofstadler, Daniel Nicolas; Wahby, Mostafa; Heinrich, Mary Katherine

    2017-01-01

    Mixing societies of natural and artificial systems can provide interesting and potentially fruitful research targets. Here we mix robotic setups and natural plants in order to steer the motion behavior of plants while growing. The robotic setup uses a camera to observe the plant and uses a pair...... of light sources to trigger phototropic response, steering the plant to user-defined targets. An evolutionary robotic approach is used to design a controller for the setup. Initially, preliminary experiments are performed with a simple predetermined controller and a growing bean plant. The plant behavior......-evolved controller in the real setup controlling a natural bean plant. The results demonstrate a successful crossing of the reality gap in the setup. The success of the approach allows for future extensions to more complex tasks including control of the shape of plants and pattern formation in multiple plant setups....

  14. A level-1 track trigger for CMS with double stack detectors and long barrel approach

    International Nuclear Information System (INIS)

    Salvati, E

    2012-01-01

    The upgrade of the LHC machine is planned to deliver luminosities 5 to 10 times larger than the design one of 1 × 10 34 cm −2 s −1 . A novel tracking system for the CMS experiment must be designed and built. One main aspect of the current activities consists in understanding the capabilities that different designs such a tracker would have to provide for the Level 1 hardware trigger to complement the muon and calorimeter information. Data rate reduction at hardware level consists in both reducing multiple hits from a single track and rejection of low p t tracks. Pattern-based hit correlation of properly built clusters of hits would provide quality Level 1 primitives to the hardware trigger. These can be combined together in a projective geometry to perform a rough tracking to be implemented online, returning rough p t , direction, and vertex information for a candidate track. The benchmark results from simulations within the official CMS framework are presented for one particular layout based on barrel trigger layers, emphasizing the flexibility of this tool for the design and test of different tracking strategies at level 1 to be compared with the developments in trigger architectures implementation.

  15. Slow-release urea in supplement fed to beef steers

    Directory of Open Access Journals (Sweden)

    Ana Paula Gonçalves

    2015-02-01

    Full Text Available Replacing regular urea (RU by slow-release urea (SRU at two levels of non-protein nitrogen (NPN in concentrate, offered with low-quality roughage, was evaluated in beef steers on dry matter intake (DMI, ruminal fermentation parameters, plasma urea nitrogen (PUN, total tract apparent digestibility of diets and in situ degradability of nitrogen sources. Eight ruminally cannulated steers were allocated into two 4x4 Latin squares, totalizing four treatments: 40 NPN/0 SRU: 40% of concentrate crude protein (CP as NPN, resulting from 0% of SRU and 100% of RU; 40 NPN/50 SRU: 40% of concentrate CP as NPN, resulting from 50% of SRU and 50% of RU; 40 NPN/100 SRU: 40% of concentrate CP as NPN, resulting from 100% of SRU and 0% of RU; 80 NPN/100 SRU: 80% of concentrate CP as NPN, resulting from 100% of SRU and 0% of RU. Results showed that partial substitution of regular urea by slow-release urea did not alter dry matter intake, pattern of ruminal fermentation or plasma urea nitrogen concentrations and increased the total tract apparent digestibility of crude protein in steers diets. The increase in non-protein nitrogen content in crude protein of the concentrate could compromise feed intake and the efficiency of nutrient utilization in the steers fed complete diets based on low quality forage.

  16. Method for modifying trigger level for adsorber regeneration

    Science.gov (United States)

    Ruth, Michael J.; Cunningham, Michael J.

    2010-05-25

    A method for modifying a NO.sub.x adsorber regeneration triggering variable. Engine operating conditions are monitored until the regeneration triggering variable is met. The adsorber is regenerated and the adsorbtion efficiency of the adsorber is subsequently determined. The regeneration triggering variable is modified to correspond with the decline in adsorber efficiency. The adsorber efficiency may be determined using an empirically predetermined set of values or by using a pair of oxygen sensors to determine the oxygen response delay across the sensors.

  17. A Fast Hardware Tracker for the ATLAS Trigger System

    CERN Document Server

    Kimura, N; The ATLAS collaboration

    2012-01-01

    Selecting interesting events with triggering is very challenging at the LHC due to the busy hadronic environment. Starting in 2014 the LHC will run with an energy of 13 or 14 TeV and instantaneous luminosities which could exceed 1034 interactions per cm2 and per second. The triggering in the ATLAS detector is realized using a three level trigger approach, in which the first level (Level-1) is hardware based and the second (Level-2) and third (EF) stag are realized using large computing farms. It is a crucial and non-trivial task for triggering to maintain a high efficiency for events of interest while suppressing effectively the very high rates of inclusive QCD process, which constitute mainly background. At the same time the trigger system has to be robust and provide sufficient operational margins to adapt to changes in the running environment. In the current design track reconstruction can be performed only in limited regions of interest at L2 and the CPU requirements may limit this even further at the hig...

  18. Steer-by-wire innovations and demonstrator

    NARCIS (Netherlands)

    Lupker, H.A.; Zuurbier, J.; Verschuren, R.M.A.F.; Jansen, S.T.H.; Willemsen, D.M.C.

    2002-01-01

    Arguments for 'by-wire' systems include production costs, packaging and traffic safety. Innovations concern both product and development process e.g. combined virtual engineering and Hardware-in-the-loop testing. Three Steer-by-wire systems are discussed: a steering system simulator used as a

  19. Design and manufacturing of mechanical steering system for ...

    African Journals Online (AJOL)

    Design and manufacturing of mechanical steering system for parallel parking, zero turning radius, minimum turning radius with traditional turning. ... of the steering system are designed so as to meet all the configuration of steering system and to be well-matched to the power train, suspension system and body of the car.

  20. ATLAS Jet Trigger Update for the LHC Run II

    CERN Document Server

    Prince, Sebastien; The ATLAS collaboration

    2015-01-01

    After the current shutdown, the LHC is about to resume operation for a new data-taking period, when it will operate with increased luminosity, event rate and centre of mass energy. The new conditions will impose more demanding constraints on the ATLAS online trigger reconstruction and selection system. To cope with such increased constraints, the ATLAS High Level Trigger, placed after a first hardware-based Level-1 trigger, has been redesigned by merging two previously separated software-based processing levels. In the new joint processing level, the algorithms run in the same computing nodes, thus sharing resources, minimizing the data transfer from the detector buffers and increasing the algorithm flexibility. The Jet trigger software selects events containing high transverse momentum hadronic jets. It needs optimal jet energy resolution to help rejecting an overwhelming background while retaining good efficiency for interesting jets. In particular, this requires the CPU-intensive reconstruction of tridimen...

  1. Research on Performance of Wire-controlled Hydraulic Steering System Based on Four-wheel Steering

    Science.gov (United States)

    Tao, P.; Jin, X. H.

    2018-05-01

    In this paper, the steering stability and control strategy of forklift are put forward. Drive based on yawing moment distribution of rotary torque coordination control method, through analyzing the linear two degree of freedom model of forklift truck, forklift yawing angular velocity and mass center side-slip Angle of expectations, as the control target parameters system, using fuzzy controller output driving forklift steering the yawing moment, to drive rotary torque distribution, make the forklift truck to drive horizontal pendulum angular velocity and side-slip Angle tracking reference model very well. In this paper, the lateral stability control system were designed, the joint simulation in MATLAB/Simulink, the simulation results show that under the different partial load, the control system can effectively to control side forklift lateral stability, enhanced the forklift driving safety, for the side forklift steering stability study provides a theoretical basis.

  2. The ATLAS Trigger Algorithms Upgrade and Performance in Run-2

    CERN Document Server

    Bernius, Catrin; The ATLAS collaboration

    2017-01-01

    The ATLAS trigger has been used very successfully for the online event selection during the first part of the second LHC run (Run-2) in 2015/16 at a center-of-mass energy of 13 TeV. The trigger system is composed of a hardware Level-1 trigger and a software-based high-level trigger; it reduces the event rate from the bunch-crossing rate of 40 MHz to an average recording rate of about 1 kHz. The excellent performance of the ATLAS trigger has been vital for the ATLAS physics program of Run-2, selecting interesting collision events for wide variety of physics signatures with high efficiency. The trigger selection capabilities of ATLAS during Run-2 have been significantly improved compared to Run-1, in order to cope with the higher event rates and pile-up which are the result of the almost doubling of the center-of-mass collision energy and the increase in the instantaneous luminosity of the LHC. At the Level-1 trigger the undertaken improvements resulted in more pile-up robust selection efficiencies and event ra...

  3. The ATLAS Trigger system upgrade and performance in Run 2

    CERN Document Server

    Shaw, Savanna Marie; The ATLAS collaboration

    2018-01-01

    The ATLAS trigger has been used very successfully for the online event selection during the first part of the second LHC run (Run-2) in 2015/16 at a centre-of-mass energy of 13 TeV. The trigger system is composed of a hardware Level-1 trigger and a software-based high-level trigger; it reduces the event rate from the bunch-crossing rate of 40 MHz to an average recording rate of about 1 kHz. The excellent performance of the ATLAS trigger has been vital for the ATLAS physics program of Run-2, selecting interesting collision events for wide variety of physics signatures with high efficiency. The trigger selection capabilities of ATLAS during Run-2 have been significantly improved compared to Run-1, in order to cope with the higher event rates and pile-up which are the result of the almost doubling of the center-of-mass collision energy and the increase in the instantaneous luminosity of the LHC. At the Level-1 trigger the undertaken improvements resulted in more pile-up robust selection efficiencies and event ra...

  4. 46 CFR 167.65-25 - Steering gear tests.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Steering gear tests. 167.65-25 Section 167.65-25... SHIPS Special Operating Requirements § 167.65-25 Steering gear tests. On all nautical school ships making voyages of more than 48 hours' duration, the entire steering gear, the whistle, the means of...

  5. Optically triggered high voltage switch network and method for switching a high voltage

    Science.gov (United States)

    El-Sharkawi, Mohamed A.; Andexler, George; Silberkleit, Lee I.

    1993-01-19

    An optically triggered solid state switch and method for switching a high voltage electrical current. A plurality of solid state switches (350) are connected in series for controlling electrical current flow between a compensation capacitor (112) and ground in a reactive power compensator (50, 50') that monitors the voltage and current flowing through each of three distribution lines (52a, 52b and 52c), which are supplying three-phase power to one or more inductive loads. An optical transmitter (100) controlled by the reactive power compensation system produces light pulses that are conveyed over optical fibers (102) to a switch driver (110') that includes a plurality of series connected optical triger circuits (288). Each of the optical trigger circuits controls a pair of the solid state switches and includes a plurality of series connected resistors (294, 326, 330, and 334) that equalize or balance the potential across the plurality of trigger circuits. The trigger circuits are connected to one of the distribution lines through a trigger capacitor (340). In each switch driver, the light signals activate a phototransistor (300) so that an electrical current flows from one of the energy reservoir capacitors through a pulse transformer (306) in the trigger circuit, producing gate signals that turn on the pair of serially connected solid state switches (350).

  6. Optically triggered high voltage switch network and method for switching a high voltage

    Energy Technology Data Exchange (ETDEWEB)

    El-Sharkawi, Mohamed A. (Renton, WA); Andexler, George (Everett, WA); Silberkleit, Lee I. (Mountlake Terrace, WA)

    1993-01-19

    An optically triggered solid state switch and method for switching a high voltage electrical current. A plurality of solid state switches (350) are connected in series for controlling electrical current flow between a compensation capacitor (112) and ground in a reactive power compensator (50, 50') that monitors the voltage and current flowing through each of three distribution lines (52a, 52b and 52c), which are supplying three-phase power to one or more inductive loads. An optical transmitter (100) controlled by the reactive power compensation system produces light pulses that are conveyed over optical fibers (102) to a switch driver (110') that includes a plurality of series connected optical triger circuits (288). Each of the optical trigger circuits controls a pair of the solid state switches and includes a plurality of series connected resistors (294, 326, 330, and 334) that equalize or balance the potential across the plurality of trigger circuits. The trigger circuits are connected to one of the distribution lines through a trigger capacitor (340). In each switch driver, the light signals activate a phototransistor (300) so that an electrical current flows from one of the energy reservoir capacitors through a pulse transformer (306) in the trigger circuit, producing gate signals that turn on the pair of serially connected solid state switches (350).

  7. A Fast Hardware Tracker for the ATLAS Trigger System

    CERN Document Server

    Neubauer, M; The ATLAS collaboration

    2009-01-01

    As the LHC luminosity is ramped up to the design level of 10^{34} cm^{-2} s^{-1} and beyond, the high rates, multiplicities, and energies of particles seen by the detectors will pose a unique challenge. Only a tiny fraction of the produced collisions can be stored on tape and immense real-time data reduction is needed. An effective trigger system must maintain high trigger efficiencies for the physics we are most interested in, and at the same time suppress the enormous QCD backgrounds. This requires massive computing power to minimize the online execution time of complex algorithms. A multi-level trigger is an effective solution for an otherwise impossible problem. The Fast Tracker (FTK) is a proposed upgrade to the ATLAS trigger system that will operate at full Level-1 output rates and provide high quality tracks reconstructed over the entire detector by the start of processing in Level-2. FTK solves the combinatorial challenge inherent to tracking by exploiting the massive parallelism of Associative Memori...

  8. Track Finding for the Level-1 Trigger of the CMS Experiment

    CERN Document Server

    James, Thomas Owen

    2017-01-01

    A new tracking system is under development for the CMS experiment at the High Luminosity LHC (HL-LHC), located at CERN. It includes a silicon tracker that will correlate clusters in two closely spaced sensor layers, for the rejection of hits from low transverse momentum tracks. This will allow tracker data to be read out to the Level-1 trigger at 40\\,MHz. The Level-1 track-finder must be able to identify tracks with transverse momentum above 2--3\\,$\\mathrm{GeV}/c$ within latency constraints. A concept for an FPGA-based track finder using a fully time-multiplexed architecture is presented, where track candidates are identified using a Hough Transform, and then refined with a Kalman Filter. Both steps are fully implemented in FPGA firmware. A hardware system built from MP7 MicroTCA processing cards has been assembled, which demonstrates a realistic slice of the track finder in order to help gauge the performance and requirements for a final system.

  9. Quantum Steering Beyond Instrumental Causal Networks

    Science.gov (United States)

    Nery, R. V.; Taddei, M. M.; Chaves, R.; Aolita, L.

    2018-04-01

    We theoretically predict, and experimentally verify with entangled photons, that outcome communication is not enough for hidden-state models to reproduce quantum steering. Hidden-state models with outcome communication correspond, in turn, to the well-known instrumental processes of causal inference but in the one-sided device-independent scenario of one black-box measurement device and one well-characterized quantum apparatus. We introduce one-sided device-independent instrumental inequalities to test against these models, with the appealing feature of detecting entanglement even when communication of the black box's measurement outcome is allowed. We find that, remarkably, these inequalities can also be violated solely with steering, i.e., without outcome communication. In fact, an efficiently computable formal quantifier—the robustness of noninstrumentality—naturally arises, and we prove that steering alone is enough to maximize it. Our findings imply that quantum theory admits a stronger form of steering than known until now, with fundamental as well as practical potential implications.

  10. The design and performance of the ATLAS Inner Detector trigger in high pileup collisions at 13 TeV at the Large Hadron Collider

    CERN Document Server

    Grandi, Mario; The ATLAS collaboration

    2018-01-01

    The design and performance of the ATLAS Inner Detector (ID) trigger algorithms running online on the High Level Trigger (HLT) processor farm for 13 TeV LHC collision data with high pileup are discussed. The HLT ID tracking is a vital component in all physics signatures in the ATLAS Trigger for the precise selection of the rare or interesting events necessary for physics analysis without overwhelming the offline data storage in terms of both size and rate. To cope with the high interaction rates expected in the 13 TeV LHC collisions the ID trigger was redesigned during the 2013-15 long shutdown. The performance of the ID Trigger in both the 2016 and 2017 data from 13 TeV LHC collisions has been excellent and exceeded expectations, even at the very high interaction multiplicities observed at the end of data taking in 2017. The detailed efficiencies and resolutions of the trigger in a wide range of physics signatures are presented for the Run 2 data, illustrating the superb performance of the ID trigger algorith...

  11. The trigger supervisor: Managing triggering conditions in a high energy physics experiment

    International Nuclear Information System (INIS)

    Wadsworth, B.; Lanza, R.; LeVine, M.J.; Scheetz, R.A.; Videbaek, F.

    1987-01-01

    A trigger supervisor, implemented in VME-bus hardware, is described, which enables the host computer to dynamically control and monitor the trigger configuration for acquiring data from multiple detector partitions in a complex experiment

  12. Performance of ATLAS RPC Level-1 muon trigger during the 2015 data taking

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00001854; The ATLAS collaboration

    2016-01-01

    RPCs are used in the ATLAS experiment at the LHC for muon trigger in the barrel region, which corresponds to |eta|<1.05. The status of the barrel trigger system during the 2015 data taking is presented, including measurements of the RPC detector efficiencies and of the trigger performance. The RPC system has been active in more than 99.9% of the ATLAS data taking, showing very good reliability. The RPC detector efficiencies were close to Run-1 and to design value. The trigger efficiency for the high-pT thresholds used in single-muon triggers has been approximately 4% lower than in Run 1, mostly because of chambers disconnected from HV due to gas leaks. Two minor upgrades have been performed in preparation of Run 2 by adding the so-called feet and elevator chambers to increase the system acceptance. The feet chambers have been commissioned during 2015 and are included in the trigger since the last 2015 runs. Part of the elevator chambers are still in commissioning phase and will probably need a replacement ...

  13. CMS Triggers for the LHC Startup

    CERN Document Server

    Nhan Nguyen, Chi

    2009-01-01

    The LHC will collide proton beams at a bunch-crossing rate of 40 MHz. At the design luminosity of $10^{34}$ cm$^{-2}$s$^{-1}$ each crossing results in an average of about 20 inelastic pp events. The CMS trigger system is designed to reduce the input rate to about 100 Hz. This task is carried out in two steps, namely the Level-1 (L1) and the High-Level trigger (HLT). The L1 trigger is built of customized fast electronics and is designed to reduce the rate to 100 kHz. The HLT is implemented in a filter farm running on hundreds of CPUs and is designed to reduce the rate by another factor of ~1000. It combines the traditional L2 and L3 trigger components in a novel way and allows the coherent tuning of the HLT algorithms to accommodate multiple physics channels. We will discuss the strategies for optimizing triggers covering the experiment`s early physics program.

  14. The Trigger for Early Running

    CERN Document Server

    The ATLAS Collaboration

    2009-01-01

    The ATLAS trigger and data acquisition system is based on three levels of event selection designed to capture the physics of interest with high efficiency from an initial bunch crossing rate of 40 MHz. The selections in the three trigger levels must provide sufficient rejection to reduce the rate to 200 Hz, compatible with offline computing power and storage capacity. The LHC is expected to begin its operation with a peak luminosity of 10^31 with a relatively small number of bunches, but quickly ramp up to higher luminosities by increasing the number of bunches, and thus the overall interaction rate. Decisions must be taken every 25 ns during normal LHC operations at the design luminosity of 10^34, where the average bunch crossing will contain more than 20 interactions. Hence, trigger selections must be deployed that can adapt to the changing beam conditions while preserving the interesting physics and satisfying varying detector requirements. In this paper, we provide a menu of trigger selections that can be...

  15. Assessment of a Boat Fractured Steering Wheel

    Directory of Open Access Journals (Sweden)

    Vukelic Goran

    2016-09-01

    Full Text Available During regular use of the steering wheel mounted on a boat, two cracks emanating from a fastener hole were noticed which, consequently, caused final fracture of the wheel. To determine the behavior of a boat steering wheel with cracks present, assessment of a fractured wheel was performed. Torque moments of the fasteners were measured prior to removing the steering wheel from the boat. Visual and dye penetrant inspection followed along with the material detection. Besides using experimental procedures, assessment of the fractured wheel was performed using finite element analysis, i.e. stress intensity factor values were numerically determined. Variation of stress intensity factor with crack length is presented. Possible causes of crack occurrence are given and they include excessive values of fastener torque moments coupled with fretting between fastener and fastener hole that was poorly machined. Results obtained by this assessment can be taken for predicting fracture behavior of a cracked steering wheel and as a reference in the design, mounting and exploitation process of steering wheels improving that way their safety in transportation environment.

  16. The design and performance of the ATLAS Inner Detector trigger in high pileup collisions at 13 TeV at the Large Hadron Collider

    CERN Document Server

    Sotiropoulou, Calliope Louisa; The ATLAS collaboration

    2017-01-01

    The design and performance of the ATLAS Inner Detector (ID) trigger algorithms running online on the high level trigger (HLT) processor farm for 13 TeV LHC collision data with high pileup are discussed. The HLT ID tracking is a vital component in all physics signatures in the ATLAS Trigger for the precise selection of the rare or interesting events necessary for physics analysis without overwhelming the offine data storage in terms of both size and rate. To cope with the high expected interaction rates in the 13 TeV LHC collisions the ID trigger was redesigned during the 2013-15 long shutdown. The performance of the ID Trigger in the 2016 data from 13 TeV LHC collisions has been excellent and exceeded expectations as the interaction multiplicity increased throughout the year. The detailed efficiencies and resolutions of the trigger in a wide range of physics signatures are presented, to demonstrate how the trigger responded well under the extreme pileup conditions. The performance of the ID Trigger algorithms...

  17. The design and performance of the ATLAS Inner Detector trigger in high pileup collisions at 13 TeV at the Large Hadron Collider

    CERN Document Server

    Kilby, Callum; The ATLAS collaboration

    2017-01-01

    The design and performance of the ATLAS Inner Detector (ID) trigger algorithms running online on the high level trigger (HLT) processor farm for 13 TeV LHC collision data with high pileup are discussed. The HLT ID tracking is a vital component in all physics signatures in the ATLAS Trigger for the precise selection of the rare or interesting events necessary for physics analysis without overwhelming the offline data storage in terms of both size and rate. To cope with the high expected interaction rates in the 13 TeV LHC collisions the ID trigger was redesigned during the 2013-15 long shutdown. The performance of the ID Trigger in the 2016 data from 13 TeV LHC collisions has been excellent and exceeded expectations as the interaction multiplicity increased throughout the year. The detailed efficiencies and resolutions of the trigger in a wide range of physics signatures are presented, to demonstrate how the trigger responded well under the extreme pileup conditions. The performance of the ID Trigger algorithm...

  18. Loophole-free Einstein-Podolsky-Rosen experiment via quantum steering

    International Nuclear Information System (INIS)

    Wittmann, Bernhard; Ramelow, Sven; Zeilinger, Anton; Steinlechner, Fabian; Langford, Nathan K; Ursin, Rupert; Brunner, Nicolas; Wiseman, Howard M

    2012-01-01

    Tests of the predictions of quantum mechanics for entangled systems have provided increasing evidence against local realistic theories. However, there remains the crucial challenge of simultaneously closing all major loopholes—the locality, freedom-of-choice and detection loopholes—in a single experiment. An important sub-class of local realistic theories can be tested with the concept of ‘steering’. The term ‘steering’ was introduced by Schrödinger in 1935 for the fact that entanglement would seem to allow an experimenter to remotely steer the state of a distant system as in the Einstein-Podolsky-Rosen (EPR) argument. Einstein called this ‘spooky action at a distance’. EPR-steering has recently been rigorously formulated as a quantum information task opening it up to new experimental tests. Here, we present the first loophole-free demonstration of EPR-steering by violating three-setting quadratic steering inequality, tested with polarization-entangled photons shared between two distant laboratories. Our experiment demonstrates this effect while simultaneously closing all loopholes: both the locality loophole and a specific form of the freedom-of-choice loophole are closed by having a large separation of the parties and using fast quantum random number generators, and the fair-sampling loophole is closed by having high overall detection efficiency. Thereby, we exclude—for the first time loophole-free—an important class of local realistic theories considered by EPR. Besides its foundational importance, loophole-free steering also allows the distribution of quantum entanglement secure event in the presence of an untrusted party. (paper)

  19. Loophole-free Einstein-Podolsky-Rosen experiment via quantum steering

    Science.gov (United States)

    Wittmann, Bernhard; Ramelow, Sven; Steinlechner, Fabian; Langford, Nathan K.; Brunner, Nicolas; Wiseman, Howard M.; Ursin, Rupert; Zeilinger, Anton

    2012-05-01

    Tests of the predictions of quantum mechanics for entangled systems have provided increasing evidence against local realistic theories. However, there remains the crucial challenge of simultaneously closing all major loopholes—the locality, freedom-of-choice and detection loopholes—in a single experiment. An important sub-class of local realistic theories can be tested with the concept of ‘steering’. The term ‘steering’ was introduced by Schrödinger in 1935 for the fact that entanglement would seem to allow an experimenter to remotely steer the state of a distant system as in the Einstein-Podolsky-Rosen (EPR) argument. Einstein called this ‘spooky action at a distance’. EPR-steering has recently been rigorously formulated as a quantum information task opening it up to new experimental tests. Here, we present the first loophole-free demonstration of EPR-steering by violating three-setting quadratic steering inequality, tested with polarization-entangled photons shared between two distant laboratories. Our experiment demonstrates this effect while simultaneously closing all loopholes: both the locality loophole and a specific form of the freedom-of-choice loophole are closed by having a large separation of the parties and using fast quantum random number generators, and the fair-sampling loophole is closed by having high overall detection efficiency. Thereby, we exclude—for the first time loophole-free—an important class of local realistic theories considered by EPR. Besides its foundational importance, loophole-free steering also allows the distribution of quantum entanglement secure event in the presence of an untrusted party.

  20. The LHCb trigger

    International Nuclear Information System (INIS)

    Korolko, I.

    1998-01-01

    This paper describes progress in the development of the LHCb trigger system since the letter of intent. The trigger philosophy has significantly changed, resulting in an increase of trigger efficiency for signal B events. It is proposed to implement a level-1 vertex topology trigger in specialised hardware. (orig.)

  1. Steering Angle Function Algorithm of Morphing of Residential Area

    Directory of Open Access Journals (Sweden)

    XIE Tian

    2015-07-01

    Full Text Available A residential area feature morphing method based on steering angle function is presented. To residential area with the same representation under two different scales,transforming the representation of the residential area polygon from vector coordinates to steering angle function,then using the steering angle function to match,and finding out the similarity and the differences between the residential areas under different scale to get the steering angle function of the the residential areas under any middle scale,the final,transforming the middle scale steering angle function to vector coordinates form,and get the middle shape interpolation of the the residential area polygon.Experimental results show:the residential area morphing method by using steering angle function presented can realize the continuous multi-scale representation under the premise of keeping in shape for the residential area with the rectangular boundary features.

  2. The ATLAS Trigger System: Ready for Run II

    CERN Document Server

    Czodrowski, Patrick; The ATLAS collaboration

    2015-01-01

    The ATLAS trigger system has been used successfully for data collection in the 2009-2013 Run 1 operation cycle of the CERN Large Hadron Collider (LHC) at center-of-mass energies of up to 8 TeV. With the restart of the LHC for the new Run 2 data-taking period at 13 TeV, the trigger rates are expected to rise by approximately a factor of 5. The trigger system consists of a hardware-based first level (L1) and a software-based high-level trigger (HLT) that reduces the event rate from the design bunch-crossing rate of 40 MHz to an average recording rate of ~ 1kHz. This presentation will give an overview of the upgrades to the ATLAS trigger system that have been implemented during the LHC shutdown period in order to deal with the increased trigger rates while efficiently selecting the physics processes of interest. These upgrades include changes to the L1 calorimeter trigger, the introduction of a new L1 topological trigger module, improvements in the L1 muon system, and the merging of the previously two-level HLT ...

  3. The ATLAS Trigger System: Ready for Run-2

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00211007; The ATLAS collaboration

    2015-01-01

    The ATLAS trigger has been successfully collecting collision data during the first run of the LHC between 2009-2013 at a centre-of-mass energy between 900 GeV and 8 TeV. The trigger system consists of a hardware Level-1 (L1) and a software based high-level trigger (HLT) that reduces the event rate from the design bunch-crossing rate of 40 MHz to an average recording rate of a few hundred Hz. During the next data-taking period starting in 2015 (Run-2) the LHC will operate at a centre-of-mass energy of about 13 TeV resulting in roughly five times higher trigger rates. We will briefly review the ATLAS trigger system upgrades that were implemented during the shutdown, allowing us to cope with the increased trigger rates while maintaining or even improving our efficiency to select relevant physics processes. This includes changes to the L1 calorimeter and muon trigger system, the introduction of a new L1 topological trigger module and the merging of the previously two-level HLT system into a single event filter fa...

  4. Graphical processors for HEP trigger systems

    Energy Technology Data Exchange (ETDEWEB)

    Ammendola, R. [INFN Sezione di Roma Tor Vergata, Via della Ricerca Scientifica, 1, 00133 Roma (Italy); Biagioni, A. [INFN Sezione di Roma, P.le Aldo Moro, 2, 00185 Roma (Italy); Chiozzi, S.; Cotta Ramusino, A. [INFN Sezione di Ferrara, Via Saragat, 1, 44122 Ferrara (Italy); Di Lorenzo, S. [INFN Sezione di Pisa, L. Bruno Pontecorvo, 3, 56127 Pisa (Italy); Università di Pisa, Lungarno Pacinotti 43, 56126 Pisa (Italy); Fantechi, R. [INFN Sezione di Pisa, L. Bruno Pontecorvo, 3, 56127 Pisa (Italy); Fiorini, M. [INFN Sezione di Ferrara, Via Saragat, 1, 44122 Ferrara (Italy); Università di Ferrara, Via Ludovico Ariosto 35, 44121 Ferrara (Italy); Frezza, O. [INFN Sezione di Roma, P.le Aldo Moro, 2, 00185 Roma (Italy); Lamanna, G. [INFN, Laboratori Nazionali di Frascati (Italy); Lo Cicero, F.; Lonardo, A.; Martinelli, M.; Neri, I.; Paolucci, P.S.; Pastorelli, E. [INFN Sezione di Roma, P.le Aldo Moro, 2, 00185 Roma (Italy); Piandani, R. [INFN Sezione di Pisa, L. Bruno Pontecorvo, 3, 56127 Pisa (Italy); Pontisso, L., E-mail: luca.pontisso@cern.ch [INFN Sezione di Pisa, L. Bruno Pontecorvo, 3, 56127 Pisa (Italy); Rossetti, D. [NVIDIA Corp., Santa Clara, CA (United States); Simula, F. [INFN Sezione di Roma, P.le Aldo Moro, 2, 00185 Roma (Italy); Sozzi, M. [INFN Sezione di Pisa, L. Bruno Pontecorvo, 3, 56127 Pisa (Italy); Università di Pisa, Lungarno Pacinotti 43, 56126 Pisa (Italy); and others

    2017-02-11

    General-purpose computing on GPUs is emerging as a new paradigm in several fields of science, although so far applications have been tailored to employ GPUs as accelerators in offline computations. With the steady decrease of GPU latencies and the increase in link and memory throughputs, time is ripe for real-time applications using GPUs in high-energy physics data acquisition and trigger systems. We will discuss the use of online parallel computing on GPUs for synchronous low level trigger systems, focusing on tests performed on the trigger of the CERN NA62 experiment. Latencies of all components need analysing, networking being the most critical. To keep it under control, we envisioned NaNet, an FPGA-based PCIe Network Interface Card (NIC) enabling GPUDirect connection. Moreover, we discuss how specific trigger algorithms can be parallelised and thus benefit from a GPU implementation, in terms of increased execution speed. Such improvements are particularly relevant for the foreseen LHC luminosity upgrade where highly selective algorithms will be crucial to maintain sustainable trigger rates with very high pileup.

  5. Graphical processors for HEP trigger systems

    International Nuclear Information System (INIS)

    Ammendola, R.; Biagioni, A.; Chiozzi, S.; Cotta Ramusino, A.; Di Lorenzo, S.; Fantechi, R.; Fiorini, M.; Frezza, O.; Lamanna, G.; Lo Cicero, F.; Lonardo, A.; Martinelli, M.; Neri, I.; Paolucci, P.S.; Pastorelli, E.; Piandani, R.; Pontisso, L.; Rossetti, D.; Simula, F.; Sozzi, M.

    2017-01-01

    General-purpose computing on GPUs is emerging as a new paradigm in several fields of science, although so far applications have been tailored to employ GPUs as accelerators in offline computations. With the steady decrease of GPU latencies and the increase in link and memory throughputs, time is ripe for real-time applications using GPUs in high-energy physics data acquisition and trigger systems. We will discuss the use of online parallel computing on GPUs for synchronous low level trigger systems, focusing on tests performed on the trigger of the CERN NA62 experiment. Latencies of all components need analysing, networking being the most critical. To keep it under control, we envisioned NaNet, an FPGA-based PCIe Network Interface Card (NIC) enabling GPUDirect connection. Moreover, we discuss how specific trigger algorithms can be parallelised and thus benefit from a GPU implementation, in terms of increased execution speed. Such improvements are particularly relevant for the foreseen LHC luminosity upgrade where highly selective algorithms will be crucial to maintain sustainable trigger rates with very high pileup.

  6. Mark-II Data Acquisition and Trigger system

    International Nuclear Information System (INIS)

    Breidenbach, M.

    1984-06-01

    The Mark-II Data Acquisition and Trigger system requirements and general solution are described. The solution takes advantage of the synchronous crossing times and low event rates of an electron positron collider to permit a very highly multiplexed analog scheme to be effective. The system depends on a two level trigger to operate with acceptable dead time. The trigger, multiplexing, data reduction, calibration, and CAMAC systems are described

  7. Feed efficiency differences and reranking in beef steers fed grower and finisher diets.

    Science.gov (United States)

    Durunna, O N; Mujibi, F D N; Goonewardene, L; Okine, E K; Basarab, J A; Wang, Z; Moore, S S

    2011-01-01

    This 3-yr study used 490 steers to determine whether feedlot steers changed their feed efficiency (FE) ranking when fed a grower diet, then a finisher diet. The steers were crossbreds and were between 5 to 7 mo of age. There were 2 feeding periods each year. Within each year, approximately 90 steers had their diet switched from a grower to a finisher diet (feed-swap group), whereas another 90 steers were fed either the grower (grower-fed group) or the finisher (finisher-fed group) diet throughout the feeding trial. Each feeding test lasted for a minimum of 10 wk, and all steers were fed ad libitum. Individual animal feed intakes were collected using the GrowSafe feeding system, and BW were measured every 2 wk. Residual feed intake (RFI), G:F, and Kleiber ratio (KR) were computed at the end of each feeding period. For each measure of efficiency, animals were classified as low, medium, or high based on 0.5 SD from the mean. The majority of steers did not maintain the previous efficiency class in the second period. Approximately 58, 51, and 51% of steers in the feed-swap group, finisher-fed group, and the grower-fed group, respectively, changed their RFI measure by 0.5 SD. A low rank correlation occurred in all test groups but was less in the feed-swap group. Spearman rank correlations between the 2 feeding periods in the feed-swap group were 0.33, 0.20, and 0.31 for RFI, G:F, and KR, respectively. Classifications based on G:F and KR showed that a greater number of steers (P 0.05) between the proportions of individuals that changed or maintained their FE class. In the groups without a feed-swap, there was no difference (P > 0.05) in the proportion of steers that changed or maintained the same FE class for all FE measures. Our results suggest that diet type and feeding period affect the FE ranking in beef steers. A feedlot diet is ideal for evaluating the FE potential of steers for feedlot profitability; however, we suggest that tests involving less dense diets should

  8. Performance of the ATLAS muon trigger in run 2

    CERN Document Server

    Morgenstern, Marcus; The ATLAS collaboration

    2017-01-01

    Triggering on muons is a crucial ingredient to fulfill the physics program of the ATLAS experiments. The ATLAS trigger system deploys a two stage strategy, a hardware-based Level-1 trigger and a software-based high-level trigger to select events of interest at a suitable recording rate. Both stages underwent upgrades to cope with the challenges in run-II data-taking at centre-of-mass energies of 13 TeV and instantaneous luminosities up to 2x10$^{34} cm^{-2}s^{-1}$. The design of the ATLAS muon triggers and their performance in proton-proton collisions at 13 TeV are presented.

  9. Performance and carcass characteristics of dairy steers fed diets containing crude glycerin

    Directory of Open Access Journals (Sweden)

    Raylon Pereira Maciel

    Full Text Available ABSTRACT The objective of the study was to assess the effects of including 0, 79.8, 159.8, and 240.0 g kg-1 crude glycerin in the total diet dry matter on the 84 days feedlot performance, nutrient digestibility, blood parameters, and carcass characteristics of crossbred dairy steers. Experimental diets were composed of 98.5 g kg-1 of sorghum silage and 901.5 g kg-1 of concentrate. Twenty-four crossbred dairy steers (337.3±39.8 kg body weight and 15 months of age were distributed in a completely randomized design with four treatments and six replicates. The intake and digestibility of the dry matter and nutrients were not altered by including crude glycerin in the diet. Crude glycerol levels did not affect the final weight (430.2 kg, daily weight gain (1.38 kg day-1, total weight gain (97.2 kg, hot carcass weight (218.9 kg, cold carcass weight (215.2 kg, hot carcass yield (0.50 kg 100 kg-1 BW, longissimus dorsi area (62.86 cm², subcutaneous fat thickness (4.05 mm, and carcass physical composition. Concentrations of serum glucose, triglycerides, total cholesterol, high-density lipoprotein cholesterol, and creatinine were not altered by including crude glycerin in the diet. Crude glycerin can be added to high concentrate diet up to 240 g kg-1 without changing the animal performance, apparent digestibility of nutrients, the main carcass characteristics, and blood parameters of finishing crossbred dairy steers.

  10. Design of X-Y steering magnet for extraction beamline of K-500 superconducting cyclotron

    International Nuclear Information System (INIS)

    Naser, Md. Zamal A.; Paul, S.; Bhunia, U.; Pradhan, J.; Dey, M.K.; Nandi, C.; Mallik, C.; Bhandari, R.K.

    2005-01-01

    The K-500 Superconducting Cyclotron is in the advanced stage of commissioning at VEC Centre, Kolkata. This accelerator is designed to accelerate up to maximum 80 MeV/nucleon energy. A X-Y steering magnet is essential to guide this high energy beam into the external high energy beam line. This paper describes the designing and the other related necessary aspects of such a steering magnet. (author)

  11. Upgrade of the CMS Global Muon Trigger

    CERN Document Server

    Jeitler, Manfred; Rabady, Dinyar; Sakulin, Hannes; Stahl, Achim

    2015-01-01

    The increase in center-of-mass energy and luminosity for Run-II of the Large Hadron Collider poses new challenges for the trigger systems of the experiments. To keep triggering with a similar performance as in Run-I, the CMS muon trigger is currently being upgraded. The new algorithms will provide higher resolution, especially for the muon transverse momentum and will make use of isolation criteria that combine calorimeter with muon information already in the level-1 trigger. The demands of the new algorithms can only be met by upgrading the level-1 trigger system to new powerful FPGAs with high bandwidth I/O. The processing boards will be based on the new μTCA standard. We report on the planned algorithms for the upgraded Global Muon Trigger (μGMT) which sorts and removes duplicates from boundaries of the muon trigger sub-systems. Furthermore, it determines how isolated the muon candidates are based on calorimetric energy deposits. The μGMT will be implemented using a processing board that features a larg...

  12. Upgrade of the CMS Global Muon Trigger

    CERN Document Server

    Lingemann, Joschka; Sakulin, Hannes; Jeitler, Manfred; Stahl, Achim

    2015-01-01

    The increase in center-of-mass energy and luminosity for Run 2 of the Large Hadron Collider pose new challenges for the trigger systems of the experiments. To keep triggering with a similar performance as in Run 1, the CMS muon trigger is currently being upgraded. The new algorithms will provide higher resolution, especially for the muon transverse momentum and will make use of isolation criteria that combine calorimeter with muon information already in the level-1 trigger. The demands of the new algorithms can only be met by upgrading the level-1 trigger system to new powerful FPGAs with high bandwidth I/O. The processing boards will be based on the new microTCA standard. We report on the planned algorithms for the upgraded Global Muon Trigger (GMT) which combines information from the muon trigger sub-systems and assigns the isolation variable. The upgraded GMT will be implemented using a Master Processor 7 card, built by Imperial College, that features a large Xilinx Virtex 7 FPGA. Up to 72 optical links at...

  13. Study on current limiting characteristics of SFCL with two trigger current levels

    International Nuclear Information System (INIS)

    Lim, S.H.

    2010-01-01

    In this paper, the superconducting fault current limiter (SFCL) with two trigger current levels was suggested and its effectiveness through the analysis on the current limiting characteristics was described. The proposed SFCL, which consists of the triggering and the limiting components, can limit the fault current by generating the limiting impedance through two steps according to the amplitude of the initial fault current. In case that the fault happens, the lower initial fault current causes the only superconducting element of the triggering component to be quenched. On the other hand, the higher initial fault current makes both the superconducting elements comprising the triggering and the limiting components of the SFCL to be quenched, which contributes to the higher impedance of the SFCL. Therefore, the effective fault current limiting operation of the SFCL can be performed by generating the SFCL's impedance in proportion to the amplitude of the initial fault current. To confirm the current limiting operation of the proposed SFCL, the short-circuit tests of the SFCL according to the fault angle were carried out and its effective fault current limiting operations could be discussed.

  14. Novel Microstrip Patch Antennas with Frequency Agility, Polarization Reconfigurability, Dual Null Steering Capability and Phased Array Antenna with Beam Steering Performance

    Science.gov (United States)

    Babakhani, Behrouz

    Nowadays the wireless communication technology is playing an important role in our daily life. People use wireless devices not only as a conventional communication device but also as tracking and navigation tool, web browsing tool, data storage and transfer tool and so for many other reasons. Based on the user demand, wireless communication engineers try to accommodate as many as possible wireless systems and applications in a single device and therefore, creates a multifunctional device. Antenna, as an integral part of any wireless communication systems, should also be evolved and adjusted with development of wireless transceiver systems. Therefore multifunctional antennas have been introduced to support and enhance the functionality on modern wireless systems. The main focus and contribution of this thesis is design of novel multifunctional microstrip antennas with frequency agility, polarization reconfigurablity, dual null steering capability and phased array antenna with beam steering performance. In this thesis, first, a wide bandwidth(1.10 GHz to 1.60 GHz) right-handed circularly polarized (RHCP) directional antenna for global positioning system (GPS) satellite receive application has been introduced which covers all the GPS bands starting from L1 to L5. This design consists of two crossed bow-tie dipole antennas fed with sequentially phase rotated feed network backed with an artificial high impedance surface (HIS) structure to generate high gain directional radiation patterns. This design shows good CP gain and axial ratio (AR) and wide beamwidth performance. Although this design has good radiation quality, the size and the weight can be reduced as future study. In the second design, a frequency agile antenna was developed which also covers the L-band (L1 to L5) satellite communication frequencies. This frequency agile antenna was designed and realized by new implementation of varactor diodes in the geometry of a circular patch antenna. Beside wide frequency

  15. TRIGGER

    CERN Multimedia

    W. Smith

    Level-1 Trigger Hardware and Software The trigger system has been constantly in use in cosmic and commissioning data taking periods. During CRAFT running it delivered 300 million muon and calorimeter triggers to CMS. It has performed stably and reliably. During the abort gaps it has also provided laser and other calibration triggers. Timing issues, namely synchronization and latency issues, have been solved. About half of the Trigger Concentrator Cards for the ECAL Endcap (TCC-EE) are installed, and the firmware is being worked on. The production of the other half has started. The HCAL Trigger and Readout (HTR) card firmware has been updated, and new features such as fast parallel zero-suppression have been included. Repairs of drift tube (DT) trigger mini-crates, optical links and receivers of sector collectors are under way and have been completed on YB0. New firmware for the optical receivers of the theta links to the drift tube track finder is being installed. In parallel, tests with new eta track finde...

  16. The Phase-1 Upgrade of the ATLAS Level-1 Endcap Muon Trigger

    CERN Document Server

    Akatsuka, Shunichi; The ATLAS collaboration

    2018-01-01

    Proceedings for RealTime 2018, 9th -15th June 2018 @ Williamsburg, Virginia, USA, on Phase-1 Upgrade of the Level-1 Endcap Muon trigger. The deadline for this document to the conference side is June 24th, 2018.

  17. The Phase-1 Upgrade for the Level-1 Muon Barrel Trigger of the ATLAS Experiment at LHC

    CERN Document Server

    Izzo, Vincenzo; The ATLAS collaboration

    2018-01-01

    The Level-1 Barrel Trigger of the ATLAS Experiment is based on Resistive Plate Chambers (RPC) detectors. The on-detector trigger electronics identifies muons with specific values of transverse momentum (pT), by using coincidences between different layers of detectors. Trigger data is then transferred from on-detector to the off-detector trigger electronics boards. Data is processed by a complex system, which combines trigger data from the Barrel and the End-cap regions, and provides the combined muon candidate to the Central Trigger Processor (CTP). The system has been performing very well for almost a decade. However, in order to cope with continuously increasing LHC luminosity and more demanding requirements on trigger efficiency and performance, various upgrades for the full trigger system were already deployed, and others are foreseen in the next years. Most of the trigger upgrades are based on state-of-the-art technologies and allow designing more complex trigger menus, increasing processing power and da...

  18. 46 CFR 196.37-33 - Instructions for changing steering gear.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Instructions for changing steering gear. 196.37-33... steering gear. (a) Instructions in at least 1/2 inch letters and figures shall be posted in the steering engineroom, relating in order, the different steps to be taken in changing to the emergency steering gear...

  19. 46 CFR 58.25-10 - Main and auxiliary steering gear.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 2 2010-10-01 2010-10-01 false Main and auxiliary steering gear. 58.25-10 Section 58.25... AUXILIARY MACHINERY AND RELATED SYSTEMS Steering Gear § 58.25-10 Main and auxiliary steering gear. (a) Power-operated main and auxiliary steering gear must be separate systems that are independent throughout their...

  20. Steering Your Mysterious Mind

    DEFF Research Database (Denmark)

    Prasad, Ramjee

    Steering the Mysterious Mind, describes a unique, novel concept for a way to gain control of your mind. The five basic elements of human life, that is; Creativity, Content­ment, Confidence, Calmness, and Concentration (C5) have been introduced in my previous book Unlock Your Personalization. Posi....... Compare it with going to the gym where you work on the physical body. In the same way as with arms and legs, the mind is a mus­cle which you exercise through C5 practice. Steering the mind on your personal goal will help you to be creative....

  1. The ATLAS Tau Trigger

    CERN Document Server

    Rados, PK; The ATLAS collaboration

    2014-01-01

    Physics processes involving tau leptons play a crucial role in understanding particle physics at the high energy frontier. The ability to efficiently trigger on events containing hadronic tau decays is therefore of particular importance to the ATLAS experiment. During the 2012 run, the Large Hadronic Collder (LHC) reached instantaneous luminosities of nearly $10^{34} cm^{-2}s^{-1}$ with bunch crossings occurring every $50 ns$. This resulted in a huge event rate and a high probability of overlapping interactions per bunch crossing (pile-up). With this in mind it was necessary to design an ATLAS tau trigger system that could reduce the event rate to a manageable level, while efficiently extracting the most interesting physics events in a pile-up robust manner. In this poster the ATLAS tau trigger is described, its performance during 2012 is presented, and the outlook for the LHC Run II is briefly summarized.

  2. TRIGGER

    CERN Multimedia

    Wesley Smith

    2011-01-01

    Level-1 Trigger Hardware and Software New Forward Scintillating Counters (FSC) for rapidity gap measurements have been installed and integrated into the Trigger recently. For the Global Muon Trigger, tuning of quality criteria has led to improvements in muon trigger efficiencies. Several subsystems have started campaigns to increase spares by recovering boards or producing new ones. The barrel muon sector collector test system has been reactivated, new η track finder boards are in production, and φ track finder boards are under revision. In the CSC track finder, an η asymmetry problem has been corrected. New pT look-up tables have also improved efficiency. RPC patterns were changed from four out of six coincident layers to three out of six in the barrel, which led to a significant increase in efficiency. A new PAC firmware to trigger on heavy stable charged particles allows looking for chamber hit coincidences in two consecutive bunch-crossings. The redesign of the L1 Trigger Emulator...

  3. Working paper on public steering of privately owned sports facilities

    DEFF Research Database (Denmark)

    Iversen, Evald Bundgård

    This short paper discusses how municipalities can steer privately owned sports facilities. Firstly I analyse why steering of privately owned facilities is an interesting subject. Secondly I discuss what the advantages and drawbacks of using different approaches for steering sports facilities are........ Finally I discuss the methodological challenges of measuring activities in sports facilities – and take a closer look at the advantages and drawbacks of using manual and thermal techniques for registering activity.......This short paper discusses how municipalities can steer privately owned sports facilities. Firstly I analyse why steering of privately owned facilities is an interesting subject. Secondly I discuss what the advantages and drawbacks of using different approaches for steering sports facilities are...

  4. General programmable Level-1 trigger with 3D-Flow assembly system for calorimeters of different sizes and event rates

    International Nuclear Information System (INIS)

    Crosetto, D.

    1992-12-01

    Experience demonstrates that fine tuning on the trigger of an experiment is often achieved only after running the experiment and analyzing the first data acquired. It is desirable that identification and, consequently, selection of interesting events be made on a more refined identification of particles. Use of an innovative parallel-processing system architecture together with an instruction set allows identification of objects (particles) among the data coming from a calorimeter in a programmable manner, utilizing the information related to their shape in two- or three-dimensional form, rather than applying only a programmable threshold proportional to their energy. The architecture is flexible, allowing execution of simple algorithms as well as complex pattern recognition algorithms. It is scalable in the sense that the same hardware can be used for small or large calorimeters having a slow or fast event rate. The simple printed circuit board (accommodating 16 x 3D-Flow processors) on a 4 in. x 4 in. board described herein uses the same hardware to build a large Level-1 programmable trigger (by interconnecting many boards in a matrix array) and is capable of implementing simple or complex pattern recognition algorithms at different event input rates (by cascading boards one on top of another). With the same hardware one can build low-cost, programmable Level-1 triggers for a small and low-event-rate calorimeter, or high-performance, programmable Level-1 triggers for a large calorimeter capable of sustaining up to 60 million events per second

  5. Pre-Production Validation of the ATLAS Level-1 Calorimeter Trigger System

    CERN Document Server

    Achenbach, R; Barnett, B M; Bauss, B; Belkin, A; Bohm, C; Brawn, I P; Davis, A O; Edwards, J; Eisenhandler, E F; Föhlisch, F; Gee, C N P; Geweniger, C; Gillman, A R; Hanke, P; Hellman, S; Hidvégi, A; Hillier, S J; Kluge, E E; Landon, M; Mahboubi, K; Mahout, G; Meier, K; Mirea, A; Moye, T H; Perera, V J O; Qian, W; Rieke, S; Rühr, F; Sankey, D P C; Schäfer, U; Schmitt, K; Schultz-Coulon, H C; Silverstein, S; Staley, R J; Tapprogge, S; Thomas, J P; Trefzger, T; Typaldos, D; Watkins, P M; Watson, A; Weber, G A; Weber, P; 14th IEEE - NPSS Real Time Conference 2005 Nuclear Plasma Sciences Society

    2005-01-01

    The Level-1 Calorimeter Trigger is a major part of the first stage of event selection for the ATLAS experiment at the LHC. It is a digital, pipelined system with several stages of processing, largely based on FPGAs, which perform programmable algorithms in parallel with a fixed latency to process about 300 Gbyte/s of input data. The real-time output consists of counts of different types of trigger objects and energy sums. Prototypes of all module types have been undergoing intensive testing before final production during 2005. Verification of their correct operation has been performed standalone and in the ATLAS test-beam at CERN. Results from these investigations will be presented, along with a description of the methodology used to perform the tests.

  6. Quark fragmentation and trigger side momentum distributions in high-Psub(T) processes

    International Nuclear Information System (INIS)

    Antolin, J.; Azcoiti, V.; Bravo, J.R.; Alonso, J.L.; Cruz, A.; Ringland, G.A.

    1979-11-01

    It has been widely argued that the experimental evidence concerning the momentum accompanying high Psub(T) triggers is a grave problem for models which take the trigger hadron to be a quark fragment. It is claimed that the trigger hadron takes much too large a fraction (zsub(c)) of the jet momentum for the trigger side jet to be a quark. The jet momentum is not directly measured, but deduced from the derivative of the momentum (psub(x)) accompanying the trigger with respect to the trigger transverse momentum - psub(T)sup(t). This argument is shown to be unsafe. Using both an approximate analytic approach to illustrate the physics and subsequently a full numerical computation it is proved that the deduction of the fractional momentum accompanying the trigger, 1/zsub(c) -1, from dpsub(x)/dpsub(T)sup(t) is not correct. Further it is shown that models which do take the trigger to be a quark fragment are essentially in agreement with the data on trigger side momentum distributions. A surprising prediction of the present analysis is that psub(x) should be approximately constant for psub(T)sup(t) >= 6 GeV/c. (author)

  7. The updated ATLAS Jet Trigger for the LHC Run II

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00359694; The ATLAS collaboration

    2015-01-01

    After the current shutdown, the LHC is about to resume operation for a new data-taking period, when it will operate with increased luminosity, event rate and center of mass energy. The new conditions will impose more demanding constraints on the ATLAS online trigger reconstruction and selection system. To cope with such increased constraints, the ATLAS High-Level Trigger, placed after a first hardware-based Level~1 trigger, has been redesigned by merging two previously separated software-based processing levels. In the new joint processing level, the algorithms run in the same computing nodes, thus sharing resources, minimizing the data transfer from the detector buffers and increasing the algorithm flexibility. The jet trigger software selects events containing high transverse momentum hadronic jets. It needs optimal jet energy resolution to help rejecting an overwhelming background while retaining good efficiency for interesting jets. In particular, this requires the CPU-intensive reconstruction of tridimen...

  8. How Insect Flight Steering Muscles Work

    OpenAIRE

    Walker, Simon M.; Schwyn, Daniel A.; Mokso, Rajmund; Wicklein, Martina; Müller, Tonya; Doube, Michael; Stampanoni, Marco; Krapp, Holger G.; Taylor, Graham K.

    2014-01-01

    Dipteran flies are amongst the smallest and most agile of flying animals. Their wings are driven indirectly by large power muscles, which cause cyclical deformations of the thorax that are amplified through the intricate wing hinge. Asymmetric flight manoeuvres are controlled by 13 pairs of steering muscles acting directly on the wing articulations. Collectively the steering muscles account for

  9. A video event trigger for high frame rate, high resolution video technology

    Science.gov (United States)

    Williams, Glenn L.

    1991-12-01

    When video replaces film the digitized video data accumulates very rapidly, leading to a difficult and costly data storage problem. One solution exists for cases when the video images represent continuously repetitive 'static scenes' containing negligible activity, occasionally interrupted by short events of interest. Minutes or hours of redundant video frames can be ignored, and not stored, until activity begins. A new, highly parallel digital state machine generates a digital trigger signal at the onset of a video event. High capacity random access memory storage coupled with newly available fuzzy logic devices permits the monitoring of a video image stream for long term or short term changes caused by spatial translation, dilation, appearance, disappearance, or color change in a video object. Pretrigger and post-trigger storage techniques are then adaptable for archiving the digital stream from only the significant video images.

  10. The development of high-voltage repetitive low-jitter corona stabilized triggered switch

    Science.gov (United States)

    Geng, Jiuyuan; Yang, Jianhua; Cheng, Xinbing; Yang, Xiao; Chen, Rong

    2018-04-01

    The high-power switch plays an important part in a pulse power system. With the trend of pulse power technology toward modularization, miniaturization, and accuracy control, higher requirements on electrical trigger and jitter of the switch have been put forward. A high-power low-jitter corona-stabilized triggered switch (CSTS) is designed in this paper. This kind of CSTS is based on corona stabilized mechanism, and it can be used as a main switch of an intense electron-beam accelerator (IEBA). Its main feature was the use of an annular trigger electrode instead of a traditional needle-like trigger electrode, taking main and side trigger rings to fix the discharging channels and using SF6/N2 gas mixture as its operation gas. In this paper, the strength of the local field enhancement was changed by a trigger electrode protrusion length Dp. The differences of self-breakdown voltage and its stability, delay time jitter, trigger requirements, and operation range of the switch were compared. Then the effect of different SF6/N2 mixture ratio on switch performance was explored. The experimental results show that when the SF6 is 15% with the pressure of 0.2 MPa, the hold-off voltage of the switch is 551 kV, the operating range is 46.4%-93.5% of the self-breakdown voltage, the jitter is 0.57 ns, and the minimum trigger voltage requirement is 55.8% of the peak. At present, the CSTS has been successfully applied to an IEBA for long time operation.

  11. New high-energy phenomena in aircraft triggered lightning

    NARCIS (Netherlands)

    van Deursen, A.P.J.; Kochkin, P.; de Boer, A.; Bardet, M.; Boissin, J.F.

    2016-01-01

    High-energy phenomena associated with lighting have been proposed in the twenties, observed for the first time in the sixties, and further investigated more recently by e.g. rocket triggered lightning. Similarly, x-rays have been detected in meter-long discharges in air at standard atmospheric

  12. Effects of Stocking Density or Group Size on Intake, Growth, and Meat Quality of Hanwoo Steers (

    Directory of Open Access Journals (Sweden)

    Sang Moo Lee

    2012-11-01

    Full Text Available This study was conducted to investigate the effects of stocking density or group size on feed intake, daily gain, and carcass characteristics of Hanwoo (Korean indigenous breed steers reared from 7 months to 31 months of age. Thirty Hanwoo steers were divided into four groups with three replicates each (a total of 12 pens. In each group, one (G1, two (G2, three (G3, and four steers (G4 per pen were allocated as treatments. Pen size was 32.0 m2, and therefore Hanwoo steers in G1, G2, G3, and G4 were reared under different space allowances, i.e. 32.0, 16.0, 10.6, and 8.0 m2/steer, respectively. Steers were reared following a conventional beef cattle management method in Korea, and were offered a fixed amount of commercial concentrate with ad libitum forages. Results were subjected to analysis of variance with stocking density as the main effect, and significance was declared at p<0.05. Although total feed intake was not significantly altered, it numerically increased in animals of low stocking density (G1 compared to those subjected to high stocking density treatment (i.e. G4. Feed conversion ratio was higher (p<0.05 in G3 compared to G1 and G2. Animals in G1 (low stocking density grew faster (p<0.05 than those of high stocking density (G3 and G4. Back fat thickness, meat yield index, and meat yield grade were similar among all levels of stocking density. However, longissimus muscle area was larger in G1 and G2 (p<0.01 compared to G3 and G4, and animals in G3 produced smaller carcasses (p<0.05. Carcass quality traits, including marbling score, meat color, fat color, texture, maturity and meat quality grade, as determined by a group of experts, were not significantly different among the treatments. In conclusion, lower stocking density resulted in increased feed efficiency, daily gain, and carcass weight in Hanwoo steers. However it remains unclear whether such differences are the results of stocking density or group size, or a combination of both

  13. LHCb : The LHCb trigger system and its upgrade

    CERN Multimedia

    Dziurda, Agnieszka

    2015-01-01

    The current LHCb trigger system consists of a hardware level, which reduces the LHC inelastic collision rate of 30 MHz to 1 MHz, at which the entire detector is read out. In a second level, implemented in a farm of 20k parallel-processing CPUs, the event rate is reduced to about 5 kHz. We review the performance of the LHCb trigger system during Run I of the LHC. Special attention is given to the use of multivariate analyses in the High Level Trigger. The major bottleneck for hadronic decays is the hardware trigger. LHCb plans a major upgrade of the detector and DAQ system in the LHC shutdown of 2018, enabling a purely software based trigger to process the full 30 MHz of inelastic collisions delivered by the LHC. We demonstrate that the planned architecture will be able to meet this challenge. We discuss the use of disk space in the trigger farm to buffer events while performing run-by-run detector calibrations, and the way this real time calibration and subsequent full event reconstruction will allow LHCb to ...

  14. Observation of one-way Einstein-Podolsky-Rosen steering

    Science.gov (United States)

    Händchen, Vitus; Eberle, Tobias; Steinlechner, Sebastian; Samblowski, Aiko; Franz, Torsten; Werner, Reinhard F.; Schnabel, Roman

    2012-09-01

    The distinctive non-classical features of quantum physics were first discussed in the seminal paper by A. Einstein, B. Podolsky and N. Rosen (EPR) in 1935. In his immediate response, E. Schrödinger introduced the notion of entanglement, now seen as the essential resource in quantum information as well as in quantum metrology. Furthermore, he showed that at the core of the EPR argument is a phenomenon that he called steering. In contrast to entanglement and violations of Bell's inequalities, steering implies a direction between the parties involved. Recent theoretical works have precisely defined this property, but the question arose as to whether there are bipartite states showing steering only in one direction. Here, we present an experimental realization of two entangled Gaussian modes of light that in fact shows the steering effect in one direction but not in the other. The generated one-way steering gives a new insight into quantum physics and may open a new field of applications in quantum information.

  15. Influence of Road Excitation and Steering Wheel Input on Vehicle System Dynamic Responses

    OpenAIRE

    Zhen-Feng Wang; Ming-Ming Dong; Liang Gu; Jagat-Jyoti Rath; Ye-Chen Qin; Bin Bai

    2017-01-01

    Considering the importance of increasing driving safety, the study of safety is a popular and critical topic of research in the vehicle industry. Vehicle roll behavior with sudden steering input is a main source of untripped rollover. However, previous research has seldom considered road excitation and its coupled effect on vehicle lateral response when focusing on lateral and vertical dynamics. To address this issue, a novel method was used to evaluate effects of varying road level and steer...

  16. A content addressable memory for use in CEBAF's CLAS detector level 2 triggering system

    International Nuclear Information System (INIS)

    Hodson, R.F.; Doughty, D.C. Jr.; Allgood, D.C.; Campbell, S.A.; Wilson, W.C.; Bickley, M.H.

    1996-01-01

    A collaboration of researchers from CEBAF, CNU and NASA is designing a 256-32 specialized Content Addressable Memory (CAM) for the level 2 triggering system in CEBAF's CLAS detector. These integrated circuits will find tracks and the momentum and angle of each track within 2 microseconds of an event. The custom CAM can operate as conventional memory, performing read and write operations, and can additionally perform independent byte compare operations across all words simultaneously. It is this compare feature which makes these CAMs attractive for identifying tracks passing through drift chambers by linking together segment number triplets within the CAM. Simulations have indicated that less than 16 k triplets need to be stored for each sector of the detector. This implies the level 2 triggering can be performed with 64 CAM chips per sector, or 384 total. Each data channel into a sector CAM array is buffered in a FIFO and is designed to handle aggregate data rates up to 750 Mbs for three channels (one channel/superlayer). The architecture of the level 2 trigger and details of the CAM chip design are discussed along with a performance report on our prototype CAMs

  17. Upgrades of the ATLAS trigger system

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00221618; The ATLAS collaboration

    2018-01-01

    In coming years the LHC is expected to undergo upgrades to increase both the energy of proton-proton collisions and the instantaneous luminosity. In order to cope with these more challenging LHC conditions, upgrades of the ATLAS trigger system will be required. This talk will focus on some of the key aspects of these upgrades. Firstly, the upgrade period between 2019-2021 will see an increase in instantaneous luminosity to $3\\times10^{34} \\rm{cm^{-2}s^{-1}}$. Upgrades to the Level 1 trigger system during this time will include improvements for both the muon and calorimeter triggers. These include the upgrade of the first-level Endcap Muon trigger, the calorimeter trigger electronics and the addition of new calorimeter feature extractor hardware, such as the Global Feature Extractor (gFEX). An overview will be given on the design and development status the aforementioned systems, along with the latest testing and validation results. \\\\ By 2026, the High Luminosity LHC will be able to deliver 14 TeV collisions ...

  18. Refractive waveguide non-mechanical beam steering (NMBS) in the MWIR

    Science.gov (United States)

    Myers, Jason D.; Frantz, Jesse A.; Spillmann, Christopher M.; Bekele, Robel Y.; Kolacz, Jakub; Gotjen, Henry; Naciri, Jawad; Shaw, Brandon; Sanghera, Jas S.

    2018-02-01

    Beam steering is a crucial technology for a number of applications, including chemical sensing/mapping and light detection and ranging (LIDAR). Traditional beam steering approaches rely on mechanical movement, such as the realignment of mirrors in gimbal mounts. The mechanical approach to steering has several drawbacks, including large size, weight and power usage (SWAP), and frequent mechanical failures. Recently, alternative non-mechanical approaches have been proposed and developed, but these technologies do not meet the demanding requirements for many beam steering applications. Here, we highlight the development efforts into a particular non-mechanical beam steering (NMBS) approach, refractive waveguides, for application in the MWIR. These waveguides are based on an Ulrich-coupled slab waveguide with a liquid crystal (LC) top cladding; by selectively applying an electric field across the liquid crystal through a prismatic electrode, steering is achieved by creating refraction at prismatic interfaces as light propagates through the device. For applications in the MWIR, we describe a versatile waveguide architecture based on chalcogenide glasses that have a wide range of refractive indices, transmission windows, and dispersion properties. We have further developed robust shadow-masking methods to taper the subcladding layers in the coupling region. We have demonstrated devices with >10° of steering in the MWIR and a number of advantageous properties for beam steering applications, including low-power operation, compact size, and fast point-to-point steering.

  19. Alteration in gene expression in the jejunum mucosa of Angus steers with divergent ADG

    Science.gov (United States)

    The objective of this study was to determine the association of differentially expressed genes in the jejunum of steers with average DMI and high or low ADG. Feed intake and growth were measured in a cohort of 144 commercial Angus steers consuming a finishing ration containing (on a DM basis) 67.8% ...

  20. Optimization Under Uncertainty for Wake Steering Strategies: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Quick, Julian [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Annoni, Jennifer [National Renewable Energy Laboratory (NREL), Golden, CO (United States); King, Ryan N [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Dykes, Katherine L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Fleming, Paul A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Ning, Andrew [Brigham Young University

    2017-05-01

    Wind turbines in a wind power plant experience significant power losses because of aerodynamic interactions between turbines. One control strategy to reduce these losses is known as 'wake steering,' in which upstream turbines are yawed to direct wakes away from downstream turbines. Previous wake steering research has assumed perfect information, however, there can be significant uncertainty in many aspects of the problem, including wind inflow and various turbine measurements. Uncertainty has significant implications for performance of wake steering strategies. Consequently, the authors formulate and solve an optimization under uncertainty (OUU) problem for finding optimal wake steering strategies in the presence of yaw angle uncertainty. The OUU wake steering strategy is demonstrated on a two-turbine test case and on the utility-scale, offshore Princess Amalia Wind Farm. When we accounted for yaw angle uncertainty in the Princess Amalia Wind Farm case, inflow-direction-specific OUU solutions produced between 0% and 1.4% more power than the deterministically optimized steering strategies, resulting in an overall annual average improvement of 0.2%. More importantly, the deterministic optimization is expected to perform worse and with more downside risk than the OUU result when realistic uncertainty is taken into account. Additionally, the OUU solution produces fewer extreme yaw situations than the deterministic solution.