WorldWideScience

Sample records for high-k dielectric thin

  1. Investigation of high- k yttrium copper titanate thin films as alternative gate dielectrics

    International Nuclear Information System (INIS)

    Monteduro, Anna Grazia; Ameer, Zoobia; Rizzato, Silvia; Martino, Maurizio; Caricato, Anna Paola; Maruccio, Giuseppe; Tasco, Vittorianna; Lekshmi, Indira Chaitanya; Hazarika, Abhijit; Choudhury, Debraj; Sarma, D D

    2016-01-01

    Nearly amorphous high- k yttrium copper titanate thin films deposited by laser ablation were investigated in both metal–oxide–semiconductor (MOS) and metal–insulator–metal (MIM) junctions in order to assess the potentialities of this material as a gate oxide. The trend of dielectric parameters with film deposition shows a wide tunability for the dielectric constant and AC conductivity, with a remarkably high dielectric constant value of up to 95 for the thick films and conductivity as low as 6  ×  10 −10 S cm −1 for the thin films deposited at high oxygen pressure. The AC conductivity analysis points out a decrease in the conductivity, indicating the formation of a blocking interface layer, probably due to partial oxidation of the thin films during cool-down in an oxygen atmosphere. Topography and surface potential characterizations highlight differences in the thin film microstructure as a function of the deposition conditions; these differences seem to affect their electrical properties. (paper)

  2. Fabrication of Nonvolatile Memory Effects in High-k Dielectric Thin Films Using Electron Irradiation

    International Nuclear Information System (INIS)

    Park, Chanrock; Cho, Daehee; Kim, Jeongeun; Hwang, Jinha

    2010-01-01

    Electron Irradiation can be applied towards nano-floating gate memories which are recognized as one of the next-generation nonvolatile memory semiconductors. NFGMs can overcome the preexisting limitations encountered in Dynamic Random Access Memories and Flash memories with the excellent advantages, i. e. high-density information storage, high response speed, high compactness, etc. The traditional nano-floating gate memories are fabricated through multi-layered nano structures of the dissimilar materials where the charge-trapping portions are sandwiched into the high-k dielectrics. However, this work reports the unique nonvolatile responses in single-layered high-k dielectric thin films if irradiated with highly accelerated electron beams. The implications of the electron irradiation will be discussed towards high-performance nano-floating gate memories

  3. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2015-01-01

    Full Text Available We investigated amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using different high-k gate dielectric materials such as silicon nitride (Si3N4 and aluminum oxide (Al2O3 at low temperature process (<300°C and compared them with low temperature silicon dioxide (SiO2. The IGZO device with high-k gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, postannealing treatment is an essential process for completing the process. The chemical reaction of the high-k/IGZO interface due to heat formation in high-k/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-k gate dielectric materials and explained the interface effect by charge band diagram.

  4. Pentacene based thin film transistors with high-k dielectric Nd2O3 as a gate insulator

    International Nuclear Information System (INIS)

    Sarma, R.; Saikia, D.

    2010-01-01

    We have investigated the pentacene based Organic Thin Film Transistors (OTFTs) with high-k dielectric Nd 2 O 3 . Use of high dielectric constant (high-k) gate insulator Nd 2 O 3 reduces the threshold voltage and sub threshold swing of the OTFTs. The calculated threshold voltage -2.2V and sub-threshold swing 1V/decade, current ON-OFF ratio is 1.7 X 10 4 and mobility is 0.13cm 2 /V.s. Pentacene film is deposited on Nd 2 O 3 surface using two step deposition method. Deposited pentacene film is found poly crystalline in nature. (author)

  5. Perovskite oxynitride LaTiOxNy thin films: Dielectric characterization in low and high frequencies

    International Nuclear Information System (INIS)

    Lu, Y.; Ziani, A.; Le Paven-Thivet, C.; Benzerga, R.; Le Gendre, L.; Fasquelle, D.; Kassem, H.

    2011-01-01

    Lanthanum titanium oxynitride (LaTiO x N y ) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO x N y thin films deposited on conductive single crystal Nb–STO show a dielectric constant ε′ ≈ 140 with low losses tanδ = 0.012 at 100 kHz. For the LaTiO x N y polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO 2 /Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO x N y films deposited on MgO substrate present a high dielectric constant with low losses (ε′ ≈ 170, tanδ = 0.011, 12 GHz).

  6. From surface to volume plasmons in hyperbolic metamaterials: General existence conditions for bulk high-k waves in metal-dielectric and graphene-dielectric multilayers

    DEFF Research Database (Denmark)

    Zhukovsky, Sergei; Andryieuski, Andrei; Sipe, John E.

    2014-01-01

    -dielectric and recently introduced graphene-dielectric stacks. We confirm that short-range surface plasmons in thin metal layers can give rise to hyperbolic metamaterial properties and demonstrate that long-range surface plasmons cannot. We also show that graphene-dielectric multilayers tend to support high- k waves...

  7. Yttrium scandate thin film as alternative high-permittivity dielectric for germanium gate stack formation

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Cimang, E-mail: cimang@adam.t.u-tokyo.ac.jp; Lee, Choong Hyun; Nishimura, Tomonori; Toriumi, Akira [Department of Materials Engineering, The University of Tokyo, 7-3-1 Hongo, Tokyo 113-8656 (Japan); JST, CREST, 7-3-1 Hongo, Tokyo 113-8656 (Japan)

    2015-08-17

    We investigated yttrium scandate (YScO{sub 3}) as an alternative high-permittivity (k) dielectric thin film for Ge gate stack formation. Significant enhancement of k-value is reported in YScO{sub 3} comparing to both of its binary compounds, Y{sub 2}O{sub 3} and Sc{sub 2}O{sub 3}, without any cost of interface properties. It suggests a feasible approach to a design of promising high-k dielectrics for Ge gate stack, namely, the formation of high-k ternary oxide out of two medium-k binary oxides. Aggressive scaling of equivalent oxide thickness (EOT) with promising interface properties is presented by using YScO{sub 3} as high-k dielectric and yttrium-doped GeO{sub 2} (Y-GeO{sub 2}) as interfacial layer, for a demonstration of high-k gate stack on Ge. In addition, we demonstrate Ge n-MOSFET performance showing the peak electron mobility over 1000 cm{sup 2}/V s in sub-nm EOT region by YScO{sub 3}/Y-GeO{sub 2}/Ge gate stack.

  8. Low-Temperature Solution-Processed Gate Dielectrics for High-Performance Organic Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Jaekyun Kim

    2015-10-01

    Full Text Available A low-temperature solution-processed high-k gate dielectric layer for use in a high-performance solution-processed semiconducting polymer organic thin-film transistor (OTFT was demonstrated. Photochemical activation of sol-gel-derived AlOx films under 150 °C permitted the formation of a dense film with low leakage and relatively high dielectric-permittivity characteristics, which are almost comparable to the results yielded by the conventionally used vacuum deposition and high temperature annealing method. Octadecylphosphonic acid (ODPA self-assembled monolayer (SAM treatment of the AlOx was employed in order to realize high-performance (>0.4 cm2/Vs saturation mobility and low-operation-voltage (<5 V diketopyrrolopyrrole (DPP-based OTFTs on an ultra-thin polyimide film (3-μm thick. Thus, low-temperature photochemically-annealed solution-processed AlOx film with SAM layer is an attractive candidate as a dielectric-layer for use in high-performance organic TFTs operated at low voltages.

  9. Towards low-voltage organic thin film transistors (OTFTs with solution-processed high-k dielectric and interface engineering

    Directory of Open Access Journals (Sweden)

    Yaorong Su

    2015-11-01

    Full Text Available Although impressive progress has been made in improving the performance of organic thin film transistors (OTFTs, the high operation voltage resulting from the low gate capacitance density of traditional SiO2 remains a severe limitation that hinders OTFTs'development in practical applications. In this regard, developing new materials with high-k characteristics at low cost is of great scientific and technological importance in the area of both academia and industry. Here, we introduce a simple solution-based technique to fabricate high-k metal oxide dielectric system (ATO at low-temperature, which can be used effectively to realize low-voltage operation of OTFTs. On the other hand, it is well known that the properties of the dielectric/semiconductor and electrode/semiconductor interfaces are crucial in controlling the electrical properties of OTFTs. By optimizing the above two interfaces with octadecylphosphonic acid (ODPA self-assembled monolayer (SAM and properly modified low-cost Cu, obviously improved device performance is attained in our low-voltage OTFTs. Further more, organic electronic devices on flexible substrates have attracted much attention due to their low-cost, rollability, large-area processability, and so on. Basing on the above results, outstanding electrical performance is achieved in flexible devices. Our studies demonstrate an effective way to realize low-voltage, high-performance OTFTs at low-cost.

  10. Perovskite oxynitride LaTiO{sub x}N{sub y} thin films: Dielectric characterization in low and high frequencies

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Y.; Ziani, A. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Le Paven-Thivet, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Fasquelle, D. [Laboratoire d' Etude des Materiaux et des Composants pour l' Electronique (LEMCEL) UPRES-EA 2601, University of Littoral-Cote d' Opale, 50 rue Ferdinand Buisson, F-62228 Calais cedex (France); Kassem, H. [Laboratoire de l' Integration du Materiau au Systeme(IMS) UMR-CNRS 5218, groupe Materiaux, University of Bordeaux 1, 16 avenue Pey-Berland, 33607 Pessac (France); and others

    2011-11-01

    Lanthanum titanium oxynitride (LaTiO{sub x}N{sub y}) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO{sub x}N{sub y} thin films deposited on conductive single crystal Nb-STO show a dielectric constant {epsilon} Prime Almost-Equal-To 140 with low losses tan{delta} = 0.012 at 100 kHz. For the LaTiO{sub x}N{sub y} polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO{sub 2}/Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO{sub x}N{sub y} films deposited on MgO substrate present a high dielectric constant with low losses ({epsilon} Prime Almost-Equal-To 170, tan{delta} = 0.011, 12 GHz).

  11. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Dakhel, A.A.; Jasim, Khalil E. [Department of Physics, College of Science, University of Bahrain, P.O. Box 32038 (Bahrain); Cassidy, S. [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain); Henari, F.Z., E-mail: fzhenari@rcsi-mub.com [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain)

    2013-09-20

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε{sup ′}{sub ∞}≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z{sup *}(ω) and modulus M{sup *}(ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices.

  12. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    International Nuclear Information System (INIS)

    Dakhel, A.A.; Jasim, Khalil E.; Cassidy, S.; Henari, F.Z.

    2013-01-01

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε ′ ∞ ≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z * (ω) and modulus M * (ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices

  13. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    Science.gov (United States)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  14. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  15. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  16. Bi-axially crumpled silver thin-film electrodes for dielectric elastomer actuators

    International Nuclear Information System (INIS)

    Low, Sze-Hsien; Lau, Gih-Keong

    2014-01-01

    Metal thin films, which have high conductivity, are much stiffer and may fracture at a much lower strain than dielectric elastomers. In order to fabricate compliant electrodes for use in dielectric elastomer actuators (DEAs), metal thin films have been formed into either zigzag patterns or corrugations, which favour bending and only allow uniaxial DEA deformations. However, biaxially compliant electrodes are desired in order to maximize generated forces of DEA. In this paper, we present crumpled metal thin-film electrodes that are biaxially compliant and have full area coverage over the dielectric elastomer. These crumpled metal thin-film electrodes are more stretchable than flat metal thin films; they remain conductive beyond 110% radial strain. Also, crumpling reduced the stiffening effect of metal thin films on the soft elastomer. As such, DEAs using crumpled metal thin-film electrodes managed to attain relatively high actuated area strains of up to 128% at 1.8 kV (102 Vμm −1 ). (paper)

  17. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    Science.gov (United States)

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  18. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    International Nuclear Information System (INIS)

    Lin, Y. H.; Chou, J. C.

    2015-01-01

    We investigated amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT_s) using different high-Κ gate dielectric materials such as silicon nitride (Si_3N_4) and aluminum oxide (Al_2O_3) at low temperature process (<300 degree) and compared them with low temperature silicon dioxide (SiO_2). The IGZO device with high-Κ gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, post annealing treatment is an essential process for completing the process. The chemical reaction of the high-κ/IGZO interface due to heat formation in high-Κ/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-Κ gate dielectric materials and explained the interface effect by charge band diagram.

  19. Plasma polymerized high energy density dielectric films for capacitors

    Science.gov (United States)

    Yamagishi, F. G.

    1983-01-01

    High energy density polymeric dielectric films were prepared by plasma polymerization of a variety of gaseous monomers. This technique gives thin, reproducible, pinhole free, conformable, adherent, and insoluble coatings and overcomes the processing problems found in the preparation of thin films with bulk polymers. Thus, devices are prepared completely in a vacuum environment. The plasma polymerized films prepared all showed dielectric strengths of greater than 1000 kV/cm and in some cases values of greater than 4000 kV/cm were observed. The dielectric loss of all films was generally less than 1% at frequencies below 10 kHz, but this value increased at higher frequencies. All films were self healing. The dielectric strength was a function of the polymerization technique, whereas the dielectric constant varied with the structure of the starting material. Because of the thin films used (thickness in the submicron range) surface smoothness of the metal electrodes was found to be critical in obtaining high dielectric strengths. High dielectric strength graft copolymers were also prepared. Plasma polymerized ethane was found to be thermally stable up to 150 C in the presence of air and 250 C in the absence of air. No glass transitions were observed for this material.

  20. Dielectric and acoustical high frequency characterisation of PZT thin films

    International Nuclear Information System (INIS)

    Conde, Janine; Muralt, Paul

    2010-01-01

    Pb(Zr, Ti)O 3 (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {100} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  1. Dielectric and acoustical high frequency characterisation of PZT thin films

    Science.gov (United States)

    Conde, Janine; Muralt, Paul

    2010-02-01

    Pb(Zr, Ti)O3 (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {100} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  2. Dielectric and acoustical high frequency characterisation of PZT thin films

    Energy Technology Data Exchange (ETDEWEB)

    Conde, Janine; Muralt, Paul, E-mail: janine.conde@epfl.ch [Department of Materials Science, EPFL (Switzerland)

    2010-02-15

    Pb(Zr, Ti)O{sub 3} (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {l_brace}100{r_brace} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  3. Investigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory Applications

    Science.gov (United States)

    Jayanti, Srikant

    Due to the increasing demand of non-volatile flash memories in the portable electronics, the device structures need to be scaled down drastically. However, the scalability of traditional floating gate structures beyond 20 nm NAND flash technology node is uncertain. In this regard, the use of metal gates and high-k dielectrics as the gate and interpoly dielectrics respectively, seem to be promising substitutes in order to continue the flash scaling beyond 20nm. Furthermore, research of novel memory structures to overcome the scaling challenges need to be explored. Through this work, the use of high-k dielectrics as IPDs in a memory structure has been studied. For this purpose, IPD process optimization and barrier engineering were explored to determine and improve the memory performance. Specifically, the concept of high-k / low-k barrier engineering was studied in corroboration with simulations. In addition, a novel memory structure comprising a continuous metal floating gate was investigated in combination with high-k blocking oxides. Integration of thin metal FGs and high-k dielectrics into a dual floating gate memory structure to result in both volatile and non-volatile modes of operation has been demonstrated, for plausible application in future unified memory architectures. The electrical characterization was performed on simple MIS/MIM and memory capacitors, fabricated through CMOS compatible processes. Various analytical characterization techniques were done to gain more insight into the material behavior of the layers in the device structure. In the first part of this study, interfacial engineering was investigated by exploring La2O3 as SiO2 scavenging layer. Through the silicate formation, the consumption of low-k SiO2 was controlled and resulted in a significant improvement in dielectric leakage. The performance improvement was also gauged through memory capacitors. In the second part of the study, a novel memory structure consisting of continuous metal FG

  4. Controlling Chain Conformations of High-k Fluoropolymer Dielectrics to Enhance Charge Mobilities in Rubrene Single-Crystal Field-Effect Transistors.

    Science.gov (United States)

    Adhikari, Jwala M; Gadinski, Matthew R; Li, Qi; Sun, Kaige G; Reyes-Martinez, Marcos A; Iagodkine, Elissei; Briseno, Alejandro L; Jackson, Thomas N; Wang, Qing; Gomez, Enrique D

    2016-12-01

    A novel photopatternable high-k fluoropolymer, poly(vinylidene fluoride-bromotrifluoroethylene) P(VDF-BTFE), with a dielectric constant (k) between 8 and 11 is demonstrated in thin-film transistors. Crosslinking P(VDF-BTFE) reduces energetic disorder at the dielectric-semiconductor interface by controlling the chain conformations of P(VDF-BTFE), thereby leading to approximately a threefold enhancement in the charge mobility of rubrene single-crystal field-effect transistors. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Enhanced dielectric and electrical properties of annealed PVDF thin film

    Science.gov (United States)

    Arshad, A. N.; Rozana, M. D.; Wahid, M. H. M.; Mahmood, M. K. A.; Sarip, M. N.; Habibah, Z.; Rusop, M.

    2018-05-01

    Poly (vinylideneflouride) (PVDF) thin films were annealed at various annealing temperatures ranging from 70°C to 170°C. This study demonstrates that PVDF thin films annealed at temperature of 70°C (AN70) showed significant enhancement in their dielectric constant (14) at frequency of 1 kHz in comparison to un-annealed PVDF (UN-PVDF), dielectric constant (10) at the same measured frequency. As the annealing temperature was increased from 90°C (AN90) to 150°C (AN150), the dielectric constant value of PVDF thin films was observed to decrease gradually to 11. AN70 also revealed low tangent loss (tan δ) value at similar frequency. With respect to its resistivity properties, the values were found to increase from 1.98×104 Ω.cm to 3.24×104 Ω.cm for AN70 and UN-PVDF films respectively. The improved in dielectric constant, with low tangent loss and high resistivity value suggests that 70°C is the favorable annealing temperature for PVDF thin films. Hence, AN70 is a promising film to be utilized for application in electronic devices such as low frequency capacitor.

  6. Polaron-electron assisted giant dielectric dispersion in SrZrO{sub 3} high-k dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Borkar, Hitesh; Barvat, Arun; Pal, Prabir; Kumar, Ashok, E-mail: ashok553@nplindia.org [CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-National Physical Laboratory (CSIR-NPL) Campus, Dr. K S Krishnan Marg, New Delhi 110012 (India); Shukla, A. K. [CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Pulikkotil, J. J. [CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-National Physical Laboratory (CSIR-NPL) Campus, Dr. K S Krishnan Marg, New Delhi 110012 (India); Computation and Networking Facility, CSIR-National Physical Laboratory, New Delhi 110012 (India)

    2016-06-07

    The SrZrO{sub 3} is a well known high-k dielectric constant (∼22) and high optical bandgap (∼5.8 eV) material and one of the potential candidates for future generation nanoelectronic logic elements (8 nm node technology) beyond silicon. Its dielectric behavior is fairly robust and frequency independent till 470 K; however, it suffers a strong small-polaron based electronic phase transition (T{sub e}) linking 650 to 750 K. The impedance spectroscopy measurements revealed the presence of conducting grains and grain boundaries at elevated temperature which provide energetic mobile charge carriers with activation energy in the range of 0.7 to 1.2 eV supporting the oxygen ions and proton conduction. X-ray photoemission spectroscopy measurements suggest the presence of weak non-stoichiometric O{sup 2−} anions and hydroxyl species bound to different sites at the surface and bulk. These thermally activated charge carriers at elevated temperature significantly contribute to the polaronic based dielectric anomaly and conductivity. Our dielectric anomaly supports pseudo phase transition due to high degree of change in ZrO{sub 6} octahedral angle in the temperature range of 650–750 K, where electron density and phonon vibration affect the dielectric and conductivity properties.

  7. Nonlinear dielectric thin films for high-power electric storage with energy density comparable with electrochemical supercapacitors.

    Science.gov (United States)

    Yao, Kui; Chen, Shuting; Rahimabady, Mojtaba; Mirshekarloo, Meysam Sharifzadeh; Yu, Shuhui; Tay, Francis Eng Hock; Sritharan, Thirumany; Lu, Li

    2011-09-01

    Although batteries possess high energy storage density, their output power is limited by the slow movement of charge carriers, and thus capacitors are often required to deliver high power output. Dielectric capacitors have high power density with fast discharge rate, but their energy density is typically much lower than electrochemical supercapacitors. Increasing the energy density of dielectric materials is highly desired to extend their applications in many emerging power system applications. In this paper, we review the mechanisms and major characteristics of electric energy storage with electrochemical supercapacitors and dielectric capacitors. Three types of in-house-produced ferroic nonlinear dielectric thin film materials with high energy density are described, including (Pb(0.97)La(0.02))(Zr(0.90)Sn(0.05)Ti(0.05))O(3) (PLZST) antiferroelectric ceramic thin films, Pb(Zn(1/3)Nb(2/3))O(3-)Pb(Mg(1/3)Nb(2/3))O(3-)PbTiO(3) (PZN-PMN-PT) relaxor ferroelectric ceramic thin films, and poly(vinylidene fluoride) (PVDF)-based polymer blend thin films. The results showed that these thin film materials are promising for electric storage with outstandingly high power density and fairly high energy density, comparable with electrochemical supercapacitors.

  8. Effect of crystal structure on strontium titanate thin films and their dielectric properties

    Science.gov (United States)

    Kampangkeaw, Satreerat

    Strontium titanate (SrTiO3 or STO) has application in radio and microwave-frequency tunable capacitor devices particularly at low temperatures due to its high dielectric constant, low loss and the electric field tunability of its dielectric constant. The main goal of improving the performance in these devices is to increase the tunability and decrease the dielectric loss at the same time, especially at microwave frequencies. Thin films of STO however, show dramatic differences compared to the bulk. The dielectric constant of bulk STO increases nonlinearly from 300 at room temperature to 30000 at 4 K and the loss range is 10-3--10 -4. On the other hand. STO thin films, while showing a dielectric constant close to 300 at room temperature, typically reach a maximum between 1000 and 10000 in the 30 K to 100 K range before decreasing, and the high-loss range is 10-2--10-3. We have grown strontium titanate thin films using a pulsed laser deposition technique on substrates selected to have a small lattice mismatch between the film and substrate. Neodymium gallate (NdGaO3 or NGO) and lanthanum aluminate (LaAlO3 or LAO) substrates were good candidates due to only 1--2% mismatching. Film capacitor devices were fabricated with 25 micron gap separation. 1.5 mm total gap length and an overall 1 x 2 mm dimension using standard lithography and gold metal evaporative techniques. Their nonlinear dielectric constant and loss tangent were measured at low frequencies and also at 2 GHz, and from room temperature down to 4 K. The resulting films show significant variations of dielectric properties with position on the substrates with respect to the deposition plume axis. In the presence of DC electric fields up to +/-4 V/mum, STO films show improved dielectric tunability and low loss in regions far from the plume axis. We found that the films grown on NCO have lower dielectric loss than those on LAO due to a closer match of the NCO lattice to that of STO. We investigated the possible

  9. High-k dielectrics as bioelectronic interface for field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Borstlap, D

    2007-03-15

    Ion-sensitive field-effect transistors (ISFETs) are employed as bioelectronic sensors for the cell-transistor coupling and for the detection of DNA sequences. For these applications, thermally grown SiO{sub 2} films are used as standard gate dielectric. In the first part of this dissertation, the suitability of high-k dielectrics was studied to increase the gate capacitance and hence the signal-to-noise ratio of bioelectronic ISFETs: Upon culturing primary rat neurons on the corresponding high-k dielectrics, Al{sub 2}O{sub 3}, yttria stabilised zirkonia (YSZ), DyScO{sub 3}, CeO{sub 2}, LaAlO{sub 3}, GdScO{sub 3} and LaScO{sub 3} proved to be biocompatible substrates. Comprehensive electrical and electrochemical current-voltage measurements and capacitance-voltage measurements were performed for the determination of the dielectric properties of the high-k dielectrics. In the second part of the dissertation, standard SiO{sub 2} ISFETs with lower input capacitance and high-k dielectric Al{sub 2}O{sub 3}, YSZ und DyScO{sub 3} ISFETs were comprehensively characterised and compared with each other regarding their signal-to-noise ratio, their ion sensitivity and their drift behaviour. The ion sensitivity measurements showed that the YSZ ISFETs were considerably more sensitive to K{sup +} and Na{sup +} ions than the SiO{sub 2}, Al{sub 2}O{sub 3} und DyScO{sub 3} ISFETs. In the final third part of the dissertation, bioelectronic experiments were performed with the high-k ISFETs. The shape of the signals, which were measured from HL-1 cells with YSZ ISFETs, differed considerably from the corresponding measurements with SiO{sub 2} and DyScO{sub 3} ISFETs: After the onset of the K{sup +} current, the action potentials measured with YSZ ISFETs showed a strong drift in the direction opposite to the K{sup +} current signal. First coupling experiments between HEK 293 cells, which were transfected with a K{sup +} ion channel, and YSZ ISFETs affirmed the assumption from the HL-1

  10. Mechanical characterization of zeolite low dielectric constant thin films by nanoindentation

    International Nuclear Information System (INIS)

    Johnson, Mark; Li Zijian; Wang Junlan; Ya, Yushan

    2007-01-01

    With semiconductor technologies continuously pushing the miniaturization limits, there is a growing interest in developing novel low dielectric constant materials to replace the traditional dense SiO 2 insulators. In order to survive the multi-level integration process and provide reliable material and structure for the desired integrated circuits (IC) functions, the new low-k materials have to be mechanically strong and stable. Therefore the material selection and mechanical characterization are vital for the successful development of next generation low-k dielectrics. A new class of low-k materials, nanoporous pure-silica zeolite, is prepared in thin films using IC compatible spin coating process and characterized using depth sensing nanoindentation technique. The elastic modulus of the zeolite thin films is found to be significantly higher than that of other low-k materials with similar porosity and dielectric constants. Correlations between the mechanical, microstructural and electrical properties of the thin films are discussed in detail

  11. Growth Related Carrier Mobility Enhancement of Pentacene Thin-Film Transistors with High-k Oxide Gate Dielectric

    International Nuclear Information System (INIS)

    Ai-Fang, Yu; Qiong, Qi; Peng, Jiang; Chao, Jiang

    2009-01-01

    Carrier mobility enhancement from 0.09 to 0.59 cm 2 /Vs is achieved for pentacene-based thin-film transistors (TFTs) by modifying the HfO 2 gate dielectric with a polystyrene (PS) thin film. The improvement of the transistor's performance is found to be strongly related to the initial film morphologies of pentacene on the dielectrics. In contrast to the three-dimensional island-like growth mode on the HfO 2 surface, the Stranski-Krastanov growth mode on the smooth and nonpolar PS/HfO 2 surface is believed to be the origin of the excellent carrier mobility of the TFTs. A large well-connected first monolayer with fewer boundaries is formed via the Stranski–Krastanov growth mode, which facilitates a charge transport parallel to the substrate and promotes higher carrier mobility. (cross-disciplinary physics and related areas of science and technology)

  12. Mechanical property changes in porous low-k dielectric thin films during processing

    Energy Technology Data Exchange (ETDEWEB)

    Stan, G., E-mail: gheorghe.stan@nist.gov; Gates, R. S. [Material Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Kavuri, P. [Physical Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Torres, J.; Michalak, D.; Ege, C.; Bielefeld, J.; King, S. W. [Logic Technology Development, Intel Corporation, Hillsboro, Oregon 97124 (United States)

    2014-10-13

    The design of future generations of Cu-low-k dielectric interconnects with reduced electronic crosstalk often requires engineering materials with an optimal trade off between their dielectric constant and elastic modulus. This is because the benefits associated with the reduction of the dielectric constant by increasing the porosity of materials, for example, can adversely affect their mechanical integrity during processing. By using load-dependent contact-resonance atomic force microscopy, the changes in the elastic modulus of low-k dielectric materials due to processing were accurately measured. These changes were linked to alterations sustained by the structure of low-k dielectric films during processing. A two-phase model was used for quantitative assessments of the elastic modulus changes undergone by the organosilicate skeleton of the structure of porous and pore-filled dielectrics.

  13. Atmospheric Pressure Plasma Jet-Assisted Synthesis of Zeolite-Based Low-k Thin Films.

    Science.gov (United States)

    Huang, Kai-Yu; Chi, Heng-Yu; Kao, Peng-Kai; Huang, Fei-Hung; Jian, Qi-Ming; Cheng, I-Chun; Lee, Wen-Ya; Hsu, Cheng-Che; Kang, Dun-Yen

    2018-01-10

    Zeolites are ideal low-dielectric constant (low-k) materials. This paper reports on a novel plasma-assisted approach to the synthesis of low-k thin films comprising pure-silica zeolite MFI. The proposed method involves treating the aged solution using an atmospheric pressure plasma jet (APPJ). The high reactivity of the resulting nitrogen plasma helps to produce zeolite crystals with high crystallinity and uniform crystal size distribution. The APPJ treatment also remarkably reduces the time for hydrothermal reaction. The zeolite MFI suspensions synthesized with the APPJ treatment are used for the wet deposition to form thin films. The deposited zeolite thin films possessed dense morphology and high crystallinity, which overcome the trade-off between crystallinity and film quality. Zeolite thin films synthesized using the proposed APPJ treatment achieve low leakage current (on the order of 10 -8 A/cm 2 ) and high Young's modulus (12 GPa), outperforming the control sample synthesized without plasma treatment. The dielectric constant of our zeolite thin films was as low as 1.41. The overall performance of the low-k thin films synthesized with the APPJ treatment far exceed existing low-k films comprising pure-silica MFI.

  14. Growth and physical properties of highly oriented La-doped (K,Na)NbO3 ferroelectric thin films

    International Nuclear Information System (INIS)

    Vendrell, X.; Raymond, O.; Ochoa, D.A.; García, J.E.; Mestres, L.

    2015-01-01

    Lead-free (K,Na)NbO 3 (KNN) and La doped (K,Na)NbO 3 (KNN-La) thin films are grown on SrTiO 3 substrates using the chemical solution deposition method. The effect of adding different amounts of Na and K excess (0–20 mol%) is investigated. The results confirm the necessity of adding 20 mol% excess amounts of Na and K precursor solutions in order to avoid the formation of the secondary phase, K 4 Nb 6 O 17 , as confirmed by X-ray diffraction and Raman spectroscopy. Moreover, when adding a 20 mol% of alkaline metal excess, the thin films are highly textured with out-of-plane preferential orientation in the [100] direction of the [100] orientation of the substrate. Doping with lanthanum results in a decrease of the leakage current density at low electric field, and an increase in the dielectric permittivity across the whole temperature range (80–380 K). Although the (100)-oriented KNN and KNN-La films exhibited rounded hysteresis loops, at low temperatures the films show the typical ferroelectric hysteresis loops. - Highlights: • (K 0.5 Na 0.5 )NbO 3 and [(K 0.5 Na 0.5 ) 0.985 La 0.005 ]NbO 3 thin films have been prepared. • The obtained thin films show an excellent (100) preferred orientation. • Doping with lanthanum results in a decrease of the leakage current density. • The dielectric properties are enhanced when doping with lanthanum

  15. Low operating voltage InGaZnO thin-film transistors based on Al2O3 high-k dielectrics fabricated using pulsed laser deposition

    International Nuclear Information System (INIS)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K.; Lee, W. J.; Shin, B. C.; Cho, C. R.

    2014-01-01

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al 2 O 3 dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al 2 O 3 and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al 2 O 3 gate dielectric exhibits a very low leakage current density of 1.3 x 10 -8 A/cm 2 at 5 V and a high capacitance density of 60.9 nF/cm 2 . The IGZO TFT with a structure of Ni/IGZO/Al 2 O 3 /Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm 2 V -1 s -1 , an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10 7 .

  16. Evaluation of the effects of thermal annealing temperature and high-k dielectrics on amorphous InGaZnO thin films by using pseudo-MOS transistors

    International Nuclear Information System (INIS)

    Lee, Se-Won; Cho, Won-Ju

    2012-01-01

    The effects of annealing temperatures and high-k gate dielectric materials on the amorphous In-Ga-Zn-O thin-film transistors (a-IGZO TFTs) were investigated using pseudo-metal-oxide semiconductor transistors (Ψ-MOSFETs), a method without conventional source/drain (S/D) layer deposition. Annealing of the a-IGZO film was carried out at 150 - 900 .deg. C in a N 2 ambient for 30 min. As the annealing temperature was increased, the electrical characteristics of Ψ-MOSFETs on a-IGZO were drastically improved. However, when the annealing temperature exceeded 700 .deg. C, a deterioration of the MOS parameters was observed, including a shift of the threshold voltage (V th ) in a negative direction, an increase in the subthreshold slope (SS) and hysteresis, a decrease in the field effect mobility (μ FE ), an increase in the trap density (N t ), and a decrease in the on/off ratio. Meanwhile, the high-k gate dielectrics enhanced the performance of a-IGZO Ψ-MOSFETs. The ZrO 2 gate dielectrics particularly exhibited excellent characteristics in terms of SS (128 mV/dec), μ FE (10.2 cm -2 /V·s), N t (1.1 x 10 12 cm -2 ), and on/off ratio (5.3 x 10 6 ). Accordingly, the Ψ-MOSFET structure is a useful method for rapid evaluation of the effects of the process and the material on a-IGZO TFTs without a conventional S/D layer deposition.

  17. Structure and performance of dielectric films based on self-assembled nanocrystals with a high dielectric constant.

    Science.gov (United States)

    Huang, Limin; Liu, Shuangyi; Van Tassell, Barry J; Liu, Xiaohua; Byro, Andrew; Zhang, Henan; Leland, Eli S; Akins, Daniel L; Steingart, Daniel A; Li, Jackie; O'Brien, Stephen

    2013-10-18

    Self-assembled films built from nanoparticles with a high dielectric constant are attractive as a foundation for new dielectric media with increased efficiency and range of operation, due to the ability to exploit nanofabrication techniques and emergent electrical properties originating from the nanoscale. However, because the building block is a discrete one-dimensional unit, it becomes a challenge to capture potential enhancements in dielectric performance in two or three dimensions, frequently due to surface effects or the presence of discontinuities. This is a recurring theme in nanoparticle film technology when applied to the realm of thin film semiconductor and device electronics. We present the use of chemically synthesized (Ba,Sr)TiO3 nanocrystals, and a novel deposition-polymerization technique, as a means to fabricate the dielectric layer. The effective dielectric constant of the film is tunable according to nanoparticle size, and effective film dielectric constants of up to 34 are enabled. Wide area and multilayer dielectrics of up to 8 cm(2) and 190 nF are reported, for which the building block is an 8 nm nanocrystal. We describe models for assessing dielectric performance, and distinct methods for improving the dielectric constant of a nanocrystal thin film. The approach relies on evaporatively driven assembly of perovskite nanocrystals with uniform size distributions in a tunable 7-30 nm size range, coupled with the use of low molecular weight monomer/polymer precursor chemistry that can infiltrate the porous nanocrystal thin film network post assembly. The intercrystal void space (low k dielectric volume fraction) is minimized, while simultaneously promoting intercrystal connectivity and maximizing volume fraction of the high k dielectric component. Furfuryl alcohol, which has good affinity to the surface of (Ba,Sr)TiO3 nanocrystals and miscibility with a range of solvents, is demonstrated to be ideal for the production of nanocomposites. The

  18. Temperature behavior of electrical properties of high-k lead-magnesium-niobium titanate thin-films

    Energy Technology Data Exchange (ETDEWEB)

    Chen Wenbin, E-mail: cwb0201@163.com [Electromechanical Engineering College, Guilin University of Electronic Technology (China); McCarthy, Kevin G. [Department of Electrical and Electronic Engineering, University College Cork (Ireland); Copuroglu, Mehmet; O' Brien, Shane; Winfield, Richard; Mathewson, Alan [Tyndall National Institute, University College Cork (Ireland)

    2012-05-01

    This paper reports on the temperature dependence of the electrical properties of high-k lead-magnesium-niobium titanate thin films processed with different compositions (with and without nanoparticles) and with different annealing temperatures (450 Degree-Sign C and 750 Degree-Sign C). These characterization results support the ongoing investigation of the material's electrical properties which are necessary before the dielectric can be used in silicon-based IC applications.

  19. Adjustable threshold-voltage in all-inkjet-printed organic thin film transistor using double-layer dielectric structures

    International Nuclear Information System (INIS)

    Wu, Wen-Jong; Lee, Chang-Hung; Hsu, Chun-Hao; Yang, Shih-Hsien; Lin, Chih-Ting

    2013-01-01

    An all-inkjet-printed organic thin film transistor (OTFT) with a double-layer dielectric structure is proposed and implemented in this study. By using the double-layer structure with different dielectric materials (i.e., polyvinylphenol with poly(vinylidene fluoride-co-hexafluoropropylene)), the threshold-voltage of OTFT can be adjusted. The threshold-voltage shift can be controlled by changing the composition of dielectric layers. That is, an enhancement-mode OTFT can be converted to a depletion-mode OTFT by selectively printing additional dielectric layers to form a high-k/low-k double-layer structure. The printed OTFT has a carrier mobility of 5.0 × 10 −3 cm 2 /V-s. The threshold-voltages of the OTFTs ranged between − 13 V and 10 V. This study demonstrates an additional design parameter for organic electronics manufactured using inkjet printing technology. - Highlights: • A double-layer dielectric organic thin film transistor, OTFT, is implemented. • The threshold voltage of OTFT can be configured by the double dielectric structure. • The composition of the dielectric determines the threshold voltage shift. • The characteristics of OTFTs can be adjusted by double dielectric structures

  20. New theory of effective work functions at metal/high-k dielectric interfaces : application to metal/high-k HfO2 and la2O 3 dielectric interfaces

    OpenAIRE

    Shiraishi, Kenji; Nakayama, Takashi; Akasaka, Yasushi; Miyazaki, Seiichi; Nakaoka, Takashi; Ohmori, Kenji; Ahmet, Parhat; Torii, Kazuyoshi; Watanabe, Heiji; Chikyow, Toyohiro; Nara, Yasuo; Iwai, Hiroshi; Yamada, Keisaku

    2006-01-01

    We have constructed a universal theory of the work functions at metal/high-k HfO2 and La2O3 dielectric interfaces by introducing a new concept of generalized charge neutrality levels. Our theory systematically reproduces the experimentally observed work functions of various gate metals on Hf-based high-k dielectrics, including the hitherto unpredictable behaviors of the work functions of p-metals. Our new concept provides effective guiding principles to achieving near-bandedge work functions ...

  1. Pentacene-Based Thin Film Transistor with Inkjet-Printed Nanocomposite High-K Dielectrics

    Directory of Open Access Journals (Sweden)

    Chao-Te Liu

    2012-01-01

    Full Text Available The nanocomposite gate insulating film of a pentacene-based thin film transistor was deposited by inkjet printing. In this study, utilizing the pearl miller to crumble the agglomerations and the dispersant to well stabilize the dispersion of nano-TiO2 particles in the polymer matrix of the ink increases the dose concentration for pico-jetting, which could be as the gate dielectric film made by inkjet printing without the photography process. Finally, we realized top contact pentacene-TFTs and successfully accomplished the purpose of directly patternability and increase the performance of the device based on the nanocomposite by inkjet printing. These devices exhibited p-channel TFT characteristics with a high field-effect mobility (a saturation mobility of ̃0.58 cm2 V−1 s−1, a large current ratio (>103 and a low operation voltage (<6 V. Furthermore, we accorded the deposited mechanisms which caused the interface difference between of inkjet printing and spin coating. And we used XRD, SEM, Raman spectroscopy to help us analyze the transfer characteristics of pentacene films and the performance of OTFTs.

  2. Future directions of positron annihilation spectroscopy in low-k dielectric films

    International Nuclear Information System (INIS)

    Gidley, D.W.; Vallery, R.S.; Liu, M.; Peng, H.G.

    2007-01-01

    Positronium Annihilation Lifetime Spectroscopy (PALS) has become recognized in the microelectronics industry as one of only several methods capable of quantitatively characterizing engineered nanopores in next-generation (k < 2.2) interlayer dielectric (ILD) thin films. Successes and shortcomings of PALS to date will be assessed and compared with other methods of porosimetry such as ellipsometric and X-ray porosimetries (EP and XRP). A major theme in future low-k research focuses on the ability to integrate porous ILD's into chip fabrication; the vulnerability of porous dielectrics to etching, ashing, and chemical-mechanical polishing in process integration is delaying the introduction of ultra-low-k films. As device size approaches 45 nm the need to probe very small (sub-nanometer), semi-isolated pores beneath thin diffusion barriers is even more challenging. Depth-profiled PALS with its ability to determine a quantitative pore interconnection length and easily resolve 0.3 nm pores beneath diffusion barriers or in trench-patterned dielectrics should have a bright future in porous ILD research. The ability of PALS (and PAS in general) to deduce evolution and growth of pores with porosity should find broad applicability in the emerging field of high performance materials with strategically engineered nanopores. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high- k metal gate NMOSFET with kMC TDDB simulations

    International Nuclear Information System (INIS)

    Xu Hao; Yang Hong; Luo Wei-Chun; Xu Ye-Feng; Wang Yan-Rong; Tang Bo; Wang Wen-Wu; Qi Lu-Wei; Li Jun-Feng; Yan Jiang; Zhu Hui-Long; Zhao Chao; Chen Da-Peng; Ye Tian-Chun

    2016-01-01

    The thickness effect of the TiN capping layer on the time dependent dielectric breakdown (TDDB) characteristic of ultra-thin EOT high- k metal gate NMOSFET is investigated in this paper. Based on experimental results, it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer. From the charge pumping measurement and secondary ion mass spectroscopy (SIMS) analysis, it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density. In addition, the influences of interface and bulk trap density ratio N it / N ot are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo (kMC) method. The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. (paper)

  4. Low operating voltage InGaZnO thin-film transistors based on Al{sub 2}O{sub 3} high-k dielectrics fabricated using pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K. [Qingdao University, Qingdao (China); DongEui University, Busan (Korea, Republic of); Lee, W. J.; Shin, B. C. [DongEui University, Busan (Korea, Republic of); Cho, C. R. [Pusan National University, Busan (Korea, Republic of)

    2014-05-15

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al{sub 2}O{sub 3} dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al{sub 2}O{sub 3} and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al{sub 2}O{sub 3} gate dielectric exhibits a very low leakage current density of 1.3 x 10{sup -8} A/cm{sup 2} at 5 V and a high capacitance density of 60.9 nF/cm{sup 2}. The IGZO TFT with a structure of Ni/IGZO/Al{sub 2}O{sub 3}/Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm{sup 2}V{sup -1}s{sup -1}, an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10{sup 7}.

  5. Dielectric and piezoelectric properties of lead-free (Bi,Na)TiO3-based thin films

    Science.gov (United States)

    Abazari, M.; Safari, A.; Bharadwaja, S. S. N.; Trolier-McKinstry, S.

    2010-02-01

    Dielectric and piezoelectric properties of morphotropic phase boundary (Bi,Na)TiO3-(Bi,K)TiO3-BaTiO3 epitaxial thin films deposited on SrRuO3 coated SrTiO3 substrates were reported. Thin films of 350 nm thickness exhibited small signal dielectric permittivity and loss tangent values of 750 and 0.15, respectively, at 1 kHz. Ferroelectric hysteresis measurements indicated a remanent polarization value of 30 μC/cm2 with a coercive field of 85-100 kV/cm. The thin film transverse piezoelectric coefficient (e31,f) of these films after poling at 600 kV/cm was found to be -2.2 C/m2. The results indicate that these BNT-based thin films are a potential candidate for lead-free piezoelectric devices.

  6. Dielectric Properties of Cd1-xZnxSe Thin Film Semiconductors

    International Nuclear Information System (INIS)

    Wahab, L.A.; Farrag, A.A.; Zayed, H.A.

    2012-01-01

    Cd 1-x Zn x Se (x=0, 0.5 and 1) thin films of thickness 300 nm have been deposited on highly cleaned glass substrates (Soda-lime glass) by thermal evaporation technique under pressure 10-5 Torr. The crystal structure, lattice parameters and grain size were determined from X-ray diffraction patterns of these films. The dielectric response and ac conductivity of the films are investigated in the frequency range from 80 Hz to 5 MHz and temperature range from 300 K to 420 K. AC conductivity increases linearly with the frequency according to the power relation σ a c (ψ)=A (ψ) s . The dielectric constant and loss show low values at high frequencies. The relaxation time t, resistance R and capacitance C were calculated from Nyquist diagram. The behavior can be modeled by an equivalent parallel RC circuit.

  7. Modeling of leakage currents in high-k dielectrics

    International Nuclear Information System (INIS)

    Jegert, Gunther Christian

    2012-01-01

    Leakage currents are one of the major bottlenecks impeding the downscaling efforts of the semiconductor industry. Two core devices of integrated circuits, the transistor and, especially, the DRAM storage capacitor, suffer from the increasing loss currents. In this perspective a fundamental understanding of the physical origin of these leakage currents is highly desirable. However, the complexity of the involved transport phenomena so far has prevented the development of microscopic models. Instead, the analysis of transport through the ultra-thin layers of high-permittivity (high-k) dielectrics, which are employed as insulating layers, was carried out at an empirical level using simple compact models. Unfortunately, these offer only limited insight into the physics involved on the microscale. In this context the present work was initialized in order to establish a framework of microscopic physical models that allow a fundamental description of the transport processes relevant in high-k thin films. A simulation tool that makes use of kinetic Monte Carlo techniques was developed for this purpose embedding the above models in an environment that allows qualitative and quantitative analyses of the electronic transport in such films. Existing continuum approaches, which tend to conceal the important physics behind phenomenological fitting parameters, were replaced by three-dimensional transport simulations at the level of single charge carriers. Spatially localized phenomena, such as percolation of charge carriers across pointlike defects, being subject to structural relaxation processes, or electrode roughness effects, could be investigated in this simulation scheme. Stepwise a self-consistent, closed transport model for the TiN/ZrO 2 material system, which is of outmost importance for the semiconductor industry, was developed. Based on this model viable strategies for the optimization of TiN/ZrO 2 /TiN capacitor structures were suggested and problem areas that may

  8. Modeling of leakage currents in high-k dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Jegert, Gunther Christian

    2012-03-15

    Leakage currents are one of the major bottlenecks impeding the downscaling efforts of the semiconductor industry. Two core devices of integrated circuits, the transistor and, especially, the DRAM storage capacitor, suffer from the increasing loss currents. In this perspective a fundamental understanding of the physical origin of these leakage currents is highly desirable. However, the complexity of the involved transport phenomena so far has prevented the development of microscopic models. Instead, the analysis of transport through the ultra-thin layers of high-permittivity (high-k) dielectrics, which are employed as insulating layers, was carried out at an empirical level using simple compact models. Unfortunately, these offer only limited insight into the physics involved on the microscale. In this context the present work was initialized in order to establish a framework of microscopic physical models that allow a fundamental description of the transport processes relevant in high-k thin films. A simulation tool that makes use of kinetic Monte Carlo techniques was developed for this purpose embedding the above models in an environment that allows qualitative and quantitative analyses of the electronic transport in such films. Existing continuum approaches, which tend to conceal the important physics behind phenomenological fitting parameters, were replaced by three-dimensional transport simulations at the level of single charge carriers. Spatially localized phenomena, such as percolation of charge carriers across pointlike defects, being subject to structural relaxation processes, or electrode roughness effects, could be investigated in this simulation scheme. Stepwise a self-consistent, closed transport model for the TiN/ZrO{sub 2} material system, which is of outmost importance for the semiconductor industry, was developed. Based on this model viable strategies for the optimization of TiN/ZrO{sub 2}/TiN capacitor structures were suggested and problem areas

  9. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    Science.gov (United States)

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  10. Study of high-k gate dielectrics by means of positron annihilation

    International Nuclear Information System (INIS)

    Uedono, A.; Naito, T.; Otsuka, T.; Ito, K.; Shiraishi, K.; Yamabe, K.; Miyazaki, S.; Watanabe, H.; Umezawa, N.; Hamid, A.; Chikyow, T.; Ohdaira, T.; Suzuki, R.; Ishibashi, S.; Inumiya, S.; Kamiyama, S.; Akasaka, Y.; Nara, Y.; Yamada, K.

    2007-01-01

    High-dielectric constant (high-k) gate materials, such as HfSiO x and HfAlO x , fabricated by atomic-layer-deposition techniques were characterized using monoenergetic positron beams. Measurements of the Doppler broadening spectra of annihilation radiation and the lifetime spectra of positrons indicated that positrons annihilated from the trapped state by open volumes that exist intrinsically in amorphous structures of the films. The size distributions of the open volumes and the local atomic configurations around such volumes can be discussed using positron annihilation parameters, and they were found to correlate with the electrical properties of the films. We confirmed that the positron annihilation is useful technique to characterize the matrix structure of amorphous high-k materials, and can be used to determine process parameters for the fabrication of high-k gate dielectrics. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    International Nuclear Information System (INIS)

    Le Paven, C.; Lu, Y.; Nguyen, H.V.; Benzerga, R.; Le Gendre, L.; Rioual, S.; Benzegoutta, D.; Tessier, F.; Cheviré, F.

    2014-01-01

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO 3 and Pt(111)/TiO 2 /SiO 2 /(001)Si substrates by RF magnetron sputtering, using a La 2 Ti 2 O 7 homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La 2 Ti 2 O 7 films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti 4+ ions, with no trace of Ti 3+ , and provides a La/Ti ratio of 1.02. The depositions being performed from a La 2 Ti 2 O 7 target under oxygen rich plasma, the same composition (La 2 Ti 2 O 7 ) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2 1 space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO 3 substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La 2 Ti 2 O 7 orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La 2 Ti 2 O 7 films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La 2 Ti 2 O 7 chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing

  12. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  13. Structural-optical study of high-dielectric-constant oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, M.M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Luchena, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Capezzuto, P. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Toro, R.G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Malandrino, G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Fragala, I.L. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Nigro, R. Lo [Istituto di Microelettronica e Microsistemi, IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy)

    2006-10-31

    High-k polycrystalline Pr{sub 2}O{sub 3} and amorphous LaAlO{sub 3} oxide thin films deposited on Si(0 0 1) are studied. The microstructure is investigated using X-ray diffraction and scanning electron microscopy. Optical properties are determined in the 0.75-6.5 eV photon energy range using spectroscopic ellipsometry. The polycrystalline Pr{sub 2}O{sub 3} films have an optical gap of 3.86 eV and a dielectric constant of 16-26, which increases with film thickness. Similarly, very thin amorphous LaAlO{sub 3} films have the optical gap of 5.8 eV, and a dielectric constant below 14 which also increases with film thickness. The lower dielectric constant compared to crystalline material is an intrinsic characteristic of amorphous films.

  14. Evolutionary search for new high-k dielectric materials: methodology and applications to hafnia-based oxides.

    Science.gov (United States)

    Zeng, Qingfeng; Oganov, Artem R; Lyakhov, Andriy O; Xie, Congwei; Zhang, Xiaodong; Zhang, Jin; Zhu, Qiang; Wei, Bingqing; Grigorenko, Ilya; Zhang, Litong; Cheng, Laifei

    2014-02-01

    High-k dielectric materials are important as gate oxides in microelectronics and as potential dielectrics for capacitors. In order to enable computational discovery of novel high-k dielectric materials, we propose a fitness model (energy storage density) that includes the dielectric constant, bandgap, and intrinsic breakdown field. This model, used as a fitness function in conjunction with first-principles calculations and the global optimization evolutionary algorithm USPEX, efficiently leads to practically important results. We found a number of high-fitness structures of SiO2 and HfO2, some of which correspond to known phases and some of which are new. The results allow us to propose characteristics (genes) common to high-fitness structures--these are the coordination polyhedra and their degree of distortion. Our variable-composition searches in the HfO2-SiO2 system uncovered several high-fitness states. This hybrid algorithm opens up a new avenue for discovering novel high-k dielectrics with both fixed and variable compositions, and will speed up the process of materials discovery.

  15. Influence of Doping Concentration on Dielectric, Optical, and Morphological Properties of PMMA Thin Films

    Directory of Open Access Journals (Sweden)

    Lyly Nyl Ismail

    2012-01-01

    Full Text Available PMMA thin films were deposited by sol gel spin coating method on ITO substrates. Toluene was used as the solvent to dissolve the PMMA powder. The PMMA concentration was varied from 30 ~ 120 mg. The dielectric properties were measured at frequency of 0 ~ 100 kHz. The dielectric permittivity was in the range of 7.3 to 7.5 which decreased as the PMMA concentration increased. The dielectric loss is in the range of 0.01 ~ –0.01. All samples show dielectric characteristics which have dielectric loss is less than 0.05. The optical properties for thin films were measured at room temperature across 200 ~ 1000 nm wavelength region. All samples are highly transparent. The energy band gaps are in the range of 3.6 eV to 3.9 eV when the PMMA concentration increased. The morphologies of the samples show that all samples are uniform and the surface roughness increased as the concentration increased. From this study, it is known that, the dielectric, optical, and morphology properties were influenced by the amount of PMMA concentration in the solution.

  16. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  17. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a highdielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  18. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  19. Materials science, integration, and performance characterization of high-dielectric constant thin film based devices

    Science.gov (United States)

    Fan, Wei

    To overcome the oxidation and diffusion problems encountered during Copper integration with oxide thin film-based devices, TiAl/Cu/Ta heterostructure has been first developed in this study. Investigation on the oxidation and diffusion resistance of the laminate structure showed high electrical conductance and excellent thermal stability in oxygen environment. Two amorphous oxide layers that were formed on both sides of the TiAl barrier after heating in oxygen have been revealed as the structure that effectively prevents oxygen penetration and protects the integrity of underlying Cu layer. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were subsequently deposited on the Cu-based bottom electrode by RF magnetron sputtering to investigate the interaction between the oxide and Cu layers. The thickness of the interfacial layer and interface roughness play critical roles in the optimization of the electrical performance of the BST capacitors using Cu-based electrode. It was determined that BST deposition at moderate temperature followed by rapid thermal annealing in pure oxygen yields BST/Cu capacitors with good electrical properties for application to high frequency devices. The knowledge obtained on the study of barrier properties of TiAl inspired a continuous research on the materials science issues related to the application of the hybrid TiAlOx, as high-k gate dielectric in MOSFET devices. Novel fabrication process such as deposition of ultra-thin TiAl alloy layer followed by oxidation with atomic oxygen has been established in this study. Stoichiometric amorphous TiAlOx layers, exhibiting only Ti4+ and Al3+ states, were produced with a large variation of oxidation temperature (700°C to room temperature). The interfacial SiOx formation between TiAlOx and Si was substantially inhibited by the use of the low temperature oxidation process. Electrical characterization revealed a large permittivity of 30 and an improved band structure for the produced TiAlOx layers

  20. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    Energy Technology Data Exchange (ETDEWEB)

    Le Paven, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Lu, Y. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Nguyen, H.V. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); CEA LETI, Minatec Campus, 38054 Grenoble (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Rioual, S. [Laboratoire de Magnétisme de Brest (EA CNRS 4522), Université de Bretagne Occidentale, 29000 Brest (France); Benzegoutta, D. [Institut des Nanosciences de Paris (INSP, UMR CNRS 7588), Université Pierre et Marie Curie, 75005 Paris (France); Tessier, F.; Cheviré, F. [Institut des Sciences Chimiques de Rennes (ISCR, UMR-CNRS 6226), Equipe Verres et Céramiques, Université de Rennes 1, 35000 Rennes (France); and others

    2014-02-28

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO{sub 3} and Pt(111)/TiO{sub 2}/SiO{sub 2}/(001)Si substrates by RF magnetron sputtering, using a La{sub 2}Ti{sub 2}O{sub 7} homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La{sub 2}Ti{sub 2}O{sub 7} films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti{sup 4+} ions, with no trace of Ti{sup 3+}, and provides a La/Ti ratio of 1.02. The depositions being performed from a La{sub 2}Ti{sub 2}O{sub 7} target under oxygen rich plasma, the same composition (La{sub 2}Ti{sub 2}O{sub 7}) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2{sub 1} space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO{sub 3} substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La{sub 2}Ti{sub 2}O{sub 7} orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La{sub 2}Ti{sub 2}O{sub 7} films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La{sub 2}Ti{sub 2}O{sub 7} chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing.

  1. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  2. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  3. Electrode/Dielectric Strip For High-Energy-Density Capacitor

    Science.gov (United States)

    Yen, Shiao-Ping S.

    1994-01-01

    Improved unitary electrode/dielectric strip serves as winding in high-energy-density capacitor in pulsed power supply. Offers combination of qualities essential for high energy density: high permittivity of dielectric layers, thinness, and high resistance to breakdown of dielectric at high electric fields. Capacitors with strip material not impregnated with liquid.

  4. Investigation of 6T SRAM memory circuit using high-k dielectrics based nano scale junctionless transistor

    Science.gov (United States)

    Charles Pravin, J.; Nirmal, D.; Prajoon, P.; Mohan Kumar, N.; Ajayan, J.

    2017-04-01

    In this paper the Dual Metal Surround Gate Junctionless Transistor (DMSGJLT) has been implemented with various high-k dielectric. The leakage current in the device is analysed in detail by obtaining the band structure for different high-k dielectric material. It is noticed that with increasing dielectric constant the device provides more resistance for the direct tunnelling of electron in off state. The gate oxide capacitance also shows 0.1 μF improvement with Hafnium Oxide (HfO2) than Silicon Oxide (SiO2). This paved the way for a better memory application when high-k dielectric is used. The Six Transistor (6T) Static Random Access Memory (SRAM) circuit implemented shows 41.4% improvement in read noise margin for HfO2 than SiO2. It also shows 37.49% improvement in write noise margin and 30.16% improvement in hold noise margin for HfO2 than SiO2.

  5. The Electrical Breakdown of Thin Dielectric Elastomers

    DEFF Research Database (Denmark)

    Zakaria, Shamsul Bin; Morshuis, Peter H. F.; Yahia, Benslimane Mohamed

    2014-01-01

    Dielectric elastomers are being developed for use in actuators, sensors and generators to be used in various applications, such as artificial eye lids, pressure sensors and human motion energy generators. In order to obtain maximum efficiency, the devices are operated at high electrical fields....... This increases the likelihood for electrical breakdown significantly. Hence, for many applications the performance of the dielectric elastomers is limited by this risk of failure, which is triggered by several factors. Amongst others thermal effects may strongly influence the electrical breakdown strength....... In this study, we model the electrothermal breakdown in thin PDMS based dielectric elastomers in order to evaluate the thermal mechanisms behind the electrical failures. The objective is to predict the operation range of PDMS based dielectric elastomers with respect to the temperature at given electric field...

  6. Band Alignment and Optical Properties of (ZrO20.66(HfO20.34 Gate Dielectrics Thin Films on p-Si (100

    Directory of Open Access Journals (Sweden)

    Dahlang Tahir

    2011-11-01

    Full Text Available (ZrO20.66(HfO20.34 dielectric films on p-Si (100 were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gaps were obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for (ZrO20.66(HfO20.34 dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of ZrO2. In addition, The dielectric function ε (k, ω, index of refraction n and the extinction coefficient k for the (ZrO20.66(HfO20.34 thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-ε (k,ω-REELS software package. These optical properties are similar with ZrO2 dielectric thin films.

  7. Cellulose triacetate, thin film dielectric capacitor

    Science.gov (United States)

    Yen, Shiao-Ping S. (Inventor); Jow, T. Richard (Inventor)

    1995-01-01

    Very thin films of cellulose triacetate are cast from a solution containing a small amount of high boiling temperature, non-solvent which evaporates last and lifts the film from the casting surface. Stretched, oriented, crystallized films have high electrical breakdown properties. Metallized films less than about 2 microns in thickness form self-healing electrodes for high energy density, pulsed power capacitors. Thicker films can be utilized as a dielectric for a capacitor.

  8. High energy density capacitors fabricated by thin film technology

    International Nuclear Information System (INIS)

    Barbee, T W; Johnson, G W; Wagner, A V.

    1999-01-01

    Low energy density in conventional capacitors severely limits efforts to miniaturize power electronics and imposes design limitations on electronics in general. We have successfully applied physical vapor deposition technology to greatly increase capacitor energy density. The high dielectric breakdown strength we have achieved in alumina thin films allows high energy density to be achieved with this moderately low dielectric constant material. The small temperature dependence of the dielectric constant, and the high reliability, high resistivity, and low dielectric loss of Al 2 O 3 , make it even more appealing. We have constructed single dielectric layer thin film capacitors and shown that they can be stacked to form multilayered structures with no loss in yield for a given capacitance. Control of film growth morphology is critical for achieving the smooth, high quality interfaces between metal and dielectric necessary for device operation at high electric fields. Most importantly, high rate deposition with extremely low particle generation is essential for achieving high energy storage at a reasonable cost. This has been achieved by reactive magnetron sputtering in which the reaction to form the dielectric oxide has been confined to the deposition surface. By this technique we have achieved a yield of over 50% for 1 cm 2 devices with an energy density of 14 J per cubic centimeter of Al 2 O 3 dielectric material in 1.2 kV, 4 nF devices. By further reducing defect density and increasing the dielectric constant of the material, we will be able to increase capacitance and construct high energy density devices to meet the requirements of applications in power electronics

  9. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  10. Dielectric relaxation of barium strontium titanate and application to thin films for DRAM capacitors

    Science.gov (United States)

    Baniecki, John David

    This thesis examines the issues associated with incorporating the high dielectric constant material Barium Strontium Titanate (BSTO) in to the storage capacitor of a dynamic random access memory (DRAM). The research is focused on two areas: characterizing and understanding the factors that control charge retention in BSTO thin films and modifying the electrical properties using ion implantation. The dielectric relaxation of BSTO thin films deposited by metal-organic chemical vapor deposition (MOCVD) is investigated in the time and frequency domains. It is shown that the frequency dispersion of the complex capacitance of BSTO thin films can be understood in terms of a power-law frequency dependence from 1mHz to 20GHz. From the correspondence between the time and frequency domain measurements, it is concluded that the power-law relaxation currents extend back to the nano second regime of DRAM operation. The temperature, field, and annealing dependence of the dielectric relaxation currents are also investigated and mechanisms for the observed power law relaxation are explored. An equivalent circuit model of a high dielectric constant thin film capacitor is developed based on the electrical measurements and implemented in PSPICE. Excellent agreement is found between the experimental and simulated electrical characteristics showing the utility of the equivalent circuit model in simulating the electrical properties of high dielectric constant thin films. Using the equivalent circuit model, it is shown that the greatest charge loss due to dielectric relaxation occurs during the first read after a refresh time following a write to the opposite logic state for a capacitor that has been written to the same logic state for a long time (opposite state write charge loss). A theoretical closed form expression that is a function of three material parameters is developed which estimates the opposite state write charge loss due to dielectric relaxation. Using the closed form

  11. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  12. FDTD simulations and analysis of thin sample dielectric properties measurements using coaxial probes

    Energy Technology Data Exchange (ETDEWEB)

    Bringhurst, S.; Iskander, M.F.; White, M.J. [Univ. of Utah, Salt Lake City, UT (United States). Electrical Engineering Dept.

    1996-12-31

    A metallized ceramic probe has been designed for high temperature broadband dielectric properties measurements. The probe was fabricated out of an alumina tube and rod as the outer and inner conductors respectively. The alumina was metallized with a 3 mil layer of moly-manganese and then covered with a 0.5 mil protective layer of nickel plating. The probe has been used to make complex dielectric properties measurements over the complete frequency band from 500 MHz to 3 GHz, and for temperatures as high as 1,000 C. A 3D Finite-Difference Time-Domain (FDTD) code was used to help investigate the feasibility of this probe to measure the complex permittivity of thin samples. It is shown that by backing the material under test with a standard material of known dielectric constant, the complex permittivity of thin samples can be measured accurately using the developed FDTD algorithm. This FDTD procedure for making thin sample dielectric properties measurements will be described.

  13. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a highdielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  14. Pulsed EM Field Response of a Thin, High-Contrast, Finely Layered Structure With Dielectric and Conductive Properties

    NARCIS (Netherlands)

    De Hoop, A.T.; Jiang, L.

    2009-01-01

    The response of a thin, high-contrast, finely layered structure with dielectric and conductive properties to an incident, pulsed, electromagnetic field is investigated theoretically. The fine layering causes the standard spatial discretization techniques to solve Maxwell's equations numerically to

  15. Microstructure and chemical analysis of Hf-based high-k dielectric layers in metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Thangadurai, P. [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Mikhelashvili, V.; Eisenstein, G. [Department of Electrical Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Kaplan, W.D., E-mail: kaplan@tx.technion.ac.i [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel)

    2010-05-31

    The microstructure and chemistry of the high-k gate dielectric significantly influences the performance of metal-insulator-metal (MIM) and metal-oxide-semiconductor devices. In particular, the local structure, chemistry, and inter-layer mixing are important phenomena to be understood. In the present study, high resolution and analytical transmission electron microscopy are combined to study the local structure, morphology, and chemistry in MIM capacitors containing a Hf-based high-k dielectric. The gate dielectric, bottom and gate electrodes were deposited on p-type Si(100) wafers by electron beam evaporation. Four chemically distinguishable sub-layers were identified within the dielectric stack. One is an unintentionally formed 4.0 nm thick interfacial layer of Ta{sub 2}O{sub 5} at the interface between the Ta electrode and the dielectric. The other three layers are based on HfN{sub x}O{sub y} and HfTiO{sub y}, and intermixing between the nearby sub-layers including deposited SiO{sub 2}. Hf-rich clusters were found in the HfN{sub x}O{sub y} layer adjacent to the Ta{sub 2}O{sub 5} layer.

  16. Dielectric Scattering Patterns for Efficient Light Trapping in Thin-Film Solar Cells.

    Science.gov (United States)

    van Lare, Claire; Lenzmann, Frank; Verschuuren, Marc A; Polman, Albert

    2015-08-12

    We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.

  17. Dielectric properties of electron irradiated PbZrO 3 thin films

    Indian Academy of Sciences (India)

    The present paper deals with the study of the effects of electron (8 MeV) irradiation on the dielectric and ferroelectric properties of PbZrO3 thin films grown by sol–gel technique. The films were (0.62 m thick) subjected to electron irradiation using Microtron accelerator (delivered dose 80, 100, 120 kGy). The films were well ...

  18. Ultra-thin Metal and Dielectric Layers for Nanophotonic Applications

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Leandro, Lorenzo; Malureanu, Radu

    2015-01-01

    In our talk we first give an overview of the various thin films used in the field of nanophotonics. Then we describe our own activity in fabrication and characterization of ultra-thin films of high quality. We particularly focus on uniform gold layers having thicknesses down to 6 nm fabricated by......-beam deposition on dielectric substrates and Al-oxides/Ti-oxides multilayers prepared by atomic layer deposition in high aspect ratio trenches. In the latter case we show more than 1:20 aspect ratio structures can be achieved....

  19. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric.

    Science.gov (United States)

    Fujii, Mami N; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-12-18

    The use of indium-gallium-zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic-inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic-inorganic hybrid devices.

  20. Ferroelectricity, Piezoelectricity, and Dielectricity of 0.06PMnN-0.94PZT(45/55 Thin Film on Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Tao Zhang

    2015-01-01

    Full Text Available The high piezoelectricity and high quality factor ferroelectric thin films are important for electromechanical applications especially the micro electromechanical system (MEMS. The ternary compound ferroelectric thin films 0.06Pb(Mn1/3, Nb2/3O3 + 0.94Pb(Zr0.45, Ti0.55O3 (0.06PMnN-0.94PZT(45/55 were deposited on silicon(100 substrates by RF magnetron sputtering method considering that Mn and Nb doping will improve PZT properties in this research. For comparison, nondoped PZT(45/55 films were also deposited. The results show that both of thin films show polycrystal structures with the main (111 and (101 orientations. The transverse piezoelectric coefficients are e31,eff=−4.03 C/m2 and e31,eff=-3.5 C/m2, respectively. These thin films exhibit classical ferroelectricity, in which the coercive electric field intensities are 2Ec=147.31 kV/cm and 2Ec=135.44 kV/cm, and the saturation polarization Ps=30.86 μC/cm2 and Ps=17.74 μC/cm2, and the remnant polarization Pr=20.44 μC/cm2 and Pr=9.87 μC/cm2, respectively. Moreover, the dielectric constants and loss are εr=681 and D=5% and εr=537 and D=4.3%, respectively. In conclusion, 0.06PMnN-0.94PZT(45/55 thin films act better than nondoped films, even though their dielectric constants are higher. Their excellent ferroelectricity, piezoelectricity, and high power and energy storage property, especially the easy fabrication, integration realizable, and potentially high quality factor, make this kind of thin films available for the realistic applications.

  1. Structural and dielectric characterization of sputtered Tantalum Titanium Oxide thin films for high temperature capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A., E-mail: rouahi_ahlem@yahoo.fr [Univ. Grenoble Alpes, G2Elab, F-38000 (France); Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Challali, F. [Laboratoire des Sciences des Procédés et des Matériaux (LSPM)-CNRS-UPR3407, Université Paris13, 99 Avenue Jean-Baptiste Clément, 93430, Villetaneuse (France); Dakhlaoui, I. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Vallée, C. [CNRS, LTM, CEA-LETI, F-38000 Grenoble (France); Salimy, S. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Jomni, F.; Yangui, B. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Besland, M.P.; Goullet, A. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Sylvestre, A. [Univ. Grenoble Alpes, G2Elab, F-38000 (France)

    2016-05-01

    In this study, the dielectric properties of metal-oxide-metal capacitors based on Tantalum Titanium Oxide (TiTaO) thin films deposited by reactive magnetron sputtering on aluminum bottom electrode are investigated. The structure of the films was characterized by Atomic Force Microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The dielectric properties of TiTaO thin films were studied by complex impedance spectroscopy over a wide frequency range (10{sup -2} - to 10{sup 5} Hz) and temperatures in -50 °C to 325 °C range. The contributions of different phases, phases’ boundaries and conductivity effect were highlighted by Cole – Cole diagram (ε” versus ε’). Two relaxation processes have been identified in the electric modulus plot. A first relaxation process appears at low temperature with activation energy of 0.37 eV and it is related to the motion of Ti{sup 4+} (Skanavi’s model). A second relaxation process at high temperature is related to Maxwell-Wagner-Sillars relaxation with activation energy of 0.41 eV. - Highlights: • Titanium Tantalum Oxide thin films are grown on Aluminum substrate. • The existence of phases was confirmed by X-ray photoelectron spectroscopy. • Conductivity effect appears in Cole-Cole plot. • At low temperatures, a relaxation phenomenon obeys to Skanavi’s model. • Maxwell-Wagner-Sillars polarization is processed at high temperatures.

  2. Stimulated Raman gain scattering in thin planar dielectric waveguides

    NARCIS (Netherlands)

    Kanger, Johannes S.; Otto, Cornelis; Greve, Jan

    1995-01-01

    The stimulated Raman gain effect in planar dielectric waveguides is analyzed for the study of thin layers. Calculations show high gain factors and predict the possibility of detecting monolayers. Compared with those for methods based on ref lection, the gain can be 4 orders of magnitude higher for a

  3. Dielectric response of fully and partially depleted ferroelectric thin films and inversion of the thickness effect

    International Nuclear Information System (INIS)

    Misirlioglu, I B; Yildiz, M

    2013-01-01

    We study the effect of full and partial depletion on the dielectric response characteristics of ferroelectric thin films with impurities via a computational approach. Using a thermodynamic approach along with the fundamental equations for semiconductors, we show that films with partial depletion display unique features and an enhanced dielectric response compared with those fully depleted. We find that the capacitance peak at switching can be significantly suppressed in the case of high impurity densities (>10 25 m −3 ) with relatively low ionization energy, of the order of 0.5 eV. For conserved number of species in films, electromigration of ionized impurities at room temperature is negligible and has nearly no effect on the dielectric response. In films with high impurity density, the dielectric response at zero bias is enhanced with respect to charge-free films or those with relatively low impurity density ( 24 m −3 ). We demonstrate that partially depleted films should be expected to exhibit peculiar capacitance–voltage characteristics at low and high bias and that the thickness effect probed in experiments in ferroelectric thin films could be entirely inverted in thin films with depletion charges where a higher dielectric response can be measured in thicker films. Therefore, depletion charge densities in ferroelectric thin films should be estimated before size-effect-related studies. Finally, we noted that these findings are in good qualitative agreement with dielectric measurements carried out on PbZr x Ti 1−x O 3 . (paper)

  4. Relaxorlike dielectric behavior in Ba0.7Sr0.3TiO3 thin films

    Science.gov (United States)

    Zednik, Ricardo J.; McIntyre, Paul C.; Baniecki, John D.; Ishii, Masatoshi; Shioga, Takeshi; Kurihara, Kazuaki

    2007-03-01

    We present the results of a systematic dielectric study for sputter deposited barium strontium titanate thin film planar capacitors measured over a wide temperature range of 20-575K for frequencies between 1kHz and 1MHz. Our observations of dielectric loss peaks in the temperature and frequency domains cannot be understood in the typical framework of intrinsic phonon losses. We find that the accepted phenomenological Curie-von Schweidler dielectric behavior (universal relaxation law) in our barium strontium titanate films is only applicable over a narrow temperature range. An excellent fit to the Vogel-Fulcher expression suggests relaxorlike behavior in these films. The activation energy of the observed phenomenon suggests that oxygen ion motion play a role in the apparent relaxor behavior, although further experimental work is required to test this hypothesis.

  5. Structural, dielectric and ferroelectric characterization of PZT thin films

    Directory of Open Access Journals (Sweden)

    Araújo E.B.

    1999-01-01

    Full Text Available In this work ferroelectric thin films of PZT were prepared by the oxide precursor method, deposited on Pt/Si substrate. Films of 0.5 mm average thickness were obtained. Electrical and ferroelectric characterization were carried out in these films. The measured value of the dielectric constant for films was 455. Ferroelectricity was confirmed by Capacitance-Voltage (C-V characteristics and P-E hysteresis loops. Remanent polarization for films presented value around 5.0 µC/cm2 and a coercive field of 88.8 kV/cm.

  6. A threshold-voltage model for small-scaled GaAs nMOSFET with stacked high-k gate dielectric

    International Nuclear Information System (INIS)

    Liu Chaowen; Xu Jingping; Liu Lu; Lu Hanhan; Huang Yuan

    2016-01-01

    A threshold-voltage model for a stacked high-k gate dielectric GaAs MOSFET is established by solving a two-dimensional Poisson's equation in channel and considering the short-channel, DIBL and quantum effects. The simulated results are in good agreement with the Silvaco TCAD data, confirming the correctness and validity of the model. Using the model, impacts of structural and physical parameters of the stack high-k gate dielectric on the threshold-voltage shift and the temperature characteristics of the threshold voltage are investigated. The results show that the stacked gate dielectric structure can effectively suppress the fringing-field and DIBL effects and improve the threshold and temperature characteristics, and on the other hand, the influence of temperature on the threshold voltage is overestimated if the quantum effect is ignored. (paper)

  7. Ferroelectric dielectrics integrated on silicon

    CERN Document Server

    Defay, Emmanuel

    2013-01-01

    This book describes up-to-date technology applied to high-K materials for More Than Moore applications, i.e. microsystems applied to microelectronics core technologies.After detailing the basic thermodynamic theory applied to high-K dielectrics thin films including extrinsic effects, this book emphasizes the specificity of thin films. Deposition and patterning technologies are then presented. A whole chapter is dedicated to the major role played in the field by X-Ray Diffraction characterization, and other characterization techniques are also described such as Radio frequency characterizat

  8. Ultralow-k nanoporous organosilicate dielectric films imprinted with dendritic spheres.

    Science.gov (United States)

    Lee, Byeongdu; Park, Young-Hee; Hwang, Yong-Taek; Oh, Weontae; Yoon, Jinhwan; Ree, Moonhor

    2005-02-01

    Integrated circuits that have improved functionality and speed in a smaller package and that consume less power are desired by the microelectronics industry as well as by end users, to increase device performance and reduce costs. The fabrication of high-performance integrated circuits requires the availability of materials with low or ultralow dielectric constant (low-k: k noise in interconnect conductors, but also minimize power dissipation by reducing the capacitance between the interconnects. Here we describe the preparation of low- and ultralow-k nanoporous organosilicate dielectrics from blends of polymethylsilsesquioxane (PMSSQ) precursor with globular ethyl acrylate-terminated polypropylenimine dendrimers, which act as porogens. These dendrimers are found to mix well with the PMSSQ precursor and after their sacrificial thermal decompositions result in closed, spherical pores of <2.0 nm radius with a very narrow distribution even at high loading. This pore size and distribution are the smallest and the narrowest respectively ever achieved in porous spin-on dielectrics. The method therefore successfully delivers low- and ultralow-k PMSSQ dielectric films that should prove very useful in advanced integrated circuits.

  9. Tungsten trioxide as high-{kappa} gate dielectric for highly transparent and temperature-stable zinc-oxide-based thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Michael; Wenckstern, Holger von; Grundmann, Marius [Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Institut fuer Experimentelle Physik II, Linnestr. 5, 04103 Leipzig (Germany)

    2012-07-01

    We demonstrate metal-insulator-semiconductor field-effect transistors with high-{kappa}, room-temperature deposited, highly transparent tungsten trioxide (WO{sub 3}) as gate dielectric. The channel material consists of a zinc oxide (ZnO) thin-film. The transmittance and resistivity of WO{sub 3} films was tuned in order to obtain a highly transparent and insulating WO{sub 3} dielectric. The devices were processed by standard photolithography using lift-off technique. On top of the WO{sub 3} dielectric a highly transparent and conductive oxide consisting of ZnO: Al 3% wt. was deposited. The gate structure of the devices exhibits an average transmittance in the visible spectral range of 86%. The on/off-current ratio is larger than 10{sup 8} with off- and gate leakage-currents below 3 x 10{sup -8} A/cm{sup 2}. Due to the high relative permittivity of {epsilon}{sub r} {approx} 70, a gate voltage sweep of only 2 V is necessary to turn the transistor on and off with a minimum subthreshold swing of 80 mV/decade. The channel mobility of the transistors equals the Hall-effect mobility with a value of 5 cm{sup 2}/Vs. It is furthermore shown, that the devices are stable up to operating temperatures of at least 150 C.

  10. Bias Stability Enhancement in Thin-Film Transistor with a Solution-Processed ZrO2 Dielectric as Gate Insulator

    Directory of Open Access Journals (Sweden)

    Shangxiong Zhou

    2018-05-01

    Full Text Available In this paper, a high-k metal-oxide film (ZrO2 was successfully prepared by a solution-phase method, and whose physical properties were measured by X-ray diffraction (XRD, X-ray reflectivity (XRR and atomic force microscopy (AFM. Furthermore, indium–gallium–zinc oxide thin-film transistors (IGZO-TFTs with high-k ZrO2 dielectric layers were demonstrated, and the electrical performance and bias stability were investigated in detail. By spin-coating 0.3 M precursor six times, a dense ZrO2 film, with smoother surface and fewer defects, was fabricated. The TFT devices with optimal ZrO2 dielectric exhibit a saturation mobility up to 12.7 cm2 V−1 s−1, and an on/off ratio as high as 7.6 × 105. The offset of the threshold voltage was less than 0.6 V under positive and negative bias stress for 3600 s.

  11. High temperature polymer film dielectrics for aerospace power conditioning capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Venkat, Narayanan, E-mail: venkats3@gmail.co [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Dang, Thuy D. [Air Force Research Laboratory-Nanostructured and Biological Materials Branch (AFRL/RXBN) (United States); Bai Zongwu; McNier, Victor K. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); DeCerbo, Jennifer N. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States); Tsao, B.-H. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Stricker, Jeffery T. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States)

    2010-04-15

    Polymer dielectrics are the preferred materials of choice for capacitive energy-storage applications because of their potential for high dielectric breakdown strengths, low dissipation factors and good dielectric stability over a wide range of frequencies and temperatures, despite having inherently lower dielectric constants relative to ceramic dielectrics. They are also amenable to large area processing into films at a relatively lower cost. Air Force currently has a strong need for the development of compact capacitors which are thermally robust for operation in a variety of aerospace power conditioning applications. While such applications typically use polycarbonate (PC) dielectric films in wound capacitors for operation from -55 deg. C to 125 deg. C, future power electronic systems would require the use of polymer dielectrics that can reliably operate up to elevated temperatures in the range of 250-350 deg. C. The focus of this research is the generation and dielectric evaluation of metallized, thin free-standing films derived from high temperature polymer structures such as fluorinated polybenzoxazoles, post-functionalized fluorinated polyimides and fluorenyl polyesters incorporating diamond-like hydrocarbon units. The discussion is centered mainly on variable temperature dielectric measurements of film capacitance and dissipation factor and the effects of thermal cycling, up to a maximum temperature of 350 deg. C, on film dielectric performance. Initial studies clearly point to the dielectric stability of these films for high temperature power conditioning applications, as indicated by their relatively low temperature coefficient of capacitance (TCC) (approx2%) over the entire range of temperatures. Some of the films were also found to exhibit good dielectric breakdown strengths (up to 470 V/mum) and a film dissipation factor of the order of <0.003 (0.3%) at the frequency of interest (10 kHz) for the intended applications. The measured relative dielectric

  12. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  13. A threshold-voltage model for small-scaled GaAs nMOSFET with stacked high-k gate dielectric

    Science.gov (United States)

    Chaowen, Liu; Jingping, Xu; Lu, Liu; Hanhan, Lu; Yuan, Huang

    2016-02-01

    A threshold-voltage model for a stacked high-k gate dielectric GaAs MOSFET is established by solving a two-dimensional Poisson's equation in channel and considering the short-channel, DIBL and quantum effects. The simulated results are in good agreement with the Silvaco TCAD data, confirming the correctness and validity of the model. Using the model, impacts of structural and physical parameters of the stack high-k gate dielectric on the threshold-voltage shift and the temperature characteristics of the threshold voltage are investigated. The results show that the stacked gate dielectric structure can effectively suppress the fringing-field and DIBL effects and improve the threshold and temperature characteristics, and on the other hand, the influence of temperature on the threshold voltage is overestimated if the quantum effect is ignored. Project supported by the National Natural Science Foundation of China (No. 61176100).

  14. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.

    2013-07-18

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  15. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  16. Influence of stress on the structural and dielectric properties of rf magnetron sputtered zinc oxide thin film

    Science.gov (United States)

    Menon, Rashmi; Sreenivas, K.; Gupta, Vinay

    2008-05-01

    Highly c axis oriented zinc oxide (ZnO) thin films have been prepared on 1737 Corning glass substrate by planar rf magnetron sputtering under varying pressure (10-50mTorr) and different oxygen percentage (40%-100%) in reactive gas mixtures. The as-grown ZnO thin films were found to have stress over a wide range from -6×1010to-9×107dynes/cm2. The presence of stress depends strongly on processing conditions, and films become almost stress free under a unique combination of sputtering pressure and reactive gas composition. The studies show a correlation of stress with structural and electrical properties of the ZnO thin film. The stressed films possess high electrical conductivity and exhibits strong dielectric dispersion over a wide frequency (1kHz-1MHz). The dielectric constant ɛ'(ω) of stress free ZnO film was almost frequency independent and was close to the bulk value. The measured value of dc conductivity, σdc(ω) and ac conductivity σac(ω) of stress free ZnO film was 1.3×10-9 and 6.8×10-5Ω-1cm-1, respectively. The observed variation in the structural and electrical properties of ZnO thin film with stress has been analyzed in the light of growth kinetics.

  17. Electrostatically assisted fabrication of silver-dielectric core/shell nanoparticles thin film capacitor with uniform metal nanoparticle distribution and controlled spacing.

    Science.gov (United States)

    Li, Xue; Niitsoo, Olivia; Couzis, Alexander

    2016-03-01

    An electrostatically-assisted strategy for fabrication of thin film composite capacitors with controllable dielectric constant (k) has been developed. The capacitor is composed of metal-dielectric core/shell nanoparticle (silver/silica, Ag@SiO2) multilayer films, and a backfilling polymer. Compared with the simple metal particle-polymer mixtures where the metal nanoparticles (NP) are randomly dispersed in the polymer matrix, the metal volume fraction in our capacitor was significantly increased, owing to the densely packed NP multilayers formed by the electrostatically assisted assembly process. Moreover, the insulating layer of silica shell provides a potential barrier that reduces the tunneling current between neighboring Ag cores, endowing the core/shell nanocomposites with a stable and relatively high dielectric constant (k) and low dielectric loss (D). Our work also shows that the thickness of the SiO2 shell plays a dominant role in controlling the dielectric properties of the nanocomposites. Control over metal NP separation distance was realized not only by variation the shell thickness of the core/shell NPs but also by introducing a high k nanoparticle, barium strontium titanate (BST) of relatively smaller size (∼8nm) compared to 80-160nm of the core/shell Ag@SiO2 NPs. The BST assemble between the Ag@SiO2 and fill the void space between the closely packed core/shell NPs leading to significant enhancement of the dielectric constant. This electrostatically assisted assembly method is promising for generating multilayer films of a large variety of NPs over large areas at low cost. Copyright © 2015 Elsevier Inc. All rights reserved.

  18. Low temperature dielectric relaxation and charged defects in ferroelectric thin films

    Directory of Open Access Journals (Sweden)

    A. Artemenko

    2013-04-01

    Full Text Available We report a dielectric relaxation in BaTiO3-based ferroelectric thin films of different composition and with several growth modes: sputtering (with and without magnetron and sol-gel. The relaxation was observed at cryogenic temperatures (T < 100 K for frequencies from 100 Hz up to 10 MHz. This relaxation activation energy is always lower than 200 meV and is very similar to the relaxation that we reported in the parent bulk perovskites. Based on our Electron Paramagnetic Resonance (EPR investigation, we ascribe this dielectric relaxation to the hopping of electrons among Ti3+-V(O charged defects. Being dependent on the growth process and on the amount of oxygen vacancies, this relaxation can be a useful probe of defects in actual integrated capacitors with no need for specific shaping.

  19. Sodium beta-alumina thin films as gate dielectrics for AlGaN/GaN metal—insulator—semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Tian Ben-Lang; Chen Chao; Li Yan-Rong; Zhang Wan-Li; Liu Xing-Zhao

    2012-01-01

    Sodium beta-alumina (SBA) is deposited on AlGaN/GaN by using a co-deposition process with sodium and Al 2 O 3 as the precursors. The X-ray diffraction (XRD) spectrum reveals that the deposited thin film is amorphous. The binding energy and composition of the deposited thin film, obtained from the X-ray photoelectron spectroscopy (XPS) measurement, are consistent with those of SBA. The dielectric constant of the SBA thin film is about 50. Each of the capacitance—voltage characteristics obtained at five different frequencies shows a high-quality interface between SBA and AlGaN. The interface trap density of metal—insulator—semiconductor high-electron-mobility transistor (MISHEMT) is measured to be (3.5∼9.5)×10 10 cm −2 ·eV −1 by the conductance method. The fixed charge density of SBA dielectric is on the order of 2.7×10 12 cm −2 . Compared with the AlGaN/GaN metal—semiconductor heterostructure high-electron-mobility transistor (MESHEMT), the AlGaN/GaN MISHEMT usually has a threshold voltage that shifts negatively. However, the threshold voltage of the AlGaN/GaN MISHEMT using SBA as the gate dielectric shifts positively from −5.5 V to −3.5 V. From XPS results, the surface valence-band maximum (VBM-EF) of AlGaN is found to decrease from 2.56 eV to 2.25 eV after the SBA thin film deposition. The possible reasons why the threshold voltage of AlGaN/GaN MISHEMT with the SBA gate dielectric shifts positively are the influence of SBA on surface valence-band maximum (VBM-EF), the reduction of interface traps and the effects of sodium ions, and/or the fixed charges in SBA on the two-dimensional electron gas (2DEG). (condensed matter: structural, mechanical, and thermal properties)

  20. High-performance pentacene OTFT by incorporating Ti in LaON gate dielectric

    Science.gov (United States)

    Ma, Y. X.; Han, C. Y.; Tang, W. M.; Lai, P. T.

    2017-07-01

    Pentacene organic thin-film transistors (OTFT) using high-k LaTiON gate dielectric with different Ti contents are investigated. The LaxTi(1-x)ON films (with x = 1, 0.87, 0.76, and 0.67) are deposited by reactive sputtering followed by an annealing in N2 at 200 °C. The OTFT with La0.87Ti0.13ON can achieve a high carrier mobility of 2.6 cm2/V.s, a small threshold voltage of -1.5 V, a small sub-threshold swing of 0.07 V/dec, and a small hysteresis of 0.17 V. AFM and X-ray photoelectron spectroscopy reveal that Ti can suppress the hygroscopicity of La oxide to achieve a smoother dielectric surface, which can result in larger pentacene grains and thus higher carrier mobility. All the devices show a clockwise hysteresis because both the LaOH formation and Ti incorporation can generate acceptor-like traps in the gate dielectric.

  1. Effect of yttrium doping on the dielectric properties of CaCu{sub 3}Ti{sub 4}O{sub 12} thin film produced by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saji, Viswanathan S., E-mail: vssaji@chosun.ac.k [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of); Choe, Han Cheol [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of)

    2009-05-29

    Pure and yttrium substituted CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x/} {sub 2} (x = 0, 0.02, 0.1) thin films were prepared on boron doped silica substrate employing chemical solution deposition, spin coating and rapid thermal annealing. The phase and microstructure of the sintered films were examined using X-ray diffraction and scanning electron microscopy. Dielectric properties of the films were measured at room temperature using electrochemical impedance spectroscopy. Highly ordered polycrystalline CCTO thin film with bimodal grain size distribution was achieved at a sintering temperature of 800 {sup o}C. Yttrium doping was found to have beneficial effects on the dielectric properties of CCTO thin film. Dielectric parameters obtained for a CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x} {sub /2} (x = 0.02) film at 1 KHz were k {approx} 2700 and tan {delta} {approx} 0.07.

  2. Plasmonic versus dielectric enhancement in thin-film solar cells

    DEFF Research Database (Denmark)

    Dühring, Maria Bayard; Mortensen, N. Asger; Sigmund, Ole

    2012-01-01

    to its metallic counterpart. We show that the enhanced normalized short-circuit current for a cell with silicon strips can be increased 4 times compared to the best performance for strips of silver, gold, or aluminium. For this particular case, the simple dielectric grating may outperform its plasmonic......Several studies have indicated that broadband absorption of thin-film solar cells can be enhanced by use of surface-plasmon induced resonances of metallic parts like strips or particles. The metallic parts may create localized modes or scatter incoming light to increase absorption in thin......-film semiconducting material. For a particular case, we show that coupling to the same type of localized slab-waveguide modes can be obtained by a surface modulation consisting of purely dielectric strips. The purely dielectric device turns out to have a significantly higher broadband enhancement factor compared...

  3. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  4. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  5. Self-aligned top-gate InGaZnO thin film transistors using SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Wong, Man; Kwok, Hoi Sing

    2013-12-02

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin film transistors (TFTs) utilizing SiO{sub 2}/Al{sub 2}O{sub 3} stack thin films as gate dielectric are developed in this paper. Due to high quality of the high-k Al{sub 2}O{sub 3} and good interface between active layer and gate dielectric, the resulting a-IGZO TFT exhibits good electrical performance including field-effect mobility of 9 cm{sup 2}/Vs, threshold voltage of 2.2 V, subthreshold swing of 0.2 V/decade, and on/off current ratio of 1 × 10{sup 7}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric is proposed. • The source/drain areas are hydrogen-doped by CHF{sub 3} plasma. • The devices show good electrical performance and scaling down behavior.

  6. Dielectric loss of strontium titanate thin films

    Science.gov (United States)

    Dalberth, Mark Joseph

    1999-12-01

    Interest in strontium titanate (STO) thin films for microwave device applications continues to grow, fueled by the telecommunications industry's interest in phase shifters and tunable filters. The optimization of these devices depends upon increasing the phase or frequency tuning and decreasing the losses in the films. Currently, the dielectric response of thin film STO is poorly understood through lack of data and a theory to describe it. We have studied the growth of STO using pulsed laser deposition and single crystal substrates like lanthanum aluminate and neodymium gallate. We have researched ways to use ring resonators to accurately measure the dielectric response as a function of temperature, electric field, and frequency from low radio frequencies to a few gigahertz. Our films grown on lanthanum aluminate show marked frequency dispersion in the real part of the dielectric constant and hints of thermally activated loss behavior. We also found that films grown with conditions that optimized the dielectric constant showed increased losses. In an attempt to simplify the system, we developed a technique called epitaxial lift off, which has allowed us to study films removed from their growth substrates. These free standing films have low losses and show obvious thermally activated behavior. The "amount of tuning," as measured by a figure of merit, KE, is greater in these films than in the films still attached to their growth substrates. We have developed a theory that describes the real and imaginary parts of the dielectric constant. The theory models the real part using a mean field description of the ionic motion in the crystal and includes the loss by incorporating the motion of charged defects in the films.

  7. Functional Design of Dielectric-Metal-Dielectric-Based Thin-Film Encapsulation with Heat Transfer and Flexibility for Flexible Displays.

    Science.gov (United States)

    Kwon, Jeong Hyun; Choi, Seungyeop; Jeon, Yongmin; Kim, Hyuncheol; Chang, Ki Soo; Choi, Kyung Cheol

    2017-08-16

    In this study, a new and efficient dielectric-metal-dielectric-based thin-film encapsulation (DMD-TFE) with an inserted Ag thin film is proposed to guarantee the reliability of flexible displays by improving the barrier properties, mechanical flexibility, and heat dissipation, which are considered to be essential requirements for organic light-emitting diode (OLED) encapsulation. The DMD-TFE, which is composed of Al 2 O 3 , Ag, and a silica nanoparticle-embedded sol-gel hybrid nanocomposite, shows a water vapor transmission rate of 8.70 × 10 -6 g/m 2 /day and good mechanical reliability at a bending radius of 30 mm, corresponding to 0.41% strain for 1000 bending cycles. The electrical performance of a thin-film encapsulated phosphorescent organic light-emitting diode (PHOLED) was identical to that of a glass-lid encapsulated PHOLED. The operational lifetimes of the thin-film encapsulated and glass-lid encapsulated PHOLEDs are 832 and 754 h, respectively. After 80 days, the thin-film encapsulated PHOLED did not show performance degradation or dark spots on the cell image in a shelf-lifetime test. Finally, the difference in lifetime of the OLED devices in relation to the presence and thickness of a Ag film was analyzed by applying various TFE structures to fluorescent organic light-emitting diodes (FOLEDs) that could generate high amounts of heat. To demonstrate the difference in heat dissipation effect among the TFE structures, the saturated temperatures of the encapsulated FOLEDs were measured from the back side surface of the glass substrate, and were found to be 67.78, 65.12, 60.44, and 39.67 °C after all encapsulated FOLEDs were operated at an initial luminance of 10 000 cd/m 2 for sufficient heat generation. Furthermore, the operational lifetime tests of the encapsulated FOLED devices showed results that were consistent with the measurements of real-time temperature profiles taken with an infrared camera. A multifunctional hybrid thin-film encapsulation

  8. Effect of titanium oxide-polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Della Pelle, Andrea M. [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States); Maliakal, Ashok, E-mail: maliakal@lgsinnovations.com [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Sidorenko, Alexander [Department of Chemistry and Biochemistry, University of the Sciences, 600 South 43rd St., Philadelphia, PA 191034 (United States); Thayumanavan, S. [Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States)

    2012-07-31

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide-polystyrene core-shell nanocomposite (TiO{sub 2}-PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO{sub 2}-PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as {alpha}-sexithiophene ({alpha}-6T) (enhancement factor for field effect mobility ranging from 30-100 Multiplication-Sign higher on TiO{sub 2}-PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for {alpha}-sexithiophene ({alpha}-6T) grown by thermal evaporation on TiO{sub 2}-PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO{sub 2}-PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2 Multiplication-Sign ) increase in mobility with increasing TiO{sub 2}-PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation

  9. Effect of titanium oxide–polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    International Nuclear Information System (INIS)

    Della Pelle, Andrea M.; Maliakal, Ashok; Sidorenko, Alexander; Thayumanavan, S.

    2012-01-01

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide–polystyrene core–shell nanocomposite (TiO 2 –PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO 2 –PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as α-sexithiophene (α-6T) (enhancement factor for field effect mobility ranging from 30-100× higher on TiO 2 –PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for α-sexithiophene (α-6T) grown by thermal evaporation on TiO 2 –PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO 2 –PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2×) increase in mobility with increasing TiO 2 –PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation rate produces organic polycrystalline films with small grain

  10. Application of Dielectric, Ferroelectric and Piezoelectric Thin Film Devices in Mobile Communication and Medical Systems

    NARCIS (Netherlands)

    Klee, M.; Beelen, D.; Keurl, W.; Kiewitt, R.; Kumar, B.; Mauczok, R.; Reimann, K.; Renders, Ch.; Roest, A.; Roozeboom, F.; Steeneken, P.G.; Tiggelman, M.P.J.; Vanhelmont, F.; Wunnicke, O.; Lok, P.; Neumann, K.; Fraser, J.; Schmitz, G.

    2007-01-01

    Dielectric, ferroelectric and piezoelectric thin films are getting more and more attention for next generation mobile communication and medical systems. Thin film technologies based on dielectric, ferroelectric and piezoelectric thin films enable System-in-Package (SiP) devices, resulting in optimal

  11. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  12. A simple method for reducing inevitable dielectric loss in high-permittivity dielectric elastomers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Mazurek, Piotr Stanislaw

    2016-01-01

    elastomer matrix, with high dielectric permittivity and a low Young's modulus, aligned with no loss of mechanical stability, was prepared through the use of commercially available chloropropyl-functional silicone oil mixed into a tough commercial liquid silicone rubber silicone elastomer. The addition...... also decreased the dielectric losses of an elastomer containing dielectric permittivity-enhancing TiO2 fillers. Commercially available chloropropyl-functional silicone oil thus constitutes a facile method for improved silicone DEs, with very low dielectric losses.......Commercial viability of dielectric elastomers (DEs) is currently limited by a few obstacles, including high driving voltages (in the kV range). Driving voltage can be lowered by either decreasing the Young's modulus or increasing the dielectric permittivity of silicone elastomers, or a combination...

  13. Al2O3 nanocrystals embedded in amorphous Lu2O3 high-k gate dielectric for floating gate memory application

    International Nuclear Information System (INIS)

    Yuan, C L; Chan, M Y; Lee, P S; Darmawan, P; Setiawan, Y

    2007-01-01

    The integration of nanoparticles has high potential in technological applications and opens up possibilities of the development of new devices. Compared to the conventional floating gate memory, a structure containing nanocrystals embedded in dielectrics shows high potential to produce a memory with high endurance, low operating voltage, fast write-erase speeds and better immunity to soft errors [S. Tiwari, F. Rana, H. Hanafi et al. 1996 Appl.Phys. Lett. 68, 1377]. A significant improvement on data retention [J. J. Lee, X. Wang et al. 2003 Proceedings of the VLSI Technol. Symposium, p33] can be observed when discrete nanodots are used instead of continuous floating gate as charge storage nodes because local defect related leakage can be reduced efficiently. Furthermore, using a high-k dielectric in place of the conventional SiO2 based dielectric, nanodots flash memory is able to achieve significantly improved programming efficiency and data retention [A. Thean and J. -P. Leburton, 2002 IEEE Potentials 21, 35; D. W. Kim, T. Kim and S. K. Banerjee, 2003 IEEE Trans. Electron Devices 50, 1823]. We have recently successfully developed a method to produce nanodots embedded in high-k gate dielectrics [C. L. Yuan, P. Darmawan, Y. Setiawan and P. S. Lee, 2006 Electrochemical and Solid-State Letters 9, F53; C. L. Yuan, P. Darmawan, Y. Setiawan and P. S. Lee, 2006 Europhys. Lett. 74, 177]. In this paper, we fabricated the memory structure of Al 2 O 3 nanocrystals embedded in amorphous Lu 2 O 3 high k dielectric using pulsed laser ablation. The mean size and density of the Al 2 O 3 nanocrystals are estimated to be about 5 nm and 7x1011 cm -2 , respectively. Good electrical performances in terms of large memory window and good data retention were observed. Our preparation method is simple, fast and economical

  14. Design and testing of 45 kV, 50 kHz pulse power supply for dielectric barrier discharges

    Science.gov (United States)

    Sharma, Surender Kumar; Shyam, Anurag

    2016-10-01

    The design, construction, and testing of high frequency, high voltage pulse power supply are reported. The purpose of the power supply is to generate dielectric barrier discharges for industrial applications. The power supply is compact and has the advantage of low cost, over current protection, and convenient control for voltage and frequency selection. The power supply can generate high voltage pulses of up to 45 kV at the repetitive frequency range of 1 kHz-50 kHz with 1.2 kW input power. The output current of the power supply is limited to 500 mA. The pulse rise time and fall time are less than 2 μs and the pulse width is 2 μs. The power supply is short circuit proof and can withstand variable plasma load conditions. The power supply mainly consists of a half bridge series resonant converter to charge an intermediate capacitor, which discharges through a step-up transformer at high frequency to generate high voltage pulses. Semiconductor switches and amorphous cores are used for power modulation at higher frequencies. The power supply is tested with quartz tube dielectric barrier discharge load and worked stably. The design details and the performance of the power supply on no load and dielectric barrier discharge load are presented.

  15. Drift mobility of thermalized and highly energetic holes in thin layers of amorphous dielectric SiC

    International Nuclear Information System (INIS)

    Sielski, Jan; Jeszka, Jeremiasz K.

    2012-01-01

    The development of new technology in the electronics industry requires new dielectric materials. It is also important to understand the charge-carrier transport mechanism in these materials. We examined the hole drift mobility in amorphous SiC dielectric thin films using the time-of-flight (TOF) method. Charge carriers were generated using an electron gun. The generated holes gave a dispersive TOF signal and the mobility was low. For electric field strengths above 4 x 10 5 V cm -1 the drift mobility shows a very strong dependence on the electric field and a weak temperature dependence (transport of ''high-energy'' charge carriers). At lower electric fields and for thermalized charge carriers the mobility is practically field independent and thermally activated. The observed phenomenon was attributed to the changes in the effective energy of the generated carriers moving in the high electric fields and consequently in the density of localized states taking part in the transport. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    Science.gov (United States)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  17. High Stability Pentacene Transistors Using Polymeric Dielectric Surface Modifier.

    Science.gov (United States)

    Wang, Xiaohong; Lin, Guangqing; Li, Peng; Lv, Guoqiang; Qiu, Longzhen; Ding, Yunsheng

    2015-08-01

    1,6-bis(trichlorosilyl)hexane (C6Cl), polystyrene (PS), and cross-linked polystyrene (CPS) were investigated as gate dielectric modified layers for high performance organic transistors. The influence of the surface energy, roughness and morphology on the charge transport of the organic thin-film transistors (OTFTs) was investigated. The surface energy and roughness both affect the grain size of the pentacene films which will control the charge carrier mobility of the devices. Pentacene thin-film transistors fabricated on the CPS modified dielectric layers exhibited charge carrier mobility as high as 1.11 cm2 V-1 s-1. The bias stress stability for the CPS devices shows that the drain current only decays 1% after 1530 s and the mobility never decreases until 13530 s.

  18. Poly(methyl methacrylate) as a self-assembled gate dielectric for graphene field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Sanne, A.; Movva, H. C. P.; Kang, S.; McClellan, C.; Corbet, C. M.; Banerjee, S. K. [Microelectronics Research Center, University of Texas, Austin, Texas 78758 (United States)

    2014-02-24

    We investigate poly(methyl methacrylate) (PMMA) as a low thermal budget organic gate dielectric for graphene field effect-transistors (GFETs) based on a simple process flow. We show that high temperature baking steps above the glass transition temperature (∼130 °C) can leave a self-assembled, thin PMMA film on graphene, where we get a gate dielectric almost for “free” without additional atomic layer deposition type steps. Electrical characterization of GFETs with PMMA as a gate dielectric yields a dielectric constant of k = 3.0. GFETs with thinner PMMA dielectrics have a lower dielectric constant due to decreased polarization arising from neutralization of dipoles and charged carriers as baking temperatures increase. The leakage through PMMA gate dielectric increases with decreasing dielectric thickness and increasing electric field. Unlike conventional high-k gate dielectrics, such low-k organic gate dielectrics are potentially attractive for devices such as the proposed Bilayer pseudoSpin Field-Effect Transistor or flexible high speed graphene electronics.

  19. Self-standing chitosan films as dielectrics in organic thin-film transistors

    Directory of Open Access Journals (Sweden)

    J. Morgado

    2013-12-01

    Full Text Available Organic thin film transistors, using self-standing 50 µm thick chitosan films as dielectric, are fabricated using sublimed pentacene or two conjugated polymers deposited by spin coating as semiconductors. Field-effect mobilities are found to be similar to values obtained with other dielectrics and, in the case of pentacene, a value (0.13 cm2/(V•s comparable to high performing transistors was determined. In spite of the low On/Off ratios (a maximum value of 600 was obtained for the pentacene-based transistors, these are promising results for the area of sustainable organic electronics in general and for biocompatible electronics in particular.

  20. Functional silicone copolymers and elastomers with high dielectric permittivity

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Daugaard, Anders Egede; Hvilsted, Søren

    Dielectric elastomers (DEs) are a new and promising transducer technology and are often referred to as ‘artificial muscles’, due to their ability to undergo large deformations when stimulated by electric fields. DEs consist of a soft and thin elastomeric film sandwiched between compliant electrodes......, thereby forming a capacitor [1]. Silicone elastomers are one of the most used materials for DEs due to their high efficiency, fast response times and low viscous losses. The major disadvantage of silicone elastomers is that they possess relatively low dielectric permittivity, which means that a high...... electrical field is necessary to operate the DE. The necessary electrical field can be lowered by creating silicone elastomers with higher dielectric permittivity, i.e. with a higher energy density.The aim of this work is to create new and improved silicone elastomers with high dielectric permittivity...

  1. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  2. Dielectric properties investigation of Cu2O/ZnO heterojunction thin films by electrodeposition

    International Nuclear Information System (INIS)

    Li, Qiang; Xu, Mengmeng; Fan, Huiqing; Wang, Hairong; Peng, Biaolin; Long, Changbai; Zhai, Yuchun

    2013-01-01

    Highlights: ► Bottom-up self-assembly Cu 2 O/ZnO heterojunction was fabricated by electrochemical deposition on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET). ► The dielectric response of Cu 2 O/ZnO heterojunction thin films had been investigated. ► The universal dielectric response was used to investigate the hopping behavior in Cu 2 O/ZnO heterojunction. -- Abstract: Structures and morphologies of the Cu 2 O/ZnO heterojunction electrodeposited on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET) were investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), high resolution transmission electron microscopy (HRTEM), respectively. The dielectric response of bottom-up self-assembly Cu 2 O/ZnO heterojunction was investigated. The low frequency dielectric dispersion (LFDD) was observed. The universal dielectric response (UDR) was used to investigate the frequency dependence of dielectric response for Cu 2 O/ZnO heterojunction, which was attributed to the long range and the short range hopping charge carriers at the low frequency and the high frequency region, respectively

  3. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    Science.gov (United States)

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  4. High-k 3D-barium titanate foam/phenolphthalein poly(ether sulfone)/cyanate ester composites with frequency-stable dielectric properties and extremely low dielectric loss under reduced concentration of ceramics

    Science.gov (United States)

    Zheng, Longhui; Yuan, Li; Guan, Qingbao; Liang, Guozheng; Gu, Aijuan

    2018-01-01

    Higher dielectric constant, lower dielectric loss and better frequency stability have been the developing trends for high dielectric constant (high-k) materials. Herein, new composites have been developed through building unique structure by using hyperbranched polysiloxane modified 3D-barium titanate foam (BTF) (BTF@HSi) as the functional fillers and phenolphthalein poly(ether sulfone) (cPES)/cyanate ester (CE) blend as the resin matrix. For BTF@HSi/cPES/CE composite with 34.1 vol% BTF, its dielectric constant at 100 Hz is as high as 162 and dielectric loss is only 0.007; moreover, the dielectric properties of BTF@HSi/cPES/CE composites exhibit excellent frequency stability. To reveal the mechanism behind these attractive performances of BTF@HSi/cPES/CE composites, three kinds of composites (BTF/CE, BTF/cPES/CE, BTF@HSi/CE) were prepared, their structure and integrated performances were intensively investigated and compared with those of BTF@HSi/cPES/CE composites. Results show that the surface modification of BTF is good for preparing composites with improved thermal stability; while introducing flexible cPES to CE is beneficial to fabricate composites with good quality through effectively blocking cracks caused by the stress concentration, and then endowing the composites with good dielectric properties at reduced concentration of ceramics.

  5. Surface and interfacial chemistry of high-k dielectric and interconnect materials on silicon

    Science.gov (United States)

    Kirsch, Paul Daniel

    Surfaces and interfaces play a critical role in the manufacture and function of silicon based integrated circuits. It is therefore reasonable to study the chemistries at these surfaces and interfaces to improve existing processes and to develop new ones. Model barium strontium titanate high-k dielectric systems have been deposited on ultrathin silicon oxynitride in ultrahigh vacuum. The resulting nanostructures are characterized with secondary ion mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS). An interfacial reaction between Ba and Sr atoms and SiOxNy was found to create silicates, BaSixOy or SrSi xOy. Inclusion of N in the interfacial oxide decreased silicate formation in both Ba and Sr systems. Furthermore, inclusion of N in the interfacial oxide decreased the penetration of Ba and Sr containing species, such as silicides and silicates. Sputter deposited HfO2 was studied on nitrided and unnitrided Si(100) surfaces. XPS and SIMS were used to verify the presence of interfacial HfSixOy and estimate its relative amount on both nitrided and unnitrided samples. More HfSixOy formed without the SiNx interfacial layer. These interfacial chemistry results are then used to explain the electrical measurements obtained from metal oxide semiconductor (MOS) capacitors. MOS capacitors with interfacial SiNx exhibit reduced leakage current and increased capacitance. Lastly, surface science techniques were used to develop a processing technique for reducing thin films of copper (II) and copper (I) oxide to copper. Deuterium atoms (D*) and methyl radicals (CH3*) were shown to reduce Cu 2+ and/or Cu1+ to Cu0 within 30 min at a surface temperature of 400 K under a flux of 1 x 1015 atoms/cm2s. Temperature programmed desorption experiments suggest that oxygen leaves the surface as D2O and CO2 for the D* and CH3* treated surfaces, respectively.

  6. Extrinsic and Intrinsic Frequency Dispersion of High-k Materials in Capacitance-Voltage Measurements

    Directory of Open Access Journals (Sweden)

    S. Taylor

    2012-06-01

    Full Text Available In capacitance-voltage (C-V measurements, frequency dispersion in high-k dielectrics is often observed. The frequency dependence of the dielectric constant (k-value, that is the intrinsic frequency dispersion, could not be assessed before suppressing the effects of extrinsic frequency dispersion, such as the effects of the lossy interfacial layer (between the high-k thin film and silicon substrate and the parasitic effects. The effect of the lossy interfacial layer on frequency dispersion was investigated and modeled based on a dual frequency technique. The significance of parasitic effects (including series resistance and the back metal contact of the metal-oxide-semiconductor (MOS capacitor on frequency dispersion was also studied. The effect of surface roughness on frequency dispersion is also discussed. After taking extrinsic frequency dispersion into account, the relaxation behavior can be modeled using the Curie-von Schweidler (CS law, the Kohlrausch-Williams-Watts (KWW relationship and the Havriliak-Negami (HN relationship. Dielectric relaxation mechanisms are also discussed.

  7. Constant-current corona triode adapted and optimized for the characterization of thin dielectric films

    Science.gov (United States)

    Giacometti, José A.

    2018-05-01

    This work describes an enhanced corona triode with constant current adapted to characterize the electrical properties of thin dielectric films used in organic electronic devices. A metallic grid with a high ionic transparency is employed to charge thin films (100 s of nm thick) with a large enough charging current. The determination of the surface potential is based on the grid voltage measurement, but using a more sophisticated procedure than the previous corona triode. Controlling the charging current to zero, which is the open-circuit condition, the potential decay can be measured without using a vibrating grid. In addition, the electric capacitance and the characteristic curves of current versus the stationary surface potential can also be determined. To demonstrate the use of the constant current corona triode, we have characterized poly(methyl methacrylate) thin films with films with thicknesses in the range from 300 to 500 nm, frequently used as gate dielectric in organic field-effect transistors.

  8. Growth and characteristics of PbS/polyvinyl alcohol nanocomposites for flexible high dielectric thin film applications

    International Nuclear Information System (INIS)

    Hmar, J.J.L.; Majumder, T.; Mondal, S.P.

    2016-01-01

    PbS/polyvinyl alcohol (PbS/PVA) nanocomposites have been grown by a chemical bath deposition process at various growth temperatures (60–100 °C). Transmission electron microscopy (TEM) study revealed the formation of PbS nanoparticles of diameter 6–20 nm encapsulated in PVA matrix. Optical band gap of the nanocomposite films have been found to decrease (1.45 eV–0.67 eV) with increase in growth temperature from 60 °C to 100 °C. The impedance measurements have been carried out by depositing the PbS/PVA films on indium tin oxide (ITO) coated flexible polyethylene terephthalate (PET) substrates. The room temperature dielectric permittivity and ac conductivity measurements have been carried out for ITO/PbS/PVA/Al devices deposited at various growth temperatures. The nanocomposite films demonstrate superior dielectric permittivity compare to pure PVA polymer. The flexibility studies of ITO/PbS/PVA/Al devices have been performed at different bending angles. - Highlights: • PbS nanoparticles of diameter 6–20 nm were grown in polyvinyl (PVA) matrix. • Optical band gap of nanocomposite films was varied from 1.45–0.67 eV. • The nanocomposite thin films demonstrated superior dielectric permittivity. • Flexibility study of thin film devices was performed at various bending angles.

  9. Structural and electrical characteristics of high-κ ErTixOy gate dielectrics on InGaZnO thin-film transistors

    International Nuclear Information System (INIS)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Li, Wei-Chen; Matsuda, Yasuhiro H.; Pan, Tung-Ming

    2013-01-01

    In this paper, we investigated the structural properties and electrical characteristics of high-κ ErTi x O y gate dielectrics on indium-gallium-zinc oxide thin-film transistors (IGZO TFTs). We used X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy to investigate the structural and morphological features of these dielectric films after they had been subjected to annealing at various temperatures. The high-κ ErTi x O y IGZO TFT device annealed at 400 °C exhibited better electrical characteristics in terms of a large field-effect mobility (8.24 cm 2 /V-s), low threshold voltage (0.36 V), small subthreshold swing (130 mV/dec), and high I on/off ratio(3.73 × 10 6 ). These results are attributed to the reduction of the trap states and oxygen vacancies between the ErTi x O y film and IGZO active layer interface during high-temperature annealing in oxygen ambient. The reliability of voltage stress also can be improved by the oxygen annealing at 400 °C. - Highlights: • ErTi x O y InGaZnO thin-film transistors (TFT). • Structural and electrical properties of the TFT were investigated. • TFT device annealed at 400 °C exhibited better electrical characteristics. • Reliability of TFT device can be improved by annealing at 400 °C

  10. Dielectric properties of Ca(Zr0.05Ti0.95)O3 thin films prepared by chemical solution deposition

    International Nuclear Information System (INIS)

    Cavalcante, L.S.; Simoes, A.Z.; Santos, L.P.S.; Santos, M.R.M.C.; Longo, E.; Varela, J.A.

    2006-01-01

    Ca(Zr 0.05 Ti 0.95 )O 3 (CZT) thin films were grown on Pt(111)/Ti/SiO 2 /Si(100) substrates by the soft chemical method. The films were deposited from spin-coating technique and annealed at 928K for 4h under oxygen atmosphere. CZT films present orthorhombic structure with a crack free and granular microstructure. Atomic force microscopy and field-emission scanning electron microscopy showed that CZT present grains with about 47nm and thickness about 450nm. Dielectric constant and dielectric loss of the films was approximately 210 at 100kHz and 0.032 at 1MHz. The Au/CZT/Pt capacitor shows a hysteresis loop with remnant polarization of 2.5μC/cm 2 , and coercive field of 18kV/cm, at an applied voltage of 6V. The leakage current density was about 4.6x10 -8 A/cm 2 at 3V. Dielectric constant-voltage curve is located at zero bias field suggesting the absence of internal electric fields

  11. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    Science.gov (United States)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  12. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  13. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  14. Low dielectric constant-based organic field-effect transistors and metal-insulator-semiconductor capacitors

    Science.gov (United States)

    Ukah, Ndubuisi Benjamin

    This thesis describes a study of PFB and pentacene-based organic field-effect transistors (OFET) and metal-insulator-semiconductor (MIS) capacitors with low dielectric constant (k) poly(methyl methacrylate) (PMMA), poly(4-vinyl phenol) (PVP) and cross-linked PVP (c-PVP) gate dielectrics. A physical method -- matrix assisted pulsed laser evaporation (MAPLE) -- of fabricating all-polymer field-effect transistors and MIS capacitors that circumvents inherent polymer dissolution and solvent-selectivity problems, is demonstrated. Pentacene-based OFETs incorporating PMMA and PVP gate dielectrics usually have high operating voltages related to the thickness of the dielectric layer. Reduced PMMA layer thickness (≤ 70 nm) was obtained by dissolving the PMMA in propylene carbonate (PC). The resulting pentacene-based transistors exhibited very low operating voltage (below -3 V), minimal hysteresis in their transfer characteristics, and decent electrical performance. Also low voltage (within -2 V) operation using thin (≤ 80 nm) low-k and hydrophilic PVP and c-PVP dielectric layers obtained via dissolution in high dipole moment and high-k solvents -- PC and dimethyl sulfoxide (DMSO), is demonstrated to be a robust means of achieving improved electrical characteristics and high operational stability in OFETs incorporating PVP and c-PVP dielectrics.

  15. Plasma-Induced Damage on the Reliability of Hf-Based High-k/Dual Metal-Gates Complementary Metal Oxide Semiconductor Technology

    International Nuclear Information System (INIS)

    Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.

    2009-01-01

    This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.

  16. Electrical Properties of Thin-Film Capacitors Fabricated Using High Temperature Sputtered Modified Barium Titanate

    Directory of Open Access Journals (Sweden)

    Robert Mamazza

    2012-04-01

    Full Text Available Simple thin-film capacitor stacks were fabricated from sputter-deposited doped barium titanate dielectric films with sputtered Pt and/or Ni electrodes and characterized electrically. Here, we report small signal, low frequency capacitance and parallel resistance data measured as a function of applied DC bias, polarization versus applied electric field strength and DC load/unload experiments. These capacitors exhibited significant leakage (in the range 8–210 μA/cm2 and dielectric loss. Measured breakdown strength for the sputtered doped barium titanate films was in the range 200 kV/cm −2 MV/cm. For all devices tested, we observed clear evidence for dielectric saturation at applied electric field strengths above 100 kV/cm: saturated polarization was in the range 8–15 μC/cm2. When cycled under DC conditions, the maximum energy density measured for any of the capacitors tested here was ~4.7 × 10−2 W-h/liter based on the volume of the dielectric material only. This corresponds to a specific energy of ~8 × 10−3 W-h/kg, again calculated on a dielectric-only basis. These results are compared to those reported by other authors and a simple theoretical treatment provided that quantifies the maximum energy that can be stored in these and similar devices as a function of dielectric strength and saturation polarization. Finally, a predictive model is developed to provide guidance on how to tailor the relative permittivities of high-k dielectrics in order to optimize their energy storage capacities.

  17. Structure dependent resistivity and dielectric characteristics of tantalum oxynitride thin films produced by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Cristea, D., E-mail: daniel.cristea@unitbv.ro [Department of Materials Science, Transilvania University, 500036 Brasov (Romania); Crisan, A. [Department of Materials Science, Transilvania University, 500036 Brasov (Romania); Cretu, N. [Electrical Engineering and Applied Physics Department, Transilvania University, 500036 Brasov (Romania); Borges, J. [Centro de Física, Universidade do Minho, Campus de Gualtar, 4710 - 057 Braga (Portugal); Instituto Pedro Nunes, Laboratório de Ensaios, Desgaste e Materiais, Rua Pedro Nunes, 3030-199 Coimbra (Portugal); SEG-CEMUC, Mechanical Engineering Department, University of Coimbra, 3030-788 Coimbra (Portugal); Lopes, C.; Cunha, L. [Centro de Física, Universidade do Minho, Campus de Gualtar, 4710 - 057 Braga (Portugal); Ion, V.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, Lasers Department, “Photonic Processing of Advanced Materials” Group, PO Box MG-16, RO 77125 Magurele-Bucharest (Romania); Barradas, N.P. [Centro de Ciências e Tecnologias Nucleares, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10 ao km 139,7, 2695-066 Bobadela LRS (Portugal); Alves, E. [Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10 ao km 139,7, 2695-066 Bobadela LRS (Portugal); Apreutesei, M. [MATEIS Laboratory-INSA de Lyon, 21 Avenue Jean Capelle, 69621 Villeurbanne cedex (France); Université de Lyon, Institut des Nanotechnologies de Lyon INL-UMR5270, CNRS, Ecole Centrale de Lyon, Ecully F-69134 (France); Munteanu, D. [Department of Materials Science, Transilvania University, 500036 Brasov (Romania)

    2015-11-01

    Highlights: • Tantalum oxynitride thin films have been deposited by magnetron sputtering, in various configurations. • The rising of the reactive gases mixture flow has the consequence of a gradual increase in the non-metallic content in the films, which results in a 10 orders of magnitude resistivity domain. • The higher resistivity films exhibit dielectric constants up to 41 and quality factors up to 70. - Abstract: The main purpose of this work is to present and to interpret the change of electrical properties of Ta{sub x}N{sub y}O{sub z} thin films, produced by DC reactive magnetron sputtering. Some parameters were varied during deposition: the flow of the reactive gases mixture (N{sub 2} and O{sub 2}, with a constant concentration ratio of 17:3); the substrate voltage bias (grounded, −50 V or −100 V) and the substrate (glass, (1 0 0) Si or high speed steel). The obtained films exhibit significant differences. The variation of the deposition parameters induces variations of the composition, microstructure and morphology. These differences cause variation of the electrical resistivity essentially correlated with the composition and structural changes. The gradual decrease of the Ta concentration in the films induces amorphization and causes a raise of the resistivity. The dielectric characteristics of some of the high resistance Ta{sub x}N{sub y}O{sub z} films were obtained in the samples with a capacitor-like design (deposited onto high speed steel, with gold pads deposited on the dielectric Ta{sub x}N{sub y}O{sub z} films). Some of these films exhibited dielectric constant values higher than those reported for other tantalum based dielectric films.

  18. Stable dielectric response of low-loss aromatic polythiourea thin films on Pt/SiO2 substrate

    Directory of Open Access Journals (Sweden)

    A. Eršte

    2016-03-01

    Full Text Available We have investigated dielectric properties of aromatic polythiourea (ArPTU, a polar polymer containing high dipolar moments with very low defect levels thin films that were developed on Pt/SiO2 substrate. The detected response is compared to the response of commercially available polymers, such as high density polyethylene (HDPE and polypropylene (PP, which are at present used in foil capacitors. Stable values of the dielectric constant ε′≈5 (being twice higher than in HDPE and PP over broad temperature and frequency ranges and dielectric losses as low as in commercial systems suggest ArPTU as a promising candidate for future use in a variety of applications.

  19. Examination of Critical Length Effect in Copper Interconnects With Oxide and Low-k Dielectrics

    International Nuclear Information System (INIS)

    Thrasher, Stacye; Gall, Martin; Justison, Patrick; Hernandez, Richard; Kawasaki, Hisao; Capasso, Cristiano; Nguyen, Timothy

    2004-01-01

    As technology moves toward faster microelectronic devices with smaller feature sizes, copper is replacing aluminum-copper alloy and low-k dielectric is replacing oxide as the materials of choice for advanced interconnect integrations. Copper not only brings to the table the advantage of lower resistivity, but also exhibits better electromigration performance when compared to Al(Cu). Low-k dielectric materials are advantageous because they reduce power consumption and improve signal delay. Due to these advantages, the industry trend is moving towards integrating copper and low-k dielectric for high performance interconnects. The purpose of this study is to evaluate the critical length effect in single-inlaid copper interconnects and determine the critical product (jl)c, for a variety of integrations, examining the effect of ILD (oxide vs. low-k), geometry, and stress temperature

  20. Dielectric property study of poly(4-vinylphenol)-graphene oxide nanocomposite thin film

    Science.gov (United States)

    Roy, Dhrubojyoti

    2018-05-01

    Thin film capacitor device having a sandwich structure of indium tin oxide (ITO)-coated glass/polymer or polymer nanocomposite /silver has been fabricated and their dielectric and leakage current properties has been studied. The dielectric properties of the capacitors were characterized for frequencies ranging from 1 KHz to 1 MHz. 5 wt% Poly(4-vinylphenol)(PVPh)-Graphene (GO) nanocomposite exhibited an increase in dielectric constant to 5.6 and small rise in dielectric loss to around˜0.05 at 10 KHz w.r.t polymer. The DC conductivity measurements reveal rise of leakage current in nanocomposite.

  1. Solid-state densification of spun-cast self-assembled monolayers for use in ultra-thin hybrid dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Hutchins, Daniel O.; Acton, Orb [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Weidner, Tobias [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Cernetic, Nathan [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Baio, Joe E. [Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Castner, David G. [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Ma, Hong, E-mail: hma@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Jen, Alex K.-Y., E-mail: ajen@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemistry, University of Washington, Seattle, WA 98195 (United States)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer Rapid processing of SAM in ambient conditions is achieved by spin coating. Black-Right-Pointing-Pointer Thermal annealing of a bulk spun-cast molecular film is explored as a mechanism for SAM densification. Black-Right-Pointing-Pointer High-performance SAM-oxide hybrid dielectric is obtained utilizing a single wet processing step. - Abstract: Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO{sub x} (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7 Multiplication-Sign 10{sup -8} A cm{sup -2} and capacitance density of 0.62 {mu}F cm{sup -2} at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to

  2. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics.

    Science.gov (United States)

    Hutchins, Daniel O; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E; Castner, David G; Ma, Hong; Jen, Alex K-Y

    2012-11-15

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO x (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10 -8 A cm -2 and capacitance density of 0.62 µF cm -2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm 2 V -1 s -1 .

  3. Dielectric properties of polyhedral oligomeric silsesquioxane (POSS)-based nanocomposites at 77k

    International Nuclear Information System (INIS)

    Pan, Ming-Jen; Gorzkowski, Edward; McAllister, Kelly

    2011-01-01

    The goal of this study is to develop dielectric nanocomposites for high energy density applications at liquid nitrogen temperature by utilizing a unique nano-material polyhedral oligomeric silsesquioxanes (POSS). A POSS molecule is consisted of a silica cage core with 8 silicon and 12 oxygen atoms and organic functional groups attached to the corners of the cage. In this study, we utilize POSS for the fabrication of nanocomposites both as a silica nanoparticle filler to enhance the breakdown strength and as a surfactant for effective dispersion of high permittivity ceramic nanoparticles in a polymer matrix. The matrix materials selected for the study are polyvinylidene fluoride (PVDF) and poly(methyl methacrylate) (PMMA). The ceramic nanoparticles are barium strontium titanate (BST 50/50) and strontium titanate. The dielectric properties of the solution-cast nanocomposites films were correlated to the composition and processing conditions. We determined that the addition of POSS did not provide enhanced dielectric performance in PVDF- and PMMA-based materials at either room temperature or 77K. In addition, we found that the dielectric breakdown strength of PMMA is lower at 77K than at room temperature, contradicting literature data.

  4. Elastic properties of porous low-k dielectric nano-films

    Science.gov (United States)

    Zhou, W.; Bailey, S.; Sooryakumar, R.; King, S.; Xu, G.; Mays, E.; Ege, C.; Bielefeld, J.

    2011-08-01

    Low-k dielectrics have predominantly replaced silicon dioxide as the interlayer dielectric for interconnects in state of the art integrated circuits. In order to further reduce interconnect RC delays, additional reductions in k for these low-k materials are being pursued via the introduction of controlled levels of porosity. The main challenge for such dielectrics is the substantial reduction in elastic properties that accompanies the increased pore volume. We report on Brillouin light scattering measurements used to determine the elastic properties of these films at thicknesses well below 200 nm, which are pertinent to their introduction into present ultralarge scale integrated technology. The observation of longitudinal and transverse standing wave acoustic resonances and their transformation into traveling waves with finite in-plane wave vectors provides for a direct non-destructive measure of the principal elastic constants that characterize the elastic properties of these porous nano-scale films. The mode dispersion further confirms that for porosity levels of up to 25%, the reduction in the dielectric constant does not result in severe degradation in the Young's modulus and Poisson's ratio of the films.

  5. High Dielectric Performance of Solution-Processed Aluminum Oxide-Boron Nitride Composite Films

    Science.gov (United States)

    Yu, Byoung-Soo; Ha, Tae-Jun

    2018-04-01

    The material compositions of oxide films have been extensively investigated in an effort to improve the electrical characteristics of dielectrics which have been utilized in various electronic devices such as field-effect transistors, and storage capacitors. Significantly, solution-based compositions have attracted considerable attention as a highly effective and practical technique to replace vacuum-based process in large-area. Here, we demonstrate solution-processed composite films consisting of aluminum oxide (Al2O3) and boron nitride (BN), which exhibit remarkable dielectric properties through the optimization process. The leakage current of the optimized Al2O3-BN thin films was decreased by a factor of 100 at 3V, compared to pristine Al2O3 thin film without a loss of the dielectric constant or degradation of the morphological roughness. The characterization by X-ray photoelectron spectroscopy measurements revealed that the incorporation of BN with an optimized concentration into the Al2O3 dielectric film reduced the density of oxygen vacancies which act as defect states, thereby improving the dielectric characteristics.

  6. Phase sensitive molecular dynamics of self-assembly glycolipid thin films: A dielectric spectroscopy investigation

    Science.gov (United States)

    Velayutham, T. S.; Ng, B. K.; Gan, W. C.; Majid, W. H. Abd.; Hashim, R.; Zahid, N. I.; Chaiprapa, Jitrin

    2014-08-01

    Glycolipid, found commonly in membranes, is also a liquid crystal material which can self-assemble without the presence of a solvent. Here, the dielectric and conductivity properties of three synthetic glycolipid thin films in different thermotropic liquid crystal phases were investigated over a frequency and temperature range of (10-2-106 Hz) and (303-463 K), respectively. The observed relaxation processes distinguish between the different phases (smectic A, columnar/hexagonal, and bicontinuous cubic Q) and the glycolipid molecular structures. Large dielectric responses were observed in the columnar and bicontinuous cubic phases of the longer branched alkyl chain glycolipids. Glycolipids with the shortest branched alkyl chain experience the most restricted self-assembly dynamic process over the broad temperature range studied compared to the longer ones. A high frequency dielectric absorption (Process I) was observed in all samples. This is related to the dynamics of the hydrogen bond network from the sugar group. An additional low-frequency mechanism (Process II) with a large dielectric strength was observed due to the internal dynamics of the self-assembly organization. Phase sensitive domain heterogeneity in the bicontinuous cubic phase was related to the diffusion of charge carriers. The microscopic features of charge hopping were modelled using the random walk scheme, and two charge carrier hopping lengths were estimated for two glycolipid systems. For Process I, the hopping length is comparable to the hydrogen bond and is related to the dynamics of the hydrogen bond network. Additionally, that for Process II is comparable to the bilayer spacing, hence confirming that this low-frequency mechanism is associated with the internal dynamics within the phase.

  7. Structural, dielectric and AC conductivity study of Sb2O3 thin film ...

    Indian Academy of Sciences (India)

    52

    However, to date, no reports have appeared on impedance spectroscopy, modulus behavior, electrical conductivity, dielectric relaxation and dielectric properties of crystalline Sb2O3 thin films. This paper deals for the first time with the frequency and temperature dependence of AC conductivity and complex electric modulus ...

  8. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    Science.gov (United States)

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  9. Vacuum ultra-violet damage and damage mitigation for plasma processing of highly porous organosilicate glass dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Marneffe, J.-F. de, E-mail: marneffe@imec.be; Lukaszewicz, M.; Porter, S. B.; Vajda, F.; Rutigliani, V.; Verdonck, P.; Baklanov, M. R. [IMEC v.z.w., 3001 Leuven (Belgium); Zhang, L.; Heyne, M.; El Otell, Z.; Krishtab, M. [IMEC v.z.w., 3001 Leuven (Belgium); Department of Chemistry, KULeuven, 3001 Leuven (Belgium); Goodyear, A.; Cooke, M. [Oxford Instruments Plasma Technology, BS49 4AP Bristol (United Kingdom)

    2015-10-07

    Porous organosilicate glass thin films, with k-value 2.0, were exposed to 147 nm vacuum ultra-violet (VUV) photons emitted in a Xenon capacitive coupled plasma discharge. Strong methyl bond depletion was observed, concomitant with a significant increase of the bulk dielectric constant. This indicates that, besides reactive radical diffusion, photons emitted during plasma processing do impede dielectric properties and therefore need to be tackled appropriately during patterning and integration. The detrimental effect of VUV irradiation can be partly suppressed by stuffing the low-k porous matrix with proper sacrificial polymers showing high VUV absorption together with good thermal and VUV stability. In addition, the choice of an appropriate hard-mask, showing high VUV absorption, can minimize VUV damage. Particular processing conditions allow to minimize the fluence of photons to the substrate and lead to negligible VUV damage. For patterned structures, in order to reduce VUV damage in the bulk and on feature sidewalls, the combination of both pore stuffing/material densification and absorbing hard-mask is recommended, and/or the use of low VUV-emitting plasma discharge.

  10. All-optically tunable EIT-like dielectric metasurfaces hybridized with thin phase change material layers

    Science.gov (United States)

    Petronijevic, Emilija; Sibilia, Concita

    2017-05-01

    Electromagnetically induced transparency (EIT), a pump-induced narrow transparency window within the absorption region of a probe, had offered new perspectives in slow-light control in atomic physics. For applications in nanophotonics, the implementation on chip-scaled devices has later been obtained by mimicking this effect by metallic metamaterials. High losses in visible and near infrared range of metal-based metamaterialls have recently opened a new field of all-dielectric metamaterials; a proper configuration of high refractive index dielectric nanoresonators can mimick this effect without losses to get high Q, slow-light response. The next step would be the ability to tune their optical response, and in this work we investigate thin layers of phase change materials (PCM) for all-optical control of EIT-like all-dielectric metamaterials. PCM can be nonvolatively and reversibly switched between two stable phases that differ in optical properties by applying a visible laser pulse. The device is based on Si nanoresonators covered by a thin layer of PCM GeTe; optical and transient thermal simulations have been done to find and optimize the fabrication parameters and switching parameters such as the intensity and duration of the pulse. We have found that the EIT-like response can be switched on and off by applying the 532nm laser pulse to change the phase of the upper GeTe layer. We strongly believe that such approach could open new perspectives in all-optically controlled slow-light metamaterials.

  11. Correlation between the dielectric constant and X-ray diffraction pattern of Si-O-C thin films with hydrogen bonds

    International Nuclear Information System (INIS)

    Oh, Teresa; Oh, Kyoung Suk; Lee, Kwang-Man; Choi, Chi Kyu

    2004-01-01

    The amorphous structure of organic-inorganic hybrid type Si-O-C thin films was studied using the first principles molecular-dynamics method with density functional techniques. The correlation between the dielectric constant and the degree of amorphous structure in organic-inorganic hybrid type Si-O-C thin films was studied. Si-O-C thin films were deposited by high-density plasma chemical vapor deposition using bis-trimethylsilylmethane and oxygen precursors. As-deposited films and films annealed at 500 deg. C were analyzed by X-ray diffraction (XRD). For quantitative analysis, the X-ray diffraction patterns of the samples were transformed to the radial distribution function (RDF) using Fourier analysis. Hybrid type Si-O-C thin films can be divided into three types using their amorphous structure and the dielectric constant: those with organic, hybrid, and inorganic properties

  12. Sol–gel deposited ceria thin films as gate dielectric for CMOS ...

    Indian Academy of Sciences (India)

    Sol–gel deposited ceria thin films as gate dielectric for CMOS technology. ANIL G KHAIRNAR ... The semiconductor roadmap following Moore's law is responsible for ..... The financial support from University Grants Commi- ssion (UGC), New ...

  13. Atomic layer deposition of crystalline SrHfO3 directly on Ge (001) for high-k dielectric applications

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G.; Hu, Chengqing; Jiang, Aiting; Yu, Edward T.; Lu, Sirong; Smith, David J.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO 3 (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10 −5 A/cm 2 at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D it ) is estimated to be as low as ∼2 × 10 12  cm −2  eV −1 under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D it value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications

  14. Quantum-dot size and thin-film dielectric constant: precision measurement and disparity with simple models.

    Science.gov (United States)

    Grinolds, Darcy D W; Brown, Patrick R; Harris, Daniel K; Bulovic, Vladimir; Bawendi, Moungi G

    2015-01-14

    We study the dielectric constant of lead sulfide quantum dot (QD) films as a function of the volume fraction of QDs by varying the QD size and keeping the ligand constant. We create a reliable QD sizing curve using small-angle X-ray scattering (SAXS), thin-film SAXS to extract a pair-distribution function for QD spacing, and a stacked-capacitor geometry to measure the capacitance of the thin film. Our data support a reduced dielectric constant in nanoparticles.

  15. Mechanistic interaction study of thin oxide dielectric with conducting organic electrode

    International Nuclear Information System (INIS)

    Sharma, Himani; Sethi, Kanika; Raj, P. Markondeya; Gerhardt, R.A.; Tummala, Rao

    2012-01-01

    Highlights: ► Thin film-oxide dielectric-organic electrode interface studies for investigating the leakage mechanism. ► XPS to elucidate chemical-structural changes on dielectric oxide surface. ► Correlates structural characterization data with capacitor leakage current and impedance spectroscopy characteristics. - Abstract: This paper aims at understanding the interaction of intrinsic conducting polymer, PEDT, with ALD-deposited Al 2 O 3 and thermally oxidized Ta 2 O 5 dielectrics, and the underlying mechanisms for increase in leakage currents in PEDT-based capacitors. Conducting polymers offer several advantages as electrodes for high surface area capacitors because of their lower resistance, self-healing and enhanced conformality. However, capacitors with in situ polymerized PEDT show poor electrical properties that are attributed to the interfacial interaction between the organic electrode and the oxide dielectric. This study focuses on characterizing these interactions. A combination of compositional, structural and electrical characterization techniques was applied to polymer-solid-state-capacitor to understand the interfacial chemical behavior and dielectric property deterioration of alumina and tantalum-oxide films. XPS and impedance studies were employed to understand the stiochiometric and compositional changes that occur in the dielectric film on interaction with in situ deposited PEDT. Based on the observations from several complimentary techniques, it is concluded that tantalum-pentoxide has more resistance towards chemical interaction with in situ polymerized PEDT. The thermally oxidized Ta 2 O 5 -PEDT system showed leakage current of 280 nA μF −1 at 3 V with a breakdown voltage of 30 V. On the other hand, Al 2 O 3 -PEDT capacitor showed leakage current of 50 μA μF −1 and a breakdown voltage of 40 V. The study reports direct evidence for the mechanism of resistivity drop in alumina dielectric with in situ polymerized PEDT electrode.

  16. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  17. Synthesis and Characterization of High-Dielectric-Constant Nanographite-Polyurethane Composite

    Science.gov (United States)

    Mishra, Praveen; Bhat, Badekai Ramachandra; Bhattacharya, B.; Mehra, R. M.

    2018-05-01

    In the face of ever-growing demand for capacitors and energy storage devices, development of high-dielectric-constant materials is of paramount importance. Among various dielectric materials available, polymer dielectrics are preferred for their good processability. We report herein synthesis and characterization of nanographite-polyurethane composite with high dielectric constant. Nanographite showed good dispersibility in the polyurethane matrix. The thermosetting nature of polyurethane gives the composite the ability to withstand higher temperature without melting. The resultant composite was studied for its dielectric constant (ɛ) as a function of frequency. The composite exhibited logarithmic variation of ɛ from 3000 at 100 Hz to 225 at 60 kHz. The material also exhibited stable dissipation factor (tan δ) across the applied frequencies, suggesting its ability to resist current leakage.

  18. Chemical mechanical polishing of BTO thin film for vertical sidewall patterning of high-density memory capacitor

    International Nuclear Information System (INIS)

    Kim, Nam-Hoon; Ko, Pil-Ju; Seo, Yong-Jin; Lee, Woo-Sun

    2006-01-01

    Most high-k materials cannot to be etched easily. Problems such as low etch rate, poor sidewall angle, plasma damage, and process complexity have emerged in high-density DRAM fabrication. Chemical mechanical polishing (CMP) by the damascene process has been used to pattern high-k materials for high-density capacitor. Barium titanate (BTO) thin film, a typical high-k material, was polished with three types of silica slurry having different pH values. Sufficient removal rate with adequate selectivity to realize the pattern mask of tetra-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle was obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. Planarization was also achieved for the subsequent multilevel processes. Our new CMP approach will provide a guideline for effective patterning of high-k materials by CMP

  19. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  20. Center for dielectric studies

    Science.gov (United States)

    Cross, L. E.; Newnham, R. E.; Biggers, J. V.

    1984-05-01

    This report focuses upon the parts of the Center program which have drawn most extensively upon Navy funds. In the basic study of polarization processes in high K dielectrics, major progress has been made in understanding the mechanisms in relaxor ferroelectric in the perovskite structure families. A new effort is also being mounted to obtain more precise evaluation of the internal stress effects in fine grained barium titanate. Related to reliability, studies of the effects of induced macro-defects are described, and preparation for the evaluation of space charge by internal potential distribution measurements discussed. To develop new processing methods for very thin dielectric layers, a new type of single barrier layer multilayer is discussed, and work on the thermal evaporation of oriented crystalline antimony sulphur iodide describe.

  1. Modeling the dielectric logging tool at high frequency

    International Nuclear Information System (INIS)

    Chew, W.C.

    1987-01-01

    The high frequency dielectric logging tool has been used widely in electromagnetic well logging, because by measuring the dielectric constant at high frequencies (1 GHz), the water saturation of rocks could be known without measuring the water salinity in the rocks. As such, it could be used to delineate fresh water bearing zones, as the dielectric constant of fresh water is much higher than that of oil while they may have the same resistivity. The authors present a computer model, though electromagnetic field analysis, the response of such a measurement tool in a well logging environment. As the measurement is performed at high frequency, usually with small separation between the transmitter and receivers, some small geological features could be measured by such a tool. They use the computer model to study the behavior of such a tool across geological bed boundaries, and also across thin geological beds. Such a study could be very useful in understanding the limitation on the resolution of the tool. Furthermore, they could study the standoff effect and the depth of investigation of such a tool. This could delineate the range of usefulness of the measurement

  2. Highly polarized single-c-domain single-crystal Pb(Mn,Nb)O(3)-PZT thin films.

    Science.gov (United States)

    Wasa, Kiyotaka; Adachi, Hideaki; Nishida, Ken; Yamamoto, Takashi; Matsushima, Tomoaki; Kanno, Isaku; Kotera, Hidetoshi

    2012-01-01

    In-plane unstrained single-c-domain/single-crystal thin films of PZT-based ternary ferroelectric perovskite, ξPb(Mn,Nb)O3-(1 - ξ)PZT, were grown on SrRuO(3)/Pt/MgO substrates using magnetron sputtering followed by quenching. The sputtered unstrained thin films exhibit unique ferroelectric properties: high coercive field, Ec > 180 kV/cm, large remanent polarization, P(r) = 100 μC/cm(2), small relative dielectric constants, ε* = 100 to 150, high Curie temperature, Tc = ~600 °C, and bulk-like large transverse piezoelectric constants, e31,f = -12.0 C/m(2) for PZT(48/52) at ξ = 0.06. The unstrained thin films are an ideal structure to extract the bulk ferroelectric properties. Their micro-structures and ferroelectric properties are discussed in relation to the potential applications for piezoelectric MEMS. © 2012 IEEE

  3. Emerging Applications for High K Materials in VLSI Technology

    Science.gov (United States)

    Clark, Robert D.

    2014-01-01

    The current status of High K dielectrics in Very Large Scale Integrated circuit (VLSI) manufacturing for leading edge Dynamic Random Access Memory (DRAM) and Complementary Metal Oxide Semiconductor (CMOS) applications is summarized along with the deposition methods and general equipment types employed. Emerging applications for High K dielectrics in future CMOS are described as well for implementations in 10 nm and beyond nodes. Additional emerging applications for High K dielectrics include Resistive RAM memories, Metal-Insulator-Metal (MIM) diodes, Ferroelectric logic and memory devices, and as mask layers for patterning. Atomic Layer Deposition (ALD) is a common and proven deposition method for all of the applications discussed for use in future VLSI manufacturing. PMID:28788599

  4. Emerging Applications for High K Materials in VLSI Technology

    Directory of Open Access Journals (Sweden)

    Robert D. Clark

    2014-04-01

    Full Text Available The current status of High K dielectrics in Very Large Scale Integrated circuit (VLSI manufacturing for leading edge Dynamic Random Access Memory (DRAM and Complementary Metal Oxide Semiconductor (CMOS applications is summarized along with the deposition methods and general equipment types employed. Emerging applications for High K dielectrics in future CMOS are described as well for implementations in 10 nm and beyond nodes. Additional emerging applications for High K dielectrics include Resistive RAM memories, Metal-Insulator-Metal (MIM diodes, Ferroelectric logic and memory devices, and as mask layers for patterning. Atomic Layer Deposition (ALD is a common and proven deposition method for all of the applications discussed for use in future VLSI manufacturing.

  5. Dielectric materials for use in thin-film capacitors

    Science.gov (United States)

    Carr, H. E.; Foster, W. D.; Fromhold, A. T., Jr.; Harbuck, T. A.

    1969-01-01

    Investigation report presents details of dielectric properties of various metals measured at 300 degrees K for thermally evaporated oxides from 300 to 6000 A in thickness. It is relevant to the medium of integrated circuitry.

  6. Black metal thin films by deposition on dielectric antireflective moth-eye nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Caringal, Gideon Peter; Clausen, Jeppe Sandvik

    2015-01-01

    Although metals are commonly shiny and highly reflective, we here show that thin metal films appear black when deposited on a dielectric with antireflective moth-eye nanostructures. The nanostructures were tapered and close-packed, with heights in the range 300-600 nm, and a lateral, spatial...... frequency in the range 5-7 mu m(-1). A reflectance in the visible spectrum as low as 6%, and an absorbance of 90% was observed for an Al film of 100 nm thickness. Corresponding experiments on a planar film yielded 80% reflectance and 20% absorbance. The observed absorbance enhancement is attributed...... to a gradient effect causing the metal film to be antireflective, analogous to the mechanism in dielectrics and semiconductors. We find that the investigated nanostructures have too large spatial frequency to facilitate efficient coupling to the otherwise non-radiating surface plasmons. Applications...

  7. Atomic layer deposition of crystalline SrHfO{sub 3} directly on Ge (001) for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Jiang, Aiting; Yu, Edward T. [Microelectronics Research Center, The University of Texas at Austin, Austin, Texas 78758 (United States); Lu, Sirong; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-02-07

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO{sub 3} (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10{sup −5} A/cm{sup 2} at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D{sub it}) is estimated to be as low as ∼2 × 10{sup 12 }cm{sup −2 }eV{sup −1} under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D{sub it} value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications.

  8. Acoustic Phonons and Mechanical Properties of Ultra-Thin Porous Low-k Films: A Surface Brillouin Scattering Study

    Science.gov (United States)

    Zizka, J.; King, S.; Every, A.; Sooryakumar, R.

    2018-04-01

    To reduce the RC (resistance-capacitance) time delay of interconnects, a key development of the past 20 years has been the introduction of porous low-k dielectrics to replace the traditional use of SiO2. Moreover, in keeping pace with concomitant reduction in technology nodes, these low-k materials have reached thicknesses below 100 nm wherein the porosity becomes a significant fraction of the film volume. The large degree of porosity not only reduces mechanical strength of the dielectric layer but also renders a need for non-destructive approaches to measure the mechanical properties of such ultra-thin films within device configurations. In this study, surface Brillouin scattering (SBS) is utilized to determine the elastic constants, Poisson's ratio, and Young's modulus of these porous low-k SiOC:H films (˜ 25-250 nm thick) grown on Si substrates by probing surface acoustic phonons and their dispersions.

  9. Structural characterization and dielectric properties of BaTiO3 thin films obtained by spin coating

    Directory of Open Access Journals (Sweden)

    Branimir Bajac

    2014-12-01

    Full Text Available Barium titanate thin films were prepared by spin coating deposition technique of an acetic precursor sol and sintered at 750, 900 and 1050 °C. Phase composition of the obtained thin films was characterized by X-ray diffraction and Raman spectroscopy. Their morphology was analysed by scanning electron microscopy and atomic force microscopy. Dielectric properties of thin films sintered at 750 and 900 °C were characterized by LCD device, where the influence of sintering temperature on dielectric permittivity and loss tangent was inspected. It was concluded that higher sintering temperature increases grain size and amount of tetragonal phase, hence higher relative permittivity was recorded. The almost constant relative permittivity in the measured frequency (800 Hz–0.5 MHz and temperature (25–200 °C ranges as well as low dielectric loss are very important for the application of BaTiO3 films in microelectronic devices.

  10. Conductivity, dielectric behavior and FTIR studies of high molecular weight poly(vinylchloride)-lithium triflate polymer electrolytes

    International Nuclear Information System (INIS)

    Ramesh, S.; Chai, M.F.

    2007-01-01

    Thin films of high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF 3 SO 3 ) salt were prepared by solution casting method. The ionic conductivity and dielectric measurements were carried out on these films over a wide frequency regime at various temperatures. The conductivity-temperature plots were found to obey classical Arrhenius relationship. The dielectric behavior was analysed using dielectric permittivity and dielectric modulus of the samples. FTIR studies show some simple overlapping and shift in peaks between high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF 3 SO 3 ) salt in the polymer electrolyte complexes

  11. Nanocomposites of TiO2/cyanoethylated cellulose with ultra high dielectric constants

    International Nuclear Information System (INIS)

    Madusanka, Nadeesh; Shivareddy, Sai G; Hiralal, Pritesh; Choi, Youngjin; Amaratunga, Gehan A J; Eddleston, Mark D; Oliver, Rachel A

    2016-01-01

    A novel dielectric nanocomposite containing a high permittivity polymer, cyanoethylated cellulose (CRS) and TiO 2 nanoparticles was successfully prepared with different weight percentages (10%, 20% and 30%) of TiO 2 . The intermolecular interactions and morphology within the polymer nanocomposites were analysed. TiO 2 /CRS nanofilms on SiO 2 /Si wafers were used to form metal–insulator–metal type capacitors. Capacitances and loss factors in the frequency range of 1 kHz–1 MHz were measured. At 1 kHz CRS-TiO 2 nanocomposites exhibited ultra high dielectric constants of 118, 176 and 207 for nanocomposites with 10%, 20% and 30% weight of TiO 2 respectively, significantly higher than reported values of pure CRS (21), TiO 2 (41) and other dielectric polymer-TiO 2 nanocomposite films. Furthermore, all three CRS-TiO 2 nanocomposites show a loss factor <0.3 at 1 kHz and low leakage current densities (10 −6 –10 −7 A cm −2 ). Leakage was studied using conductive atomic force microscopy and it was observed that the leakage is associated with TiO 2 nanoparticles embedded in the CRS polymer matrix. A new class of ultra high dielectric constant hybrids using nanoscale inorganic dielectrics dispersed in a high permittivity polymer suitable for energy management applications is reported. (paper)

  12. Thermal Experimental Analysis for Dielectric Characterization of High Density Polyethylene Nanocomposites

    Directory of Open Access Journals (Sweden)

    Ahmed Thabet Mohamed

    2016-01-01

    Full Text Available The importance of nanoparticles in controlling physical properties of polymeric nanocomposite materials leads us to study effects of these nanoparticles on electric and dielectric properties of polymers in industry In this research, the dielectric behaviour of High-Density Polyethylene (HDPE nanocomposites materials that filled with nanoparticles of clay or fumed silica has been investigated at various frequencies (10 Hz-1 kHz and temperatures (20-60°C. Dielectric spectroscopy has been used to characterize ionic conduction, then, the effects of nanoparticles concentration on the dielectric losses and capacitive charge of the new nanocomposites can be stated. Capacitive charge and loss tangent in high density polyethylene nanocomposites are measured by dielectric spectroscopy. Different dielectric behaviour has been observed depending on type and concentration of nanoparticles under variant thermal conditions.

  13. Hall effect of K-doped superconducting thin films

    Energy Technology Data Exchange (ETDEWEB)

    Son, Eunseon; Lee, Nam Hoon; Kang, Won Nam [Dept. of physics, Sungkyunkwan University, Suwon (Korea, Republic of); Hwang, Tae Jong; Kim, Dong Ho [Dept. of physics, Yeungnam University, Gyeongsan(Korea, Republic of)

    2013-09-15

    We have studied Hall effect for potassium (K)-doped BaFe{sub 2}As{sub 2}superconducting thin films by analyzing the relation between the longitudinal resistivity (ρ{sub xy}) and the Hall resistivity (ρ{sub xy}). The thin films used in this study were fabricated on Al{sub O3} (000l) substrates by using an ex-situ pulsed laser deposition (PLD) technique under a high-vacuum condition of ∼10{sup -6} Torr. The samples showed the high superconducting transition temperatures (T{sub C}) of ∼40 K. The ρ{sub xx} and ρ{sub xy}the for K-doped BaFeAs{sub 2} thin films were measured by using a physical property measurement system (PPMS) with a temperature sweep (T-sweep) mode at an applied current density of 100 A/cm{sup 2} and at magnetic fields from 0 up to 9 T. We report the T-sweep results of the ρ{sub xx} and the ρ{sub xy} to investigate Hall scaling behavior on the basis of the relation of ρ{sub xy} = A(ρ{sub xy}){sup β}. The ρ{sub xx} values are 3.0 ± 0.2 in the c-axis-oriented K-doped BaFeAs{sub 2} thin films, whereas the thin films with various oriented-directions like a polycrystal showed slightly lower β than that of c-axis-oriented thin films. Interestingly, the β value is decreased with increasing magnetic fields.

  14. Electrical Properties of Ultrathin Hf-Ti-O Higher k Gate Dielectric Films and Their Application in ETSOI MOSFET.

    Science.gov (United States)

    Xiong, Yuhua; Chen, Xiaoqiang; Wei, Feng; Du, Jun; Zhao, Hongbin; Tang, Zhaoyun; Tang, Bo; Wang, Wenwu; Yan, Jiang

    2016-12-01

    Ultrathin Hf-Ti-O higher k gate dielectric films (~2.55 nm) have been prepared by atomic layer deposition. Their electrical properties and application in ETSOI (fully depleted extremely thin SOI) PMOSFETs were studied. It is found that at the Ti concentration of Ti/(Ti + Hf) ~9.4%, low equivalent gate oxide thickness (EOT) of ~0.69 nm and acceptable gate leakage current density of 0.61 A/cm 2 @ (V fb  - 1)V could be obtained. The conduction mechanism through the gate dielectric is dominated by the F-N tunneling in the gate voltage range of -0.5 to -2 V. Under the same physical thickness and process flow, lower EOT and higher I on /I off ratio could be obtained while using Hf-Ti-O as gate dielectric compared with HfO 2 . With Hf-Ti-O as gate dielectric, two ETSOI PMOSFETs with gate width/gate length (W/L) of 0.5 μm/25 nm and 3 μm/40 nm show good performances such as high I on , I on /I off ratio in the magnitude of 10 5 , and peak transconductance, as well as suitable threshold voltage (-0.3~-0.2 V). Particularly, ETSOI PMOSFETs show superior short-channel control capacity with DIBL <82 mV/V and subthreshold swing <70 mV/decade.

  15. Microwave dielectric study of polar liquids at 298 K

    Science.gov (United States)

    Maharolkar, Aruna P.; Murugkar, A.; Khirade, P. W.

    2018-05-01

    Present paper deals with study of microwave dielectric properties like dielectric constant, viscosity, density and refractive index for the binary mixtures of Dimethylsulphoxide (DMSO) and Methanol over the entire concentration range were measured at 298K. The experimental data further used to determine the excess properties viz. excess static dielectric constant, excess molar volume, excess viscosity& derived properties viz. molar refraction&Bruggman factor. The values of excess properties further fitted with Redlich-Kister (R-K Fit) equation to calculate the binary coefficients and standard deviation. The resulting excess parameters are used to indicate the presence of intermolecular interactions and strength of intermolecular interactions between the molecules in the binary mixtures. Excess parameters indicate structure breaking factor in the mixture predominates in the system.

  16. Transfer-Free Fabrication of Graphene Scaffolds on High-k Dielectrics from Metal-Organic Oligomers.

    Science.gov (United States)

    Pang, Qingqing; Wang, Deyan; Wang, Xiuyan; Feng, Shaoguang; Clark, Michael B; Li, Qiaowei

    2016-09-28

    In situ fabrication of graphene scaffold-ZrO2 nanofilms is achieved by thermal annealing of Zr-based metal-organic oligomers on SiO2 substrates. The structural similarities of the aromatic moieties in the ligand (phenyl-, naphthyl-, anthryl-, and pyrenyl-) compared to graphene play a major role in the ordering of the graphene scaffolds obtained. The depth profiling analysis reveals ultrathin carbon-pure or carbon-rich surfaces of the graphene scaffold-ZrO2 nanofilms. The graphene scaffolds with ∼96.0% transmittance in the visible region and 4.8 nm in thickness can be grown with this non-chemical vapor deposition method. Furthermore, the heterogeneous graphene scaffold-ZrO2 nanofilms show a low sheet resistance of 17.0 kΩ per square, corresponding to electrical conductivity of 3197 S m(-1). The strategy provides a facile method to fabricate graphene scaffolds directly on high-k dielectrics without transferring process, paving the way for its application in fabricating electronic devices.

  17. Preparation and dielectric properties of compositionally graded lead barium zirconate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Xihong, E-mail: xhhao@imust.edu.c [Functional Materials Research Laboratory, Tongji University, Shanghai 200092 (China); School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhang, Zhiqing [School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhou, Jing [State Key Laboratory of Advanced Technology for Materials Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); An, Shengli [School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhai, Jiwei [Functional Materials Research Laboratory, Tongji University, Shanghai 200092 (China)

    2010-07-09

    Both up and down compositionally graded (Pb{sub 1-x}Ba{sub x})ZrO{sub 3} (PBZ) thin films with increasing x from 0.4 to 0.6 were deposited on Pt(1 1 1)-buffer layered silicon substrates through a sol-gel method. The microstructure and dielectric properties of graded PBZ thin films were investigated systemically. X-ray diffraction patterns confirmed that both PBZ films had crystallized into a pure perovskite phase after annealed 700 {sup o}C. Electrical measurement results showed that although up graded films had a slightly larger tunability, dielectric loss of down graded films was much lower than that of up graded films. Therefore, the figure of merit of down graded PBZ films was greatly enhanced, as compared with up graded films. Moreover, down graded PBZ thin films also displayed excellent temperature stability with a smaller temperature coefficient of capacitance (TCC) of -0.59 x 10{sup -3} {sup o}C{sup -1} from 20 {sup o}C to 80 {sup o}C.

  18. Structural and dielectric studies of Co doped MgTiO3 thin films fabricated by RF magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Santhosh Kumar

    2014-06-01

    Full Text Available We report the structural, dielectric and leakage current properties of Co doped MgTiO3 thin films deposited on platinized silicon (Pt/TiO2/SiO2/Si substrates by RF magnetron sputtering. The role of oxygen mixing percentage (OMP on the growth, morphology, electrical and dielectric properties of the thin films has been investigated. A preferred orientation of grains along (110 direction has been observed with increasing the OMP. Such evolution of the textured growth is explained on the basis of the orientation factor analysis followed the Lotgering model. (Mg1-xCoxTiO3 (x = 0.05 thin films exhibits a maximum relative dielectric permittivity of ɛr = 12.20 and low loss (tan δ ∼ 1.2 × 10−3 over a wide range of frequencies for 75% OMP. The role of electric field frequency (f and OMP on the ac-conductivity of (Mg0.95Co0.05TiO3 have been studied. A progressive increase in the activation energy (Ea and relative permittivity ɛr values have been noticed up to 75% of OMP, beyond which the properties starts deteriorate. The I-V characteristics reveals that the leakage current density decreases from 9.93 × 10−9 to 1.14 × 10−9 A/cm2 for OMP 0% to 75%, respectively for an electric field strength of 250 kV/cm. Our experimental results reveal up to that OMP ≥ 50% the leakage current mechanism is driven by the ohmic conduction, below which it is dominated by the schottky emission.

  19. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    Science.gov (United States)

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-05-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V-1 sec-1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process.

  20. Conductivity, dielectric behavior and FTIR studies of high molecular weight poly(vinylchloride)-lithium triflate polymer electrolytes

    Energy Technology Data Exchange (ETDEWEB)

    Ramesh, S. [Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Setapak, 53300 Kuala Lumpur (Malaysia)]. E-mail: ramesh@mail.utar.edu.my; Chai, M.F. [Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Setapak, 53300 Kuala Lumpur (Malaysia)

    2007-05-15

    Thin films of high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF{sub 3}SO{sub 3}) salt were prepared by solution casting method. The ionic conductivity and dielectric measurements were carried out on these films over a wide frequency regime at various temperatures. The conductivity-temperature plots were found to obey classical Arrhenius relationship. The dielectric behavior was analysed using dielectric permittivity and dielectric modulus of the samples. FTIR studies show some simple overlapping and shift in peaks between high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF{sub 3}SO{sub 3}) salt in the polymer electrolyte complexes.

  1. Nature of Dielectric Properties, Electric Modulus and AC Electrical Conductivity of Nanocrystalline ZnIn2Se4 Thin Films

    Science.gov (United States)

    El-Nahass, M. M.; Attia, A. A.; Ali, H. A. M.; Salem, G. F.; Ismail, M. I.

    2018-02-01

    The structural characteristics of thermally deposited ZnIn2Se4 thin films were indexed utilizing x-ray diffraction as well as scanning electron microscopy techniques. Dielectric properties, electric modulus and AC electrical conductivity of ZnIn2Se4 thin films were examined in the frequency range from 42 Hz to 106 Hz. The capacitance, conductance and impedance were measured at different temperatures. The dielectric constant and dielectric loss decrease with an increase in frequency. The maximum barrier height was determined from the analysis of the dielectric loss depending on the Giuntini model. The real part of the electric modulus revealed a constant maximum value at higher frequencies and the imaginary part of the electric modulus was characterized by the appearance of dielectric relaxation peaks. The AC electrical conductivity obeyed the Jonscher universal power law. Correlated barrier hopping model was the appropriate mechanism for AC conduction in ZnIn2Se4 thin films. Estimation of the density of states at the Fermi level and activation energy, for AC conduction, was carried out based on the temperature dependence of AC electrical conductivity.

  2. Magnetoelectric coupling effect in transition metal modified polycrystalline BiFeO3 thin films

    International Nuclear Information System (INIS)

    Sreenivas Puli, Venkata; Kumar Pradhan, Dhiren; Gollapudi, Sreenivasulu; Coondoo, Indrani; Panwar, Neeraj; Adireddy, Shiva; Chrisey, Douglas B.; Katiyar, Ram S.

    2014-01-01

    Rare-earth (Sm) and transition metal (Co) modified polycrystalline BiFeO 3 (BFO) thin films have been deposited on Pt/TiO 2 /SiO 2 /Si substrate successfully through pulsed laser deposition (PLD) technique. Piezoelectric, leakage current and temperature dependent dielectric and magnetic behaviour were investigated for the films. Typical “butterfly-shaped” loop were observed in BSFCO films with an effective piezoelectric constant (d 33 ) ∼94 pm/V at 0.6 MV/cm. High dielectric constant ∼900 and low dielectric loss ∼0.25 were observed at room temperature. M–H loops have shown relatively high saturation magnetization ∼35 emu/cm 3 at a maximum field of H ∼20 kOe. Enhanced magnetoelectric coupling response is observed under applied magnetic field. The multiferroic, piezoelectric, leakage current behaviours were explored. Such studies should be helpful in designing multiferroic materials based on BSFCO films. - Highlights: • Transition metal modified polycrystalline BiFeO 3 thin films prepared using PLD. • High ME-coupling response was observed in co-substituted BiFeO 3 thin films. • High magnetization ∼35 emu/cm 3 at a maximum field of H ∼20 kOe. • Low leakage current might be due to co-substitution in BiFeO 3 thin films. • A notable piezoelectric constant d 33 ∼94 pm/V was found in BiFeO 3 thin films

  3. Full Polymer Dielectric Elastomeric Actuators (DEA Functionalised with Carbon Nanotubes and High-K Ceramics

    Directory of Open Access Journals (Sweden)

    Tilo Köckritz

    2016-09-01

    Full Text Available Dielectric elastomer actuators (DEA are special devices which have a simple working and construction principle and outstanding actuation properties. The DEAs consist of a combination of different materials for the dielectric and electrode layers. The combination of these layers causes incompatibilities in their interconnections. Dramatic differences in the mechanical properties and bad adhesion of the layers are the principal causes for the reduction of the actuation displacement and strong reduction of lifetime. Common DEAs achieve actuation displacements of 2% and a durability of some million cycles. The following investigations represent a new approach to solving the problems of common systems. The investigated DEA consists of only one basic raw polymer, which was modified according to the required demands of each layer. The basic raw polymer was modified with single-walled carbon nanotubes or high-k ceramics, for example, lead magnesium niobate-lead titanate. The development of the full polymer DEA comprised the development of materials and technologies to realise a reproducible layer composition. It was proven that the full polymer actuator worked according to the theoretical rules. The investigated system achieved actuation displacements above 20% regarding thickness, outstanding interconnections at each layer without any failures, and durability above 3 million cycles without any indication of an impending malfunction.

  4. Analysis of OFF-state and ON-state performance in a silicon-on-insulator power MOSFET with a low-k dielectric trench

    International Nuclear Information System (INIS)

    Wang Zhigang; Zhang Bo; Li Zhaoji

    2013-01-01

    A novel silicon-on-insulator (SOI) MOSFET with a variable low-k dielectric trench (LDT MOSFET) is proposed and its performance and characteristics are investigated. The trench in the drift region between drain and source is filled with low-k dielectric to extend the effective drift region. At OFF state, the low-k dielectric trench (LDT) can sustain high voltage and enhance the dielectric field due to the accumulation of ionized charges. At the same time, the vertical dielectric field in the buried oxide can also be enhanced by these ionized charges. Additionally, ON-state analysis of LDT MOSFET demonstrates excellent forward characteristics, such as low gate-to-drain charge density ( 2 ) and a robust safe operating area (0–84 V). (semiconductor devices)

  5. Magnetoelectric coupling effect in transition metal modified polycrystalline BiFeO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sreenivas Puli, Venkata, E-mail: pvsri123@gmail.com [Department of Physics and Engineering Physics, Tulane University, New Orleans, LA 70118 (United States); Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States); Kumar Pradhan, Dhiren [Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States); Gollapudi, Sreenivasulu [Department of Physics, Oakland University, Rochester, MI 48309-4401 (United States); Coondoo, Indrani [Department of Materials and Ceramic and CICECO, University of Aveiro, 3810-193 Aveiro (Portugal); Panwar, Neeraj [Department of Physics, Central University of Rajasthan, Bandar Sindri, Kishangarh 305801, Rajasthan (India); Adireddy, Shiva; Chrisey, Douglas B. [Department of Physics and Engineering Physics, Tulane University, New Orleans, LA 70118 (United States); Katiyar, Ram S. [Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States)

    2014-11-15

    Rare-earth (Sm) and transition metal (Co) modified polycrystalline BiFeO{sub 3} (BFO) thin films have been deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si substrate successfully through pulsed laser deposition (PLD) technique. Piezoelectric, leakage current and temperature dependent dielectric and magnetic behaviour were investigated for the films. Typical “butterfly-shaped” loop were observed in BSFCO films with an effective piezoelectric constant (d{sub 33}) ∼94 pm/V at 0.6 MV/cm. High dielectric constant ∼900 and low dielectric loss ∼0.25 were observed at room temperature. M–H loops have shown relatively high saturation magnetization ∼35 emu/cm{sup 3} at a maximum field of H ∼20 kOe. Enhanced magnetoelectric coupling response is observed under applied magnetic field. The multiferroic, piezoelectric, leakage current behaviours were explored. Such studies should be helpful in designing multiferroic materials based on BSFCO films. - Highlights: • Transition metal modified polycrystalline BiFeO{sub 3} thin films prepared using PLD. • High ME-coupling response was observed in co-substituted BiFeO{sub 3} thin films. • High magnetization ∼35 emu/cm{sup 3} at a maximum field of H ∼20 kOe. • Low leakage current might be due to co-substitution in BiFeO{sub 3} thin films. • A notable piezoelectric constant d{sub 33} ∼94 pm/V was found in BiFeO{sub 3} thin films.

  6. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    Science.gov (United States)

    Venkata Saravanan, K.; Raju, K. C. James

    2014-03-01

    The surface chemical states of RF-magnetron sputtered Ba0.5Sr0.5TiO3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O2 -, adsorbed oxide ion O- and lattice oxide ion O2-) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP ≦̸ 25%), whereas the films deposited in oxygen rich atmosphere (OMP ≧̸ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ~65% (@280 kV cm-1), with good ɛ r-E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications.

  7. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  8. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure.

    Science.gov (United States)

    Chen, Szu-Hung; Liao, Wen-Shiang; Yang, Hsin-Chia; Wang, Shea-Jue; Liaw, Yue-Gie; Wang, Hao; Gu, Haoshuang; Wang, Mu-Chun

    2012-08-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal-semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials.

  9. Frequency and Temperature Dependent Dielectric Properties of Free-standing Strontium Titanate Thin Films.

    Science.gov (United States)

    Dalberth, Mark J.; Stauber, Renaud E.; Anderson, Britt; Price, John C.; Rogers, Charles T.

    1998-03-01

    We will report on the frequency and temperature dependence of the complex dielectric function of free-standing strontium titanate (STO) films. STO is an incipient ferroelectric with electric-field tunable dielectric properties of utility in microwave electronics. The films are grown epitaxially via pulsed laser deposition on a variety of substrates, including lanthanum aluminate (LAO), neodymium gallate (NGO), and STO. An initial film of yttrium barium cuprate (YBCO) is grown on the substrate, followed by deposition of the STO layer. Following deposition, the sacrificial YBCO layer is chemically etched away in dilute nitric acid, leaving the substrate and a released, free-standing STO film. Coplanar capacitor structures fabricated on the released films allow us to measure the dielectric response. We observe a peak dielectric function in excess of 5000 at 35K, change in dielectric constant of over a factor of 8 for 10Volt/micron electric fields, and temperature dependence above 50K that is very similar to bulk material. The dielectric loss shows two peaks, each with a thermally activated behavior, apparently arising from two types of polar defects. We will discuss the correlation between dielectric properties, growth conditions, and strain in the free-standing STO films.

  10. Investigation of optical pump on dielectric tunability in PZT/PT thin film by THz spectroscopy.

    Science.gov (United States)

    Ji, Jie; Luo, Chunya; Rao, Yunkun; Ling, Furi; Yao, Jianquan

    2016-07-11

    The dielectric spectra of single-layer PbTiO3 (PT), single-layer PbZrxTi1-xO3 (PZT) and multilayer PZT/PT thin films under an external optical field were investigated at room temperature by time-domain terahertz (THz) spectroscopy. Results showed that the real part of permittivity increased upon application of an external optical field, which could be interpreted as hardening of the soft mode and increasing of the damping coefficient and oscillator strength. Furthermore, the central mode was observed in the three films. Among the dielectric property of the three thin films studied, the tunability of the PZT/PT superlattice was the largest.

  11. Enhanced polarization and dielectric properties of Pb(Zr1-xTix)O3 thin films

    Science.gov (United States)

    Ortega, N.; Kumar, Ashok; Katiyar, R. S.

    2008-10-01

    We report the fabrication of PbZr0.57Ti0.43O3 (PZT) thin films with preferential growth along (111) and random crystalline orientation on the platinized silicon substrates using pulsed laser deposition technique. X-ray diffraction patterns and surface morphology indicate increase in grain size and nucleation, which support better perovskite matrix with increase in annealing temperature. We observed large dielectric constant (˜4000) and enhanced remanent polarization 70 μC/cm2 at room temperature attributed to grain growth and intermetallic Pt-Pb transient phase. Frequency dependent polarization showed minor reduction in polarization above 10 kHz frequencies. Normalized fatigue characteristic of PZT thin films showed minimal 25% degradation in remanent polarization after 109 cycles, which may be useful for memory devices. ac conductivity spectra illustrated that anomaly near the phase transition temperature with activation energy (Ea˜0.60-0.75 eV) supports the intrinsic nature of ferroelectric phase transition.

  12. Electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous InGaZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Her, Jim-Long [Division of Natural Science, Center for General Education, Chang Gung University, Taoyuan 333, Taiwan (China); Pan, Tung-Ming, E-mail: tmpan@mail.cgu.edu.tw [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Liu, Jiang-Hung; Wang, Hong-Jun; Chen, Ching-Hung [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Koyama, Keiichi [Graduate School of Science and Engineering, Kagoshima University, Kagoshima 890-0065 (Japan)

    2014-10-31

    In this article, we studied the structural properties and electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) applications. The a-IGZO TFT device featuring the GdTiO{sub 3} gate dielectric exhibited better electrical characteristics, including a small threshold voltage of 0.14 V, a large field-effect mobility of 32.3 cm{sup 2}/V-s, a high I{sub on}/I{sub off} current ratio of 4.2 × 10{sup 8}, and a low subthreshold swing of 213 mV/decade. Furthermore, the electrical instability of GdTiO{sub 3} a-IGZO TFTs was investigated under both positive gate-bias stress (PGBS) and negative gate-bias stress (NGBS) conditions. The electron charge trapping in the gate dielectric dominates the PGBS degradation, while the oxygen vacancies control the NGBS degradation. - Highlights: • Indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) • Structural and electrical properties of the GdTiO{sub 3} film were studied. • a-IGZO TFT featuring GdTi{sub x}O{sub y} dielectric exhibited better electrical characteristics. • TFT instability investigated under positive and negative gate-bias stress conditions.

  13. Silicone elastomers with high dielectric permittivity and high dielectric breakdown strength based on dipolar copolymers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Daugaard, Anders Egede

    2014-01-01

    Dielectric elastomers (DES) are a promising new transducer technology, but high driving voltages limit their current commercial potential. One method used to lower driving voltage is to increase dielectric permittivity of the elastomer. A novel silicone elastomer system with high dielectric...

  14. Joining Chemical Pressure and Epitaxial Strain to Yield Y-doped BiFeO3 Thin Films with High Dielectric Response

    Science.gov (United States)

    Scarisoreanu, N. D.; Craciun, F.; Birjega, R.; Ion, V.; Teodorescu, V. S.; Ghica, C.; Negrea, R.; Dinescu, M.

    2016-05-01

    BiFeO3 is one of the most promising multiferroic materials but undergoes two major drawbacks: low dielectric susceptibility and high dielectric loss. Here we report high in-plane dielectric permittivity (ε’ ∼2500) and low dielectric loss (tan δ priced target.

  15. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S. [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada)

    2015-07-13

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer into the IGZO and resulted in higher resistivity films.

  16. Atomic scale engineering of HfO{sub 2}-based dielectrics for future DRAM applications

    Energy Technology Data Exchange (ETDEWEB)

    Dudek, Piotr

    2011-02-14

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO{sub 2} thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO{sub 2} (BaHfO{sub 3}) films and Ti-added BaHfO{sub 3} (BaHf{sub 0.5}Ti{sub 0.5}O{sub 3}) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO{sub 3} (k{proportional_to}38) and BaHf{sub 0.5}Ti{sub 0.5}O{sub 3} (k{proportional_to}90) with respect to monoclinic HfO{sub 2} (k{proportional_to}19). Meanwhile the CET values scaled down to 1 nm for BaHfO{sub 3} and {proportional_to}0.8 nm for BaHf{sub 0.5}Ti{sub 0.5}O{sub 3} with respect to HfO{sub 2} (CET=1.5 nm). The Hf{sup 4+} ions substitution in BaHfO{sub 3} by Ti{sup 4+} ions led to a significant decrease of thermal budget from 900 C for BaHfO{sub 3} to 700 C for BaHf{sub 0.5}Ti{sub 0.5}O{sub 3}. Future studies need to focus

  17. Thermal dielectric function

    International Nuclear Information System (INIS)

    Moneta, M.

    1999-01-01

    Thermal dielectric functions ε(k,ω) for homogeneous electron gas were determined and discussed. The ground state of the gas is described by the Fermi-Dirac momentum distribution. The low and high temperature limits of ε(k,ω) were related to the Lindhard dielectric function and to ε(k, omega) derived for Boltzmann and for classical momentum distributions, respectively. (author)

  18. Computation of Dielectric Response in Molecular Solids for High Capacitance Organic Dielectrics.

    Science.gov (United States)

    Heitzer, Henry M; Marks, Tobin J; Ratner, Mark A

    2016-09-20

    The dielectric response of a material is central to numerous processes spanning the fields of chemistry, materials science, biology, and physics. Despite this broad importance across these disciplines, describing the dielectric environment of a molecular system at the level of first-principles theory and computation remains a great challenge and is of importance to understand the behavior of existing systems as well as to guide the design and synthetic realization of new ones. Furthermore, with recent advances in molecular electronics, nanotechnology, and molecular biology, it has become necessary to predict the dielectric properties of molecular systems that are often difficult or impossible to measure experimentally. In these scenarios, it is would be highly desirable to be able to determine dielectric response through efficient, accurate, and chemically informative calculations. A good example of where theoretical modeling of dielectric response would be valuable is in the development of high-capacitance organic gate dielectrics for unconventional electronics such as those that could be fabricated by high-throughput printing techniques. Gate dielectrics are fundamental components of all transistor-based logic circuitry, and the combination high dielectric constant and nanoscopic thickness (i.e., high capacitance) is essential to achieving high switching speeds and low power consumption. Molecule-based dielectrics offer the promise of cheap, flexible, and mass producible electronics when used in conjunction with unconventional organic or inorganic semiconducting materials to fabricate organic field effect transistors (OFETs). The molecular dielectrics developed to date typically have limited dielectric response, which results in low capacitances, translating into poor performance of the resulting OFETs. Furthermore, the development of better performing dielectric materials has been hindered by the current highly empirical and labor-intensive pace of synthetic

  19. X-ray diffraction stress analysis of ferroelectric thin films with ideal (h k l) textures considering the piezoelectric coupling effect

    International Nuclear Information System (INIS)

    Wu Huaping; Wu Linzhi; Li Jiquan; Chai Guozhong; Du Shanyi

    2010-01-01

    Ferroelectric thin films present large residual stress and strong texture during preparation, which affect the mechanical, dielectric and piezoelectric properties of the thin films. The determination of residual stresses in ferroelectric thin films with different textures is therefore very important. In this paper, an extended crystallite group model to evaluate the residual stresses of ferroelectric thin films using X-ray diffraction is proposed by considering the constitutive equation of orthogonally anisotropic ferroelectric medium. The effects of anisotropy and piezoelectric coupling on residual stresses of ferroelectric thin films are analyzed. X-ray stress factors for ideal (h k l)-textured ferroelectric thin films are obtained. An example of calculating the residual stresses of tetragonal perovskite ferroelectric thin films with (1 1 1) and (1 0 0) textures using the extended model is provided to validate the model.

  20. Laser-induced damage to thin film dielectric coatings

    International Nuclear Information System (INIS)

    Walker, T.W.

    1980-01-01

    The laser-induced damage thresholds of dielectric thin film coatings have been found to be more than an order of magnitude lower than the bulk material damage thresholds. Prior damage studies have been inconclusive in determining the damage mechanism which is operative in thin films. A program was conducted in which thin film damage thresholds were measured as a function of laser wavelength (1.06 μm, 0.53 μm, 0.35 μm and 0.26 μm), laser pulse length (5 and 15 nanoseconds), film materials and film thickness. The large matrix of data was compared to predictions given by avalanche ionization, multiphoton ionization and impurity theories of laser damage. When Mie absorption cross-sections and the exact thermal equations were included into the impurity theory excellent agreement with the data was found. The avalanche and multiphoton damage theories could not account for most parametric variations in the data. For example, the damage thresholds for most films increased as the film thickness decreased and only the impurity theory could account for this behavior. Other observed changes in damage threshold with changes in laser wavelength, pulse length and film material could only be adequately explained by the impurity theory. The conclusion which results from this study is that laser damage in thin film coatings results from absorbing impurities included during the deposition process

  1. Changes of optical, dielectric, and structural properties of Si15Sb85 phase change memory thin films under different initializing laser power

    International Nuclear Information System (INIS)

    Huang Huan; Zhang Lei; Wang Yang; Han Xiaodong; Wu Yiqun; Zhang Ze; Gan Fuxi

    2011-01-01

    Research highlights: → We study the optical, dielectric, and structural characteristics of Si 15 Sb 85 phase change memory thin films under a moving continuous-wave laser initialization. → The optical and dielectric constants, absorption coefficient of Si 15 Sb 85 change regularly with the increasing laser power. → The optical band gaps of Si 15 Sb 85 irradiated upon different power lasers were calculated. → HRTEM images of the samples were observed and the changes of optical and dielectric constants are determined by crystalline structures changes of the films. - Abstract: The optical, dielectric, and structural characteristics of Si 15 Sb 85 phase change memory thin films under a moving continuous-wave laser initialization are studied by using spectroscopic ellipsometry and high-resolution transmission electron microscopy. The dependence of complex refractive index, dielectric functions, absorption coefficient, and optical band gap of the films on its crystallization extents formed by the different initialization laser power are analyzed in detail. The structural change from as-deposited amorphous phase to distorted rhombohedra-Sb-like crystalline structure with the increase of initialization laser power is clearly observed with sub-nanometer resolution. The optical and dielectric constants, the relationship between them, and the local atomic arrangements of this new phase change material can help explain the phase change mechanism and design the practical phase change memory devices.

  2. Optical and microwave dielectric properties of pulsed laser deposited Na{sub 0.5}Bi{sub 0.5}TiO{sub 3} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Joseph, Andrews; Goud, J. Pundareekam; Raju, K. C. James [School of Physics, University of Hyderabad, Hyderabad, Telangana 500046 (India); Emani, Sivanagi Reddy [Advanced Center of Research in High Energy Materials (ACRHEM), School of Physics, University of Hyderabad, Telangana 500046 (India)

    2016-05-23

    Optical properties of pulsed laser deposited (PLD) sodium bismuth titanate thin films (NBT), are investigated at wavelengths of 190-2500 nm. Microwave dielectric properties were investigated using the Split Post Dielectric Resonator (SPDR) technique. At 10 GHz, the NBT films have a dielectric constant of 205 and loss tangent of 0.0373 at room temperature. The optical spectra analysis reveals that NBT thin films have an optical band gap E{sub g}=3.55 eV and it has a dielectric constant of 3.37 at 1000 nm with dielectric loss of 0.299. Hence, NBT is a promising candidate for photonic device applications.

  3. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    International Nuclear Information System (INIS)

    Venkata Saravanan, K; James Raju, K C

    2014-01-01

    The surface chemical states of RF-magnetron sputtered Ba 0.5 Sr 0.5 TiO 3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O 2 − , adsorbed oxide ion O − and lattice oxide ion O 2− ) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP not ≦ 25%), whereas the films deposited in oxygen rich atmosphere (OMP not ≧ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O 2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ∼65% (@280 kV cm −1 ), with good ϵ r -E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications. (papers)

  4. Visualization of dielectric constant-electric field-temperature phase maps for imprinted relaxor ferroelectric thin films

    International Nuclear Information System (INIS)

    Frederick, J. C.; Kim, T. H.; Maeng, W.; Brewer, A. A.; Podkaminer, J. P.; Saenrang, W.; Vaithyanathan, V.; Schlom, D. G.; Li, F.; Chen, L.-Q.; Trolier-McKinstry, S.; Rzchowski, M. S.; Eom, C. B.

    2016-01-01

    The dielectric phase transition behavior of imprinted lead magnesium niobate–lead titanate relaxor ferroelectric thin films was mapped as a function of temperature and dc bias. To compensate for the presence of internal fields, an external electric bias was applied while measuring dielectric responses. The constructed three-dimensional dielectric maps provide insight into the dielectric behaviors of relaxor ferroelectric films as well as the temperature stability of the imprint. The transition temperature and diffuseness of the dielectric response correlate with crystallographic disorder resulting from strain and defects in the films grown on strontium titanate and silicon substrates; the latter was shown to induce a greater degree of disorder in the film as well as a dielectric response lower in magnitude and more diffuse in nature over the same temperature region. Strong and stable imprint was exhibited in both films and can be utilized to enhance the operational stability of piezoelectric devices through domain self-poling.

  5. An Approach for Measuring the Dielectric Strength of OLED Materials

    Directory of Open Access Journals (Sweden)

    Sujith Sudheendran Swayamprabha

    2018-06-01

    Full Text Available Surface roughness of electrodes plays a key role in the dielectric breakdown of thin-film organic devices. The rate of breakdown will increase when there are stochastic sharp spikes on the surface of electrodes. Additionally, surface having spiking morphology makes the determination of dielectric strength very challenging, specifically when the layer is relatively thin. We demonstrate here a new approach to investigate the dielectric strength of organic thin films for organic light-emitting diodes (OLEDs. The thin films were deposited on a substrate using physical vapor deposition (PVD under high vacuum. The device architectures used were glass substrate/indium tin oxide (ITO/organic material/aluminum (Al and glass substrate/Al/organic material/Al. The dielectric strength of the OLED materials was evaluated from the measured breakdown voltage and layer thickness.

  6. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  7. Effect of La doping on crystalline orientation, microstructure and dielectric properties of PZT thin films

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Wencai; Li, Qi; Wang, Xing [Dalian Univ. of Technology, Dalian (China). School of Mechanical Engineering; Yin, Zhifu [Jilin Univ., Changchun (China). Faculty of the School of Mechanical Science and Engineering; Zou, Helin [Dalian Univ. of Technology, Dalian (China). Key Lab. for Micro/Nano Systems and Technology

    2017-11-01

    Lanthanum (La)-modified lead zirconate titanate (PLZT) thin films with doping concentration from 0 to 5 at.-% have been fabricated by sol-gel methods to investigate the effects of La doping on crystalline orientation, microstructure and dielectric properties of the modified films. The characterization of PLZT thin films were performed by X-ray diffractometry (XRD), scanning electron microscopy (SEM) and precision impedance analysis. XRD analysis showed that PLZT films with La doping concentration below 4 at.-% exhibited (100) preferred orientation. SEM results indicated that PLZT films presented dense and columnar microstructures when La doping concentration was less than 3 at.-%, while the others showed columnar microstructures only at the bottom of the cross section. The maximum dielectric constant (1502.59 at 100 Hz) was obtained in a 2 at.-% La-doped film, which increased by 53.9 % compared with undoped film. Without introducing a seed layer, (100) oriented PLZT thin films were prepared by using conventional heat treatment process and adjusting La doping concentration.

  8. Nonlinear dielectric response in ferroelectric thin films

    Directory of Open Access Journals (Sweden)

    Lente, M. H.

    2004-08-01

    Full Text Available Electrical permittivity dependence on electric external bias field was investigated in PZT thin films. The results revealed the existence of two mechanisms contributing to the electrical permittivity. The first one was related to the domain reorientation, which was responsible for a strong no linear dielectric behavior, acting only during the poling process. The second mechanism was associated with the domain wall vibrations, which presented a reasonable linear electrical behavior with the applied bias field, contributing always to the permittivity independently of the poling state of the sample. The results also indicated that the gradual reduction of the permittivity with the increase of the bias field strength may be related to the gradual bending of the domain walls. It is believed that the domain wall bending induces a hardening and/or a thinning of the walls, thus reducing the electrical permittivity. A reinterpretation of the model proposed in the literature to explain the dielectric characteristics of ferroelectric materials at high electric field regime is proposed.

    Se ha estudiado la dependencia de la permitividad eléctrica con un campo bias externo en láminas delgadas de PZT. Los resultados revelaron la existencia de dos mecanismos que contribuyen a la permitividad eléctrica. El primero está relacionado con la reorientación de dominios, actúa sólo durante el proceso de polarización y es responsable de un comportamiento dieléctrico fuertemente no lineal. El segundo mecanismo se asocia a las vibraciones de las paredes de dominio, presentando un comportamiento eléctrico razonablemente lineal con el campo bias aplicado, contribuyendo siempre a la permitividad independientemente del estado de polarización de la muestra. Los resultados indicaron también que la reducción gradual de la permitividad con el aumento de la fuerza del campo bias podría estar relacionada con el “bending” gradual de las paredes de dominio

  9. Preferential growth and enhanced dielectric properties of Ba0.7Sr0.3TiO3 thin films with preannealed Pt bottom electrode

    International Nuclear Information System (INIS)

    Zhu Xiaohong; Ren Yinjuan; Zhang Caiyun; Zhu Jiliang; Zhu Jianguo; Xiao Dingquan; Defaÿ, Emmanuel; Aïd, Marc

    2013-01-01

    Ba 0.7 Sr 0.3 TiO 3 (BST) thin films, about 100 nm in thickness, were prepared on unannealed and 700 °C-preannealed Pt bottom electrodes by the ion beam sputtering and post-deposition annealing method. It was found that the preannealed Pt layer has a more compact structure, making it not only a bottom electrode but also a good template for high-quality BST thin film growth. The BST films deposited on preannealed Pt bottom electrodes showed (0 0 l)-preferred orientation, dense and uniform microstructure with no intermediate phase formed at the film/electrode interface, and thus enhanced dielectric properties. As a result, the typical relative dielectric constant and tunability (under a dc electric field of 1 MV cm −1 ) reach 180 and 50.1%, respectively, for the BST thin films with preannealed Pt bottom electrodes, which are significantly higher than those (166 and 41.3%, respectively) for the BST thin films deposited on unannealed Pt bottom electrodes. (paper)

  10. Preferential growth and enhanced dielectric properties of Ba0.7Sr0.3TiO3 thin films with preannealed Pt bottom electrode

    Science.gov (United States)

    Zhu, Xiaohong; Defaÿ, Emmanuel; Aïd, Marc; Ren, Yinjuan; Zhang, Caiyun; Zhu, Jiliang; Zhu, Jianguo; Xiao, Dingquan

    2013-03-01

    Ba0.7Sr0.3TiO3 (BST) thin films, about 100 nm in thickness, were prepared on unannealed and 700 °C-preannealed Pt bottom electrodes by the ion beam sputtering and post-deposition annealing method. It was found that the preannealed Pt layer has a more compact structure, making it not only a bottom electrode but also a good template for high-quality BST thin film growth. The BST films deposited on preannealed Pt bottom electrodes showed (0 0 l)-preferred orientation, dense and uniform microstructure with no intermediate phase formed at the film/electrode interface, and thus enhanced dielectric properties. As a result, the typical relative dielectric constant and tunability (under a dc electric field of 1 MV cm-1) reach 180 and 50.1%, respectively, for the BST thin films with preannealed Pt bottom electrodes, which are significantly higher than those (166 and 41.3%, respectively) for the BST thin films deposited on unannealed Pt bottom electrodes.

  11. Effect of annealing temperature on structural and electrical properties of high-κ YbTixOy gate dielectrics for InGaZnO thin film transistors

    International Nuclear Information System (INIS)

    Pan, Tung-Ming; Chen, Fa-Hsyang; Hung, Meng-Ning

    2015-01-01

    This paper describes the effect of annealing temperature on the structural properties and electrical characteristics of high–κ YbTi x O y gate dielectrics for indium–gallium–zinc–oxide (IGZO) thin-film transistors (TFTs). X-ray diffraction, x-ray photoelectron spectroscopy and atomic force microscopy were used to study the structural, chemical and morphological features, respectively, of these dielectric films annealed at 200, 300 and 400 °C. The YbTi x O y IGZO TFT that had been annealed at 400 °C exhibited better electrical characteristics, such as a small threshold voltage of 0.53 V, a large field-effect mobility of 19.1 cm 2 V −1 s −1 , a high I on /I off ratio of 2.8 × 10 7 , and a low subthreshold swing of 176 mV dec. −1 , relative to those of the systems that had been subjected to other annealing conditions. This result suggests that YbTi x O y dielectric possesses a higher dielectric constant as well as lower oxygen vacancies (or defects) in the film. In addition, the instability of YbTi x O y IGZO TFT was studied under positive gate-bias stress and negative gate-bias stress conditions. (paper)

  12. Control of Nanoplane Orientation in voBN for High Thermal Anisotropy in a Dielectric Thin Film: A New Solution for Thermal Hotspot Mitigation in Electronics.

    Science.gov (United States)

    Cometto, Olivier; Samani, Majid K; Liu, Bo; Sun, Shuangxi; Tsang, Siu Hon; Liu, Johan; Zhou, Kun; Teo, Edwin H T

    2017-03-01

    High anisotropic thermal materials, which allow heat to dissipate in a preferential direction, are of interest as a prospective material for electronics as an effective thermal management solution for hot spots. However, due to their preferential heat propagation in the in-plane direction, the heat spreads laterally instead of vertically. This limitation makes these materials ineffective as the density of hot spots increases. Here, we produce a new dielectric thin film material at room temperature, named vertically ordered nanocrystalline h-BN (voBN). It is produced such that its preferential thermally conductive direction is aligned in the vertical axis, which facilitates direct thermal extraction, thereby addressing the increasing challenge of thermal crosstalk. The uniqueness of voBN comes from its h-BN nanocrystals where all their basal planes are aligned in the direction normal to the substrate plane. Using the 3ω method, we show that voBN exhibits high anisotropic thermal conductivity (TC) with a 16-fold difference between through-film TC and in-plane TC (respectively 4.26 and 0.26 W·m -1 ·K -1 ). Molecular dynamics simulations also concurred with the experimental data, showing that the origin of this anisotropic behavior is due to the nature of voBN's plane ordering. While the consistent vertical ordering provides an uninterrupted and preferred propagation path for phonons in the through-film direction, discontinuity in the lateral direction leads to a reduced in-plane TC. In addition, we also use COMSOL to simulate how the dielectric and thermal properties of voBN enable an increase in hot spot density up to 295% compared with SiO 2 , without any temperature increase.

  13. SEMICONDUCTOR DEVICES: Structural and electrical characteristics of lanthanum oxide gate dielectric film on GaAs pHEMT technology

    Science.gov (United States)

    Chia-Song, Wu; Hsing-Chung, Liu

    2009-11-01

    This paper investigates the feasibility of using a lanthanum oxide thin film (La2O3) with a high dielectric constant as a gate dielectric on GaAs pHEMTs to reduce gate leakage current and improve the gate to drain breakdown voltage relative to the conventional GaAs pHEMT. An E/D mode pHEMT in a single chip was realized by selecting the appropriate La2O3 thickness. The thin La2O3 film was characterized: its chemical composition and crystalline structure were determined by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. La2O3 exhibited good thermal stability after post-deposition annealing at 200, 400 and 600 °C because of its high binding-energy (835.6 eV). Experimental results clearly demonstrated that the La2O3 thin film was thermally stable. The DC and RF characteristics of Pt/La2O3/Ti/Au gate and conventional Pt/Ti/Au gate pHEMTs were examined. The measurements indicated that the transistor with the Pt/La2O3/Ti/Au gate had a higher breakdown voltage and lower gate leakage current. Accordingly, the La2O3 thin film is a potential high-k material for use as a gate dielectric to improve electrical performance and the thermal effect in high-power applications.

  14. Structural, electrical, and dielectric properties of Cr doped ZnO thin films: Role of Cr concentration

    Energy Technology Data Exchange (ETDEWEB)

    Gürbüz, Osman, E-mail: osgurbuz@yildiz.edu.tr; Okutan, Mustafa

    2016-11-30

    Highlights: • Magnetic material of Cr and semiconductor material of ZnO were grown by the magnetron sputtering co-sputter technique. • Perfect single crystalline structures were grown. • DC and AC conductivity with dielectric properties as a function of frequency (f = 5Hz–13 MHz) at room temperature were measured and compared. • Cr doped ZnO can be used in microwave, sensor and optoelectronic devices as the electrical conductivity increases while dielectric constant decreases with the Cr content. - Abstract: An undoped zinc oxide (ZnO) and different concentrations of chromium (Cr) doped ZnO Cr{sub x}ZnO{sub 1−x} (x = 3.74, 5.67, 8.10, 11.88, and 15.96) thin films were prepared using a magnetron sputtering technique at room temperature. These films were characterized by X-ray diffraction (XRD), High resolution scanning electron microscope (HR-SEM), and Energy dispersive X-ray spectrometry (EDS). XRD patterns of all the films showed that the films possess crystalline structure with preferred orientation along the (100) crystal plane. The average crystallite size obtained was found to be between 95 and 83 nm which was beneficial in high intensity recording peak. Both crystal quality and crystallite sizes decrease with increasing Cr concentration. The crystal and grain sizes of the all film were investigated using SEM analysis. The surface morphology that is grain size changes with increase Cr concentration and small grains coalesce together to form larger grains for the Cr{sub 11.88}ZnO and Cr{sub 15.96}ZnO samples. Impedance spectroscopy studies were carried out in the frequencies ranging from 5 Hz to 13 MHz at room temperature. The undoped ZnO film had the highest dielectric value, while dielectric values of other films decreased as doping concentrations increased. Besides, the dielectric constants decreased whereas the loss tangents increased with increasing Cr content. This was considered to be related to the reduction of grain size as Cr content in Zn

  15. Dielectrophoretic deformation of thin liquid films induced by surface charge patterns on dielectric substrates

    NARCIS (Netherlands)

    Berendsen, C.W.J.; Kuijpers, C.J.; Zeegers, J.C.H.; Darhuber, A.A.

    2013-01-01

    We studied the deformation of thin liquid films induced by surface charge patterns at the solid–liquid interface quantitatively by experiments and numerical simulations. We deposited a surface charge distribution on dielectric substrates by applying potential differences between a conductive liquid

  16. Inkjet-printed thin film radio-frequency capacitors based on sol-gel derived alumina dielectric ink

    KAUST Repository

    McKerricher, Garret

    2017-05-03

    There has been significant interest in printing radio frequency passives, however the dissipation factor of printed dielectric materials has limited the quality factor achievable. Al2O3 is one of the best and widely implemented dielectrics for RF passive electronics. The ability to spatially pattern high quality Al2O3 thin films using, for example, inkjet printing would tremendously simplify the incumbent fabrication processes – significantly reducing cost and allowing for the development of large area electronics. To-date, particle based Al2O3 inks have been explored as dielectrics, although several drawbacks including nozzle clogging and grain boundary formation in the films hinder progress. In this work, a particle free Al2O3 ink is developed and demonstrated in RF capacitors. Fluid and jetting properties are explored, along with control of ink spreading and coffee ring suppression. The liquid ink is heated to 400 °C decomposing to smooth Al2O3 films ~120 nm thick, with roughness of <2 nm. Metal-insulator-metal capacitors, show high capacitance density >450 pF/mm2, and quality factors of ~200. The devices have high break down voltages, >25 V, with extremely low leakage currents, <2×10−9 A/cm2 at 1 MV/cm. The capacitors compare well with similar Al2O3 devices fabricated by atomic layer deposition.

  17. Coupled Optical Tamm States in a Planar Dielectric Mirror Structure Containing a Thin Metal Film

    International Nuclear Information System (INIS)

    Zhou Hai-Chun; Yang Guang; Lu Pei-Xiang; Wang Kai; Long Hua

    2012-01-01

    The coupling between two optical Tamm states (OTSs) with the same eigenenergy is numerically investigated in a planar dielectric mirror structure containing a thin metal film. The reflectivity map in this structure at normal incidence is obtained by applying the transfer matrix method. Two splitting branches appear in the photonic bandgap region when both adjacent dielectric layers of metal film are properly set. The splitting energy of two branches strongly depends on the thickness of the metal film. According to the electric field distribution in this structure, it is found that the high-energy branch corresponds to the antisymmetric coupling between two OTSs, while the low-energy branch is associated with the symmetric coupling between two OTSs. Moreover, the optical difference frequency of two branches is located in a broad terahertz region. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  18. Conduction band-edge d-states in high-k dielectrics due to Jahn-Teller term splittings

    International Nuclear Information System (INIS)

    Lucovsky, G.; Fulton, C.C.; Zhang, Y.; Luning, J.; Edge, L.; Whitten, J.L.; Nemanich, R.J.; Schlom, D.G.; Afanase'v, V.V.

    2005-01-01

    X-ray absorption spectroscopy (XAS) is used to study conduction band edge electronic structure of high-k transition metal (TM) and trivalent lanthanide series rare earth (RE) oxide dielectrics. Empty TM/RE d-states are studied by intra-atomic transitions originating in core level spin-orbit split p-states, and conduction band states are studied in inter-atomic transitions which originate in the oxygen atom 1s core level state. In non-crystalline Zr and Hf silicate alloys, the local bonding symmetry, or crystal field splits these d-states into doubly and triply degenerate features. In nano-crystalline oxides, there are additional d-state splittings due to contributions of more distant neighbors that completely remove d-state degeneracies via the Jahn-Teller effect mechanism. This gives rise to highly localized band edge states that are electronically active in photoconductivity, internal photoemission, and act as bulk traps in metal oxide semiconductor (MOS) devices

  19. Theoretical and Experimental Studies of New Polymer-Metal High-Dielectric Constant Nanocomposites

    Science.gov (United States)

    Ginzburg, Valeriy; Elwell, Michael; Myers, Kyle; Cieslinski, Robert; Malowinski, Sarah; Bernius, Mark

    2006-03-01

    High-dielectric-constant (high-K) gate materials are important for the needs of electronics industry. Most polymers have dielectric constant in the range 2 materials with K > 10 it is necessary to combine polymers with ceramic or metal nanoparticles. Several formulations based on functionalized Au-nanoparticles (R ˜ 5 -— 10 nm) and PMMA matrix polymer are prepared. Nanocomposite films are subsequently cast from solution. We study the morphology of those nanocomposites using theoretical (Self-Consistent Mean-Field Theory [SCMFT]) and experimental (Transmission Electron Microscopy [TEM]) techniques. Good qualitative agreement between theory and experiment is found. The study validates the utility of SCMFT as screening tool for the preparation of stable (or at least metastable) polymer/nanoparticle mixtures.

  20. A modified K3M thinning algorithm

    Directory of Open Access Journals (Sweden)

    Tabedzki Marek

    2016-06-01

    Full Text Available The K3M thinning algorithm is a general method for image data reduction by skeletonization. It had proved its feasibility in most cases as a reliable and robust solution in typical applications of thinning, particularly in preprocessing for optical character recognition. However, the algorithm had still some weak points. Since then K3M has been revised, addressing the best known drawbacks. This paper presents a modified version of the algorithm. A comparison is made with the original one and two other thinning approaches. The proposed modification, among other things, solves the main drawback of K3M, namely, the results of thinning an image after rotation with various angles.

  1. High temperature annealing effects on chromel (Ni90Cr10) thin films and interdiffusion study for sensing applications

    International Nuclear Information System (INIS)

    Datta, Arindom; Cheng Xudong; Miller, Michael A.; Li Xiaochun

    2008-01-01

    Metal embedded thin film thermocouples are very attractive for various applications in harsh environments. One promising technique to embed thin films micro sensors is diffusion bonding, which requires high temperatures and pressures typically in a vacuum. In this study, high temperature annealing effects on chromel (Ni90Cr10) thin film, an important sensor material as one of the components in type K thermocouple, were investigated in a diffusion bonding environment. Annealing was carried out at 800 deg. C for one hour in a diffusion bonder under vacuum without applying pressure. Under such conditions; surface, interface and interdiffusion phenomena were investigated using different characterization techniques including X-ray Diffraction, X-ray Photoelectron Spectroscopy, Scanning Electron Microscopy, and Energy Dispersive Spectroscopy. Results indicate that the present combination of dielectrics is quite reliable and Ni90Cr10 films of 500 nm thickness can be used for applications at least up to 800 deg. C due to a protective thin chromium oxide layer formation on top of the sensor film during annealing

  2. High temperature dielectric function of silicon, germanium and GaN

    Energy Technology Data Exchange (ETDEWEB)

    Leyer, Martin; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin (Germany). Institut fuer Festkoerperphysik

    2010-07-01

    In the last few years accurate values for the optical properties of silicon, germanium and GaN at high temperatures have become important as a reference for in-situ analysis, e.g. reflectometry. Precise temperature dependent dielectric measurements are necessary for the growth of GaInP/GaInAs/Ge triple-junction solar cells and the hetero epitaxy of GaN on silicon and sapphire. We performed spectroscopic ellipsometry (SE) measurements of the dielectric function of silicon, germanium and GaN between 1.5 eV and 6.5 eV in the temperature range from 300 K to 1300 K. The Samples were deoxidized chemically or by heating. High resolution SE spectra were taken every 50 K while cooling down to room temperature. The temperature dependence of the critical energies is compared to literature. Measurements for germanium showed a shift of the E{sub 2} critical point of {proportional_to}0.1 eV toward lower energies. The reason for this behavior is a non-negligible oxide layer on the samples in the literature.

  3. Ternary rare-earth based alternative gate-dielectrics for future integration in MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, Juergen; Lopes, Joao Marcelo; Durgun Oezben, Eylem; Luptak, Roman; Lenk, Steffi; Zander, Willi; Roeckerath, Martin [IBN 1-IT, Forschungszentrum Juelich, 52425 Juelich (Germany)

    2009-07-01

    The dielectric SiO{sub 2} has been the key to the tremendous improvements in Si-based metal-oxide-semiconductor (MOS) device performance over the past four decades. It has, however, reached its limit in terms of scaling since it exhibits a leakage current density higher than 1 A/cm{sup 2} and does not retain its intrinsic physical properties at thicknesses below 1.5 nm. In order to overcome these problems and keep Moore's law ongoing, the use of higher dielectric constant (k) gate oxides has been suggested. These high-k materials must satisfy numerous requirements such as the high k, low leakage currents, suitable band gap und offsets to silicon. Rare-earth based dielectrics are promising materials which fulfill these needs. We will review the properties of REScO{sub 3} (RE = La, Dy, Gd, Sm, Tb) and LaLuO{sub 3} thin films, grown with pulsed laser deposition, e-gun evaporation or molecular beam deposition, integrated in capacitors and transistors. A k > 20 for the REScO{sub 3} (RE = Dy, Gd) and around 30 for (RE = La, Sm, Tb) and LaLuO{sub 3} are obtained. Transistors prepared on SOI and sSOI show mobility values up to 380 cm{sup 2}/Vs on sSOI, which are comparable to such prepared with HfO{sub 2}.

  4. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  5. High-k dielectric composites of poly(2-cyanoethyl vinyl ether) and barium titanate for flexible electronics

    Czech Academy of Sciences Publication Activity Database

    Piana, Francesco; Pfleger, Jiří; Jambor, R.; Řičica, T.; Macák, J. M.

    2017-01-01

    Roč. 134, č. 37 (2017), s. 1-10, č. článku 45236. ISSN 0021-8995 R&D Projects: GA TA ČR(CZ) TE01020022; GA MŠk(CZ) LO1507 Institutional support: RVO:61389013 Keywords : composites * dielectric properties * nanocrystals Subject RIV: CG - Electrochemistry OBOR OECD: Electrochemistry (dry cells, batteries, fuel cells, corrosion metals, electrolysis) Impact factor: 1.860, year: 2016

  6. Domain Engineered Magnetoelectric Thin Films for High Sensitivity Resonant Magnetic Field Sensors

    Science.gov (United States)

    2011-12-01

    band gap of highly textured PZT thin films. The deposition process variables were - argon and oxygen flows, chamber pressure, RF power (DC Bias...needed another parameter to equate with the number of unknowns in the resultant model equations. From Figure 24, electronic polarizability affects the... Polarizability and Optical dielectric response of a thin.film , ., ,__~--~---\\- 000 01’ "󈧶 Ots Tncnt.re"’°l Effective Polarizability = Reddy

  7. Size effects on structural and dielectric properties of PZT thin films at compositions around the morpho tropic phase boundary

    International Nuclear Information System (INIS)

    Lima, Elton Carvalho; Araujo, Eudes Borges; Souza Filho, Antonio Gomes de; Bdikin, Igor

    2011-01-01

    Full text: The demand for portability in consumer electronics has motivated the understanding of size effects on ferroelectric thin films. The actual comprehension of these effects in ferroelectrics is unsatisfactory, since the polarization interacts more strongly than other order parameters such as strain and charge. As a result, extrinsic effects are produced if these variables are uncontrolled and problems such as ferroelectric paraelectric phase transition at nanometers scale remains an unsolved issue. In the present work, the effects of thickness and compositional fractions on the structural and dielectric properties of PbZr 1-x Ti x O 3 (PZT) thin films were studied at a composition around the morphotropic phase boundary (x = 0.50). For this purpose, thin films with different thicknesses and different PbO excess were deposited on Si(100) and Pt=T iO 2 =SiO 2 =Si substrates by a chemical method and crystallized in electric furnace at 700 deg C for 1 hour. The effects of substrate, pyrolysis temperature and excess lead addition in the films are reported. For films with 10 mol% PbO in excess, the pyrolysis in the regime of 300 deg C for 30 minutes was observed to yield PZT pyrochlore free thin films deposited on Pt=T iO 2 =SiO 2 =Si substrate. Out this condition, the transformation from amorphous to the pyrochlore metastable phase is kinetically more favorable that a transformation to the perovskite phase, which is thermodynamically stable. Rietveld refinements based on X-ray diffraction results showed that films present a purely tetragonal phase and that this phase does not change when the film thickness decreases. The dielectric permittivity measurements showed a monoclinic → tetragonal phase transition at 198K. Results showed that the dielectric permittivity (ε) increases continuously from 257 to 463, while the thickness of the PZT films increases from 200 to 710 nm. These results suggests that interface pinning centers can be the responsible mechanism by

  8. Study of surface-modified PVP gate dielectric in organic thin film transistors with the nano-particle silver ink source/drain electrode.

    Science.gov (United States)

    Yun, Ho-Jin; Ham, Yong-Hyun; Shin, Hong-Sik; Jeong, Kwang-Seok; Park, Jeong-Gyu; Choi, Deuk-Sung; Lee, Ga-Won

    2011-07-01

    We have fabricated the flexible pentacene based organic thin film transistors (OTFTs) with formulated poly[4-vinylphenol] (PVP) gate dielectrics treated by CF4/O2 plasma on poly[ethersulfones] (PES) substrate. The solution of gate dielectrics is made by adding methylated poly[melamine-co-formaldehyde] (MMF) to PVP. The PVP gate dielectric layer was cross linked at 90 degrees under UV ozone exposure. Source/drain electrodes are formed by micro contact printing (MCP) method using nano particle silver ink for the purposes of low cost and high throughput. The optimized OTFT shows the device performance with field effect mobility of the 0.88 cm2/V s, subthreshold slope of 2.2 V/decade, and on/off current ratios of 1.8 x 10(-6) at -40 V gate bias. We found that hydrophobic PVP gate dielectric surface can influence on the initial film morphologies of pentacene making dense, which is more important for high performance OTFTs than large grain size. Moreover, hydrophobic gate dielelctric surface reduces voids and -OH groups that interrupt the carrier transport in OTFTs.

  9. Organic dielectrics in high voltage cables

    Energy Technology Data Exchange (ETDEWEB)

    Vermeer, J

    1962-03-01

    It appears that the limit has been reached in the applicability of oil-impregnated paper as the dielectric for ehv cables, as with rising voltages the prevention of conductor losses becomes increasingly difficult, while the dielectric losses of the insulation, increasing as the square of the voltage, contribute to a greater extent to the temperature rise of the conductor. The power transmitting capacity of ehv cables reaches a maximum at 500 to 600 kV for these reasons. Apart from artificial cooling, a substantial improvement can be obtained only with the use of insulating materials with much lower dielectric losses; these can moreover be applied with a smaller wall thickness, but this means higher field strengths. Synthetic polymer materials meet these requirements but can be used successfully only in the form of lapped film tapes impregnated with suitable liquids. The electrical properties of these heterogeneous dielectrics, in particular, their impulse breakdown strengths are studied in detail.

  10. Studies on dielectric properties, opto-electrical parameters and electronic polarizability of thermally evaporated amorphous Cd{sub 50}S{sub 50−x}Se{sub x} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hassanien, Ahmed Saeed, E-mail: a.s.hassanien@gmail.com [Engineering Mathematics and Physics Department, Faculty of Engineering (Shoubra), Benha University (Egypt); Physics Department, Faculty of Science and Humanities in Ad-Dawadmi, Shaqra University, 11911 (Saudi Arabia)

    2016-06-25

    The objective of this work is to study the influence of the addition of more Se on dielectric properties, opto-electrical parameters and electronic polarizability of amorphous chalcogenide Cd{sub 50}S{sub 50−x}Se{sub x} thin films (30 ≤ x ≤ 50 at%). Thin films of thickness 200 nm were synthesized by vacuum deposition at ≈8.2 × 10{sup −4} Pa. Both refractive index and extinction coefficient were used to obtain all the studied parameters. The high frequency dielectric constant, real and imaginary parts of dielectric constant were discussed. Drude theory was applied to investigate opto-electrical parameters, like optical carrier concentration, optical mobility and optical resistivity. Moreover, other parameters were investigated and studied, e.g. Drude parameters, volume and surface energy loss functions, dielectric loss factor, dielectric relaxation time, complex optical conductivity and electronic polarizability as well as optical electronegativity and third-order nonlinear optical susceptibility. Values of electronic polarizability and nonlinear optical susceptibility were found to be decreased while optical electronegativity increased as Se-content was increased. Increment of Se-content in amorphous Cd{sub 50}S{sub 50−x}Se{sub x} thin films has also led to minimize the energy losses when electromagnetic waves propagate through films as well as optical conductivity and the speed of light increased. The other studied properties and parameters of Cd{sub 50}S{sub 50−x}Se{sub x} films were found to be strongly dependent upon Se-content. - Highlights: • Thermally evaporated amorphous Cd{sub 50}S{sub 50−x}Se{sub x} (30 ≤ x ≤ 50) thin films were deposited. • Refractive index and absorption index were used to determine almost all properties. • Dielectric properties, Drude parameters and electronic polarizability were studied. • Addition of more Se to CdSSe matrix led to improve the opto-electrical properties. • New data were obtained and

  11. Optimum source/drain overlap design for 16 nm high-k/metal gate MOSFETs

    International Nuclear Information System (INIS)

    Jang, Junyong; Lim, Towoo; Kim, Youngmin

    2009-01-01

    We explore a source/drain (S/D) design for a 16 nm MOSFET utilizing a replacement process for a high-k gate dielectric and metal gate electrode integration. Using TCAD simulation, a trade-off study between series resistance and overlap capacitance is carried out for a high-k dielectric surrounding gate structure, which results from the replacement process. An optimum S/D overlap to gate for the high-k surrounding gate structure is found to be different from the conventional gate structure, i.e. 0∼1 nm underlap is preferred for the surround high-k gate structure while 1∼2 nm overlap for the conventional gate one

  12. Kinetically controlled glass transition measurement of organic aerosol thin films using broadband dielectric spectroscopy

    Directory of Open Access Journals (Sweden)

    Y. Zhang

    2018-06-01

    Full Text Available Glass transitions from liquid to semi-solid and solid phase states have important implications for reactivity, growth, and cloud-forming (cloud condensation nuclei and ice nucleation capabilities of secondary organic aerosols (SOAs. The small size and relatively low mass concentration of SOAs in the atmosphere make it difficult to measure atmospheric SOA glass transitions using conventional methods. To circumvent these difficulties, we have adapted a new technique for measuring glass-forming properties of atmospherically relevant organic aerosols. Aerosol particles to be studied are deposited in the form of a thin film onto an interdigitated electrode (IDE using electrostatic precipitation. Dielectric spectroscopy provides dipole relaxation rates for organic aerosols as a function of temperature (373 to 233 K that are used to calculate the glass transition temperatures for several cooling or heating rates. IDE-enabled broadband dielectric spectroscopy (BDS was successfully used to measure the kinetically controlled glass transition temperatures of aerosols consisting of glycerol and four other compounds with selected cooling and heating rates. The glass transition results agree well with available literature data for these five compounds. The results indicate that the IDE-BDS method can provide accurate glass transition data for organic aerosols under atmospheric conditions. The BDS data obtained with the IDE-BDS technique can be used to characterize glass transitions for both simulated and ambient organic aerosols and to model their climate effects.

  13. Cyanoresin, cyanoresin/cellulose triacetate blends for thin film, dielectric capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S. (Inventor); Lewis, Carol R. (Inventor); Cygan, Peter J. (Inventor); Jow, T. Richard (Inventor)

    1996-01-01

    Non brittle dielectric films are formed by blending a cyanoresin such as cyanoethyl, hydroxyethyl cellulose (CRE) with a compatible, more crystalline resin such as cellulose triacetate. The electrical breakdown strength of the blend is increased by orienting the films by uniaxial or biaxial stretching. Blends of high molecular weight CRE with high molecular weight cyanoethyl cellulose (CRC) provide films with high dielectric constants.

  14. Effects of background oxygen pressure on dielectric and ferroelectric properties of epitaxial (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrTiO3

    Science.gov (United States)

    Abazari, M.; Akdoǧan, E. K.; Safari, A.

    2008-11-01

    Oxygen partial pressure (PO_2) in pulsed laser deposition significantly influences the composition, microstructure, and electrical properties of epitaxial misfit strain-relieved 450nm ⟨001⟩ oriented epitaxial (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrRuO3 coated SrTiO3. Films deposited at 400mTorr exhibit high remnant and saturated polarization of 7.5 and 16.5μC /cm2, respectively, which is ˜100% increase over the ones grown at 100mTorr. The dielectric constant linearly increases from 220 to 450 with increasing PO2. The observed changes in surface morphology of the films and their properties are shown to be due to the suppression of volatile A-site cation loss.

  15. Chemical and Electronic Structure Studies of Refractory and Dielectric Thin Films.

    Science.gov (United States)

    Corneille, Jason Stephen

    1300 K yielded a stoichiometric film of rm SiO_2. The suboxides are believed to further react with oxygen forming rm SiO_2 at an elevated temperature. The growth of thin metallic iron films on Mo(100) was characterized as a preliminary study to the synthesis of iron oxides. By varying the substrate temperature during either the post or in-situ oxidation process, oxide films consisting of virtually pure phases of rm Fe_2O_3 and FeO can be successfully obtained as well as intermediate phases including rm Fe_3O_4. In addition, fairly discrete phase changes were found corresponding to the thermally induced reduction of the higher oxides. The adsorption of diborane on clean Ru(0001) and on surfaces precovered by ammonia was studied to lay the groundwork for the synthesis of boron nitride thin films. Boron -nitrogen adlayers were formed by exposing B/Ru(0001) surfaces to 5-10 Torr of ammonia or by coadsorbing ammonia and diborane at 90K with subsequent annealing to 600K. The adlayers formed were rich in boron and decomposed at temperatures well above 1100K. Finally, the adsorption and pyrolysis of tetrakis -(dimethylamido)-titanium (TDMAT) on several metal surfaces was studied as a precursor to the synthesis of titanium nitride thin films. TDMAT was found to decompose readily above {~}{480K} on metallic substrates, producing films with high carbon content. However, in the presence of ammonia, well below the threshold of gas-phase reaction ({< }{10^{-4}} Torr), the growth of low carbon-content titanium nitride films proceeds readily, via surface mediated reaction(s) of TDMAT and ammonia between 550-750K. The effects of surface temperature and reagent pressures are reported and discussed.

  16. Microwave surface impedance of MgB2 thin film

    International Nuclear Information System (INIS)

    Jin, B B; Klein, N; Kang, W N; Kim, Hyeong-Jin; Choi, Eun-Mi; Lee, Sung-I K; Dahm, T; Maki, K

    2003-01-01

    The microwave surface impedance Z s = R s + jωμ 0 λ was measured with dielectric resonator techniques for two c-axis-oriented MgB 2 thin films. The temperature dependence of the penetration depth λ measured with a sapphire resonator at 17.93 GHz can be well fitted from 5 K close to T c by the standard BCS integral expression assuming the reduced energy gap Δ(0)/kT c to be as low as 1.13 and 1.03 for the two samples. From these fits the penetration depth at zero temperatures was determined to be 102 nm and 107 nm, respectively. The results clearly indicate the s-wave nature of the order parameter. The temperature dependence of surface resistance R s , measured with a rutile dielectric resonator, shows an exponential behaviour below about T c /2 with a reduced energy gap being consistent with the one determined from the λ data. The R s value at 4.2 K was found to be as low as 19 μΩ at 7.2 GHz, which is comparable with that of a high-quality high-temperature thin film of YBa 2 Cu 3 O 7 . A higher-order mode at 17.9 GHz was employed to determine the frequency f dependence of R s ∝ f n(T) . Our results revealed a decrease of n with increasing temperature ranging from n = 2 below 8 K to n 1 from 13 to 34 K

  17. Disclosed dielectric and electromechanical properties of hydrogenated nitrile–butadiene dielectric elastomer

    International Nuclear Information System (INIS)

    Yang, Dan; Tian, Ming; Dong, Yingchao; Liu, Haoliang; Yu, Yingchun; Zhang, Liqun

    2012-01-01

    This paper presents a comprehensive study of the effects of acrylonitrile content, crosslink density and plasticization on the dielectric and electromechanical performances of hydrogenated nitrile–butadiene dielectric elastomer. It was found that by increasing the acrylonitrile content of hydrogenated nitrile–butadiene dielectric elastomer, the dielectric constant will be improved accompanied with a sharp decrease of electrical breakdown strength leading to a small actuated strain. At a fixed electric field, a high crosslink density increased the elastic modulus of dielectric elastomer, but it also enhanced the electrical breakdown strength leading to a high actuated strain. Adding a plasticizer into the dielectric elastomer decreased the dielectric constant and electrical breakdown strength slightly, but reduced the elastic modulus sharply, which was beneficial for obtaining a large strain at low electric field from the dielectric elastomer. The largest actuated strain of 22% at an electric field of 30 kV mm −1 without any prestrain was obtained. Moreover, the hydrogenated nitrile–butadiene dielectric actuator showed good history dependence. This proposed material has great potential to be an excellent dielectric elastomer. (paper)

  18. Dielectric relaxations in non-metallic materials related to Y-Ba-Cu-O superconductors

    Energy Technology Data Exchange (ETDEWEB)

    Bennani, H.; Pilet, J.C. (Lab. Instrumentation, Rennes-1 Univ., 35 (France)); Guilloux-Viry, M.; Perrin, C.; Perrin, A.; Sergent, M. (Lab. de Chimie Minerale B, C.N.R.S., 35 - Rennes (France))

    1990-10-15

    In relation with high Tc superconducting material studies, dielectric measurements have been carried out, in the frequency range 10 Hz - 100 kHz, on two powdered compounds belonging to the Y-Ba-Cu-O system. The non-metallic tetragonal phases YBa{sub 2}Cu{sub 3}O{sub 6+x} exhibit dielectric relaxations: for the studied samples (x<0.4) the activation energy U is observed in the range 0.5dielectric relaxation has been detected at higher temperature, near 400 K. Additional measurements to 77 K at 1 MHz give a value of dielectric constant {epsilon}'=3 and a low loss factor tg{delta}=10{sup -3}: this latter value is comparable to the one of lanthanum gallate recently proposed as a substrate for high frequency uses. This result enhances the previously reported potential interest of this material as substrate or buffer layer for preparation of superconducting thin films for high frequency applications. (orig.).

  19. Effect of La and W dopants on dielectric and ferroelectric properties of PZT thin films prepared by sol-gel process

    International Nuclear Information System (INIS)

    Xiao, Mi; Zhang, Zebin; Zhang, Weikang; Zhang, Ping

    2018-01-01

    La or W-doped lead zirconate titanate thin films (PLZT or PZTW) were prepared on platinized silicon substrates by sol-gel process. The effects of La or W dopant on the phase development, microstructure, dielectric and ferroelectric characteristics of films were studied. For PLZT films, the optimum doping concentration was found to be 2 mol%. While for PZTW films, the dielectric and ferroelectric properties were found to be improved as the doping concentration increased. The fatigue properties of PLZT and PZTW thin films were also investigated, the results showed that A- or B-site donor doping could improve the fatigue properties of PZT thin films. The theory of oxygen vacancy was used to explain the performance improvement caused by donor doping. (orig.)

  20. Effect of La and W dopants on dielectric and ferroelectric properties of PZT thin films prepared by sol-gel process

    Science.gov (United States)

    Xiao, Mi; Zhang, Zebin; Zhang, Weikang; Zhang, Ping

    2018-01-01

    La or W-doped lead zirconate titanate thin films (PLZT or PZTW) were prepared on platinized silicon substrates by sol-gel process. The effects of La or W dopant on the phase development, microstructure, dielectric and ferroelectric characteristics of films were studied. For PLZT films, the optimum doping concentration was found to be 2 mol%. While for PZTW films, the dielectric and ferroelectric properties were found to be improved as the doping concentration increased. The fatigue properties of PLZT and PZTW thin films were also investigated, the results showed that A- or B-site donor doping could improve the fatigue properties of PZT thin films. The theory of oxygen vacancy was used to explain the performance improvement caused by donor doping.

  1. Thin-dielectric-layer engineering for 3D nanostructure integration using an innovative planarization approach

    International Nuclear Information System (INIS)

    Guerfi, Y; Doucet, J B; Larrieu, G

    2015-01-01

    Three-dimensional (3D) nanostructures are emerging as promising building blocks for a large spectrum of applications. One critical issue in integration regards mastering the thin, flat, and chemically stable insulating layer that must be implemented on the nanostructure network in order to build striking nano-architectures. In this letter, we report an innovative method for nanoscale planarization on 3D nanostructures by using hydrogen silesquioxane as a spin-on-glass (SOG) dielectric material. To decouple the thickness of the final layer from the height of the nanostructure, we propose to embed the nanowire network in the insulator layer by exploiting the planarizing properties of the SOG approach. To achieve the desired dielectric thickness, the structure is chemically etched back with a highly diluted solution to control the etch rate precisely. The roughness of the top surface was less than 2 nm. There were no surface defects and the planarity was excellent, even in the vicinity of the nanowires. This newly developed process was used to realize a multilevel stack architecture with sub-deca-nanometer-range layer thickness. (paper)

  2. Dielectric properties of DC reactive magnetron sputtered Al2O3 thin films

    International Nuclear Information System (INIS)

    Prasanna, S.; Mohan Rao, G.; Jayakumar, S.; Kannan, M.D.; Ganesan, V.

    2012-01-01

    Alumina (Al 2 O 3 ) thin films were sputter deposited over well-cleaned glass and Si substrates by DC reactive magnetron sputtering under various oxygen gas pressures and sputtering powers. The composition of the films was analyzed by X-ray photoelectron spectroscopy and an optimal O/Al atomic ratio of 1.59 was obtained at a reactive gas pressure of 0.03 Pa and sputtering power of 70 W. X-ray diffraction results revealed that the films were amorphous until 550 °C. The surface morphology of the films was studied using scanning electron microscopy and the as-deposited films were found to be smooth. The topography of the as-deposited and annealed films was analyzed by atomic force microscopy and a progressive increase in the rms roughness of the films from 3.2 nm to 4.53 nm was also observed with increase in the annealing temperature. Al-Al 2 O 3 -Al thin film capacitors were then fabricated on glass substrates to study the effect of temperature and frequency on the dielectric property of the films. Temperature coefficient of capacitance, AC conductivity and activation energy were determined and the results are discussed. - Highlights: ► Al 2 O 3 thin films were deposited by DC reactive magnetron sputtering. ► The films were found to be amorphous up to annealing temperature of 550 C. ► An increase in rms roughness of the films was observed with annealing. ► Al-Al 2 O 3 -Al thin film capacitors were fabricated and dielectric constant was 7.5. ► The activation energy decreased with increase in frequency.

  3. Correlation between stress-induced leakage current and dielectric degradation in ultra-porous SiOCH low-k materials

    Energy Technology Data Exchange (ETDEWEB)

    Wu, C., E-mail: Chen.Wu@imec.be; De Wolf, I. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Department of Materials Engineering, KU Leuven, 3000 Leuven (Belgium); Li, Y.; Leśniewska, A.; Varela Pedreira, O.; Marneffe, J.-F. de; Ciofi, I.; Verdonck, P.; Baklanov, M. R.; Bömmels, J.; Tőkei, Zs.; Croes, K. [imec, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-10-28

    Stress-Induced Leakage Current (SILC) behavior during the dielectric degradation of ultra-porous SiOCH low-k materials was investigated. Under high voltage stress, SILC increases to a critical value before final hard breakdown. This SILC increase rate is mainly driven by the injected charges and is negligibly influenced by temperature and voltage. SILC is found to be transient and shows a t{sup −1} relaxation behavior, where t is the storage time at low voltages. This t{sup −1} transient behavior, described by the tunneling front model, is caused by both electron charging of neutral defects in the dielectric close to the cathode interface and discharging of donor defects close to the anode interface. These defects have a uniform density distribution within the probed depth range, which is confirmed by the observed flat band voltage shift results collected during the low voltage storage. By applying an additional discharging step after the low voltage storage, the trap energies and spatial distributions are derived. In a highly degraded low-k dielectric, the majority of defects have a trap depth between 3.4 eV and 3.6 eV and a density level of 1 × 10{sup 18 }eV{sup −1 }cm{sup −3}. The relation between the defect density N and the total amount of the injected charges Q is measured to be sub-linear, N ∼ Q{sup 0.45±0.07}. The physical nature of these stress-induced defects is suggested to be caused by the degradation of the Si-O based skeleton in the low-k dielectric.

  4. Building a Better Capacitor with Thin-Film Atomic Layer Deposition Processing

    Energy Technology Data Exchange (ETDEWEB)

    Pike, Christopher [North Seattle College, WA (United States)

    2015-08-28

    The goal of this research is to determine procedures for creating ultra-high capacity supercapacitors by using nanofabrication techniques and high k-value dielectrics. One way to potentially solve the problem of climate change is to switch the source of energy to a source that doesn’t release many tons of greenhouse gases, gases which cause global warming, into the Earth’s atmosphere. These trap in more heat from the Sun’s solar energy and cause global temperatures to rise. Atomic layer deposition will be used to create a uniform thin-film of dielectric to greatly enhance the abilities of our capacitors and will build them on the nanoscale.

  5. Dielectric properties of (K0.5Na0.5)NbO3-(Bi0.5Li0.5)ZrO3 lead-free ceramics as high-temperature ceramic capacitors

    Science.gov (United States)

    Yan, Tianxiang; Han, Feifei; Ren, Shaokai; Ma, Xing; Fang, Liang; Liu, Laijun; Kuang, Xiaojun; Elouadi, Brahim

    2018-04-01

    (1 - x)K0.5Na0.5NbO3- x(Bi0.5Li0.5)ZrO3 (labeled as (1 - x)KNN- xBLZ) lead-free ceramics were fabricated by a solid-state reaction method. A research was conducted on the effects of BLZ content on structure, dielectric properties and relaxation behavior of KNN ceramics. By combining the X-ray diffraction patterns with the temperature dependence of dielectric properties, an orthorhombic-tetragonal phase coexistence was identified for x = 0.03, a tetragonal phase was determined for x = 0.05, and a single rhombohedral structure occurred at x = 0.08. The 0.92KNN-0.08BLZ ceramic exhibits a high and stable permittivity ( 1317, ± 15% variation) from 55 to 445 °C and low dielectric loss (≤ 6%) from 120 to 400 °C, which is hugely attractive for high-temperature capacitors. Activation energies of both high-temperature dielectric relaxation and dc conductivity first increase and then decline with the increase of BLZ, which might be attributed to the lattice distortion and concentration of oxygen vacancies.

  6. Optical constants, dispersion energy parameters and dielectric properties of ultra-smooth nanocrystalline BiVO4 thin films prepared by rf-magnetron sputtering

    Science.gov (United States)

    Sarkar, S.; Das, N. S.; Chattopadhyay, K. K.

    2014-07-01

    BiVO4 thin films have been prepared through radio frequency (rf) magnetron sputtering of a pre-fabricated BiVO4 target on ITO coated glass (ITO-glass) substrate and bare glass substrates. BiVO4 target material was prepared through solid-state reaction method by heating Bi2O3 and V2O5 mixture at 800 °C for 8 h. The films were characterized by X-ray diffraction, UV-Vis spectroscopy, LCR meter, field emission scanning electron microscopy, transmission electron microscopy and atomic force microscopy. BiVO4 thin films deposited on the ITO-glass substrate are much smoother compared to the thin films prepared on bare glass substrate. The rms surface roughness calculated from the AFM images comes out to be 0.74 nm and 4.2 nm for the films deposited on the ITO-glass substrate and bare glass substrate for the deposition time 150 min respectively. Optical constants and energy dispersion parameters of these extra-smooth BiVO4 thin films have been investigated in detail. Dielectric properties of the BiVO4 thin films on ITO-glass substrate were also investigated. The frequency dependence of dielectric constant of the BiVO4 thin films has been measured in the frequency range from 20 Hz to 2 MHz. It was found that the dielectric constant increased from 145 to 343 at 20 Hz as the film thickness increased from 90 nm to 145 nm (deposition time increased from 60 min to 150 min). It shows higher dielectric constant compared to the literature value of BiVO4.

  7. Structural and dielectric properties of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films grown by PLD

    Energy Technology Data Exchange (ETDEWEB)

    James, K. K.; Satish, B.; Jayaraj, M. K. [Nanophotonic and Optoelectronic Devices Laboratory, Department of Physics, Cochin University of Science and Technology, Kerala (India)

    2014-01-28

    Ferroelectric thin films of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} (BST) were deposited on Si/SiO{sub 2}/TiO{sub 2}/Pt (PtSi) substrate by pulsed laser deposition (PLD). Crystalline films with perovskite structure were obtained without post-deposition annealing. Phase purity of the deposited films was confirmed by x-ray diffraction. The lowest value of FWHM obtained for the film deposited at oxygen pressure 5.4×10{sup −4} mbar and substrate temperature 600°C, indicates the high crystallinity of the film. The room temperature dielectric constant at 100 kHz was 85. Butterfly loop, which is the characteristic of ferroelectric materials, was obtained in the regime of −4 to +4V. The leakage current density was nearly 9×10{sup −13} Acm{sup −2}.

  8. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    Science.gov (United States)

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  9. Investigation of capacitance characteristics in metal/high-k

    Indian Academy of Sciences (India)

    Keywords. C − V characteristic; high-k dielectric; interface state density; MIS structure; nanotechnology; TCAD simulation. Abstract. Capacitance vs. voltage ( C − V ) curves at AC high frequency of a metal–insulator–semiconductor (MIS) capacitorare investigated in this paper. Bi-dimensional simulations with Silvaco TCAD ...

  10. Infrared and THz spectroscopy of nanostructured dielectrics

    Directory of Open Access Journals (Sweden)

    Jan Petzelt

    2009-09-01

    Full Text Available Results achieved using the infrared/THz spectroscopy of various inhomogeneous dielectrics in the Department of Dielectrics, Institute of Physics, Prague, during the last decade are briefly reviewed. The discussion concerns high-permittivity ceramics with inevitable low-permittivity dead layers along the grain boundaries, relaxor ferroelectrics with highly anisotropic polar nano-regions, classical matrix-type composites, core-shell composites, filled nanoporous glasses, polycrystalline and epitaxial thin films, heterostructures and superlattices on dielectric substrates. The analysis using models based on the effective medium approach is discussed. The importance of depolarizing field and of the percolation of components on the effective ac dielectric response and the excitations contributing to it are emphasized.

  11. High thermal conductivity lossy dielectric using a multi layer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-01-01

    Systems and methods are described for loss dielectrics. A loss dielectric includes at least one high dielectric loss layer and at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. A method of manufacturing a loss dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. The systems and methods provide advantages because the loss dielectrics are less costly and more environmentally friendly than the available alternatives.

  12. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  13. High performance solution processed zirconium oxide gate dielectric appropriate for low temperature device application

    Energy Technology Data Exchange (ETDEWEB)

    Hasan, Musarrat; Nguyen, Manh-Cuong; Kim, Hyojin; You, Seung-Won; Jeon, Yoon-Seok; Tong, Duc-Tai; Lee, Dong-Hwi; Jeong, Jae Kyeong; Choi, Rino, E-mail: rino.choi@inha.ac.kr

    2015-08-31

    This paper reports a solution processed electrical device with zirconium oxide gate dielectric that was fabricated at a low enough temperature appropriate for flexible electronics. Both inorganic dielectric and channel materials were synthesized in the same organic solvent. The dielectric constant achieved was 13 at 250 °C with a reasonably low leakage current. The bottom gate transistor devices showed the highest mobility of 75 cm{sup 2}/V s. The device is operated at low voltage with high-k dielectric with excellent transconductance and low threshold voltage. Overall, the results highlight the potential of low temperature solution based deposition in fabricating more complicated circuits for a range of applications. - Highlights: • We develop a low temperature inorganic dielectric deposition process. • We fabricate oxide semiconductor channel devices using all-solution processes. • Same solvent is used for dielectric and oxide semiconductor deposition.

  14. Off Axis Growth of Strontium Titanate Films with High Dielectric Constant Tuning and Low Loss

    Science.gov (United States)

    Kampangkeaw, Satreerat

    2002-03-01

    Using off-axis pulsed laser deposition, we have grown strontium titanate (STO) films on neodymium gallate (NGO) and lanthanum aluminate (LAO) substrates. We measured the film dielectric constant and loss tangent as a function of temperature in the 10kHz to 1 MHz frequency range. We found that the loss is less than 0.01 We also obtained a figure of merit from the relative variation of the dielectric constant divided by the loss tangent. The obtained figured of merit at 35K and 1MHz is about 1000 comparable to bulk values. The dielectric constant of these films can be changed by a factor of 4-8 in the presence of a DC electric field up to 5V/μm. The films show significant variations of dielectric properties grown on different substrates at different locations respect to the axis of the plume. The STO films on LAO having high dielectric constant and dielectric tuning were grown in region near the center of the plume. On the other hand, STO on NGO shows this effect only on the films grown far from the plume axis.

  15. Inkjet-printed p-type nickel oxide thin-film transistor

    Science.gov (United States)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  16. Brillouin light scattering studies on the mechanical properties of ultrathin, porous low-K dielectric films

    Science.gov (United States)

    Zhou, Wei; Sooryakumar, R.; King, Sean

    2010-03-01

    Low K dielectrics have predominantly replaced silicon dioxide as the interlayer dielectric material for interconnects in state of the art integrated circuits. To further reduce interconnect resistance-capacitance (RC) delays, additional reductions in the K for these low-K materials is being pursued by the introduction of controlled levels of porosity. The main challenge for porous low-K dielectrics is the substantial reduction in mechanical properties that is accompanied by the increased pore volume content needed to reduce K. We report on the application of the nondestructive Brillouin light scattering technique to monitor and characterize the mechanical properties of these porous films at thicknesses well below 200 nm that are pertinent to present applications. Observation of longitudinal and transverse standing wave acoustic resonances and the dispersion that accompany their transformation into traveling waves with finite in-plane wave vectors provides for the principal elastic constants that completely characterize the mechanical properties of these porous films. The mode amplitudes of the standing waves, their variation within the film, and the calculated Brillouin intensities account for most aspects of the spectra. The resulting elastic constants are compared with corresponding values obtained from other experimental techniques.

  17. Tunability, dielectric, and piezoelectric properties of Ba{sub (1−x)}Ca{sub x}Ti{sub (1−y)}Zr{sub y}O{sub 3} ferroelectric thin films

    Energy Technology Data Exchange (ETDEWEB)

    Daumont, C. J. M., E-mail: christophe.daumont@univ-tours.fr; Le Mouellic, E.; Negulescu, B.; Wolfman, J. [Laboratoire GREMAN, UMR7347 CNRS, Faculté de Sciences et Techniques, Université François Rabelais, 37200 Tours (France); Simon, Q.; Payan, S.; Maglione, M. [Institute of Condensed Matter Chemistry of Bordeaux, ICMCB-CNRS, Université de Bordeaux, 33608 Pessac Cedex (France); Gardes, P.; Poveda, P. [STMicroelectronics, 10 rue Thalès de Milet, 37071 Tours Cedex (France)

    2016-03-07

    Tunable ferroelectric capacitors, which exhibit a decrease of the dielectric permittivity (ϵ) under electric field, are widely used in electronics for RF tunable applications (e.g., antenna impedance matching). Current devices use barium strontium titanate as the tunable dielectric, and the need for performance enhancement of the tunable element is the key for device improvement. We report here on libraries of Ba{sub 0.97}Ca{sub 0.03}Ti{sub 1−x}Zr{sub x}O{sub 3} thin films (0 ≤ x ≤ 27%) with a thickness of about 130 nm deposited on IrO{sub 2}/SiO{sub 2}/Si substrates using combinatorial pulsed laser deposition allowing for gradients of composition on one sample. A total of 600 capacitors on a single sample were characterized in order to statistically investigate the dielectric properties. We show that the tunabilty is maximum at intermediate compositions, reaching values up to 60% for an electric field of about 400 kV cm{sup −1}. We attribute the high tunability in the intermediate compositions to the paraelectric-ferroelectric phase transition, which is brought down to room temperature by the addition of Zr. In addition, the piezoelectric coefficient is found to be decreasing with increasing Zr content.

  18. Dielectric material options for integrated capacitors

    NARCIS (Netherlands)

    Ruhl, G.; Lehnert, W.; Lukosius, M.; Wenger, C.; Baristiran Kaynak, C.; Blomberg, T.; Haukka, S.; Baumann, P.K.; Besling, W.F.A.; Roest, A.L.; Riou, B.; Lhostis, S.; Halimaou, A.; Roozeboom, F.; Langereis, E.; Kessels, W.M.M.; Zauner, A.; Rushworth, S.A.

    2014-01-01

    Future MIM capacitor generations will require significantly increased specific capacitances by utilization of high-k dielectric materials. In order to achieve high capacitance per chip area, these dielectrics have to be deposited in three-dimensional capacitor structures by ALD or AVD (atomic vapor

  19. Low frequency ac conduction and dielectric relaxation in poly(N ...

    Indian Academy of Sciences (India)

    The ac conductivity and dielectric constant of poly(N-methyl pyrrole) thin films have been investigated in the temperature range 77–350 K and in the frequency range 102–106 Hz. The well defined loss peaks have been observed in the temperature region where measured ac conductivity approaches dc conductivity.

  20. Broadband dielectric characterization of sapphire/TiOx/Ba₀.₃Sr₀.₇TiO₃ (111)-oriented thin films for the realization of a tunable interdigitated capacitor.

    Science.gov (United States)

    Ghalem, Areski; Ponchel, Freddy; Remiens, Denis; Legier, Jean-Francois; Lasri, Tuami

    2013-05-01

    A complete microwave characterization up to 67 GHz using specific coplanar waveguides was performed to determine the dielectric properties (permittivity, losses, and tunability) of sapphire/TiOx/Ba0.3Sr0.7TiO3 (BST) (111)-oriented thin films. To that end, BaxSr1-xTiO3 thin films were deposited by RF magnetron sputtering on sapphire (0001) substrate. To control the preferred (111) orientation, a TiOx buffer layer was deposited on sapphire. According to the detailed knowledge of the material properties, it has been possible to conceive, fabricate, and test interdigitated capacitors, the basic element for future microwave tunable applications. Retention of capacitive behavior up to 67 GHz and a tunability of 32% at 67 GHz at an applied voltage of 30 V (150 kV/cm) were observed. The Q-factor remains greater than 30 over the entire frequency band. The possibility of a complete characterization of the material for the realization of high-performance interdigitated capacitors opens the door to microwave device fabrication.

  1. Dielectric and thermal properties of isotactic polypropylene/hexagonal boron nitride composites for high-frequency applications

    International Nuclear Information System (INIS)

    Takahashi, Susumu; Imai, Yusuke; Kan, Akinori; Hotta, Yuji; Ogawa, Hirotaka

    2014-01-01

    Highlights: • The degree of orientation of the hBN could be controlled by the fabrication process. • The dielectric constants of composites ranged between 2.25 and 3.39. • The dielectric loss of composites was on the order of 10 −4 for all compositions. • The thermal conductivity were improved by controlling orientation of hBN. - Abstract: Dielectric composites aimed for high frequency applications were prepared by using anisotropic hexagonal boron nitride (hBN) particles as a fillers and isotactic polypropylene (iPP) as polymer matrix. Dielectric and thermal properties of the composites were studied, focusing on the filler orientation in the plate-shape specimens and filler concentration up to 40 vol%. The degree of orientation of the filler was controlled by the composite fabrication process. Hot-pressing gave relatively random orientation of the filler in the matrix, while injection molding induced a high orientation. Dielectric constant (ε r ) of the composites ranged between 2.25 and 3.39. The estimation of ε r based on the Bruggeman mixing model agreed well with the measured value. Low dielectric losses (tan δ) at microwave frequencies, on the order of 10 −4 , were obtained for all the compositions. Through-thickness thermal conductivity (k) of the hot-pressed samples showed a drastic increase with increasing the filler concentration, reaching up to 2.1 W/m K at 40 vol% of hBN. The filler concentration dependence of k was less significant for the injection molded composites. In-plane thermal expansion was almost independent on the filler orientation, while the coefficient of thermal expansion for the thickness direction of the hot-pressed sample was reduced to approximately half of the injection molded counterpart. These differences in thermal conductivity and thermal expansion are thought to arise from the difference in hBN filler orientation

  2. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    Science.gov (United States)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  3. High mobility and low operating voltage ZnGaO and ZnGaLiO transistors with spin-coated Al2O3 as gate dielectric

    International Nuclear Information System (INIS)

    Xia, D X; Xu, J B

    2010-01-01

    Spin-coated alumina serving as a gate dielectric in thin film transistors shows interesting dielectric properties for low-voltage applications, despite a moderate capacitance. With Ga singly doped and Ga, Li co-doped ZnO as the active channel layers, typical mobilities of 4.7 cm 2 V -1 s -1 and 2.1 cm 2 V -1 s -1 are achieved, respectively. At a given gate bias, the operation current is much smaller than the previously reported values in low-voltage thin film transistors, primarily relying on the giant-capacitive dielectric. The reported devices combine advantages of high mobility, low power consumption, low cost and ease of fabrication. In addition to the transparent nature of both the dielectric and semiconducting active channels, the superior electrical properties of the devices may provide a new avenue for future transparent electronics. (fast track communication)

  4. A high voltage DC-DC converter driving a Dielectric Electro Active Polymer actuator for wind turbine flaps

    DEFF Research Database (Denmark)

    Thummala, Prasanth; Zhang, Zhe; Andersen, Michael A. E.

    2012-01-01

    The Dielectric Electro Active Polymer (DEAP) material is a very thin (~80 μm) silicone elastomer film with a compliant metallic electrode layer on both sides. The DEAP is fundamentally a capacitor that is capable of very high strain. The property that the polymer changes its shape, as a result...

  5. Thermal Conductivity and High-Frequency Dielectric Properties of Pressureless Sintered SiC-AlN Multiphase Ceramics

    Directory of Open Access Journals (Sweden)

    Jialin Gu

    2018-06-01

    Full Text Available SiC-AlN multiphase ceramics with 10 wt. %Y2O3-BaO-SiO2 additives were fabricated by pressureless sintering in a nitrogen atmosphere. The effects of SiC contents and sintering temperatures on the sinterability, microstructure, thermal conductivity and high-frequency dielectric properties were characterized. In addition to 6H-SiC and AlN, the samples also contained Y3Al5O12 and Y4Al2O9. SiC-AlN ceramics sintered with 50 wt. % SiC at 2173 K exhibited the best thermal diffusivity and thermal conductivity (26.21 mm2·s−1 and 61.02 W·m−1·K−1, respectively. The dielectric constant and dielectric loss of the sample sintered with 50 wt. % SiC and 2123 K were 33–37 and 0.4–0.5 at 12.4–18 GHz. The dielectric constant and dielectric loss of the samples decreased as the frequency of electromagnetic waves increased from 12.4–18 GHz. The dielectric thermal conductivity properties of the SiC-AlN samples are discussed.

  6. Low-voltage organic thin film transistors (OTFTs) using crosslinked polyvinyl alcohol (PVA)/neodymium oxide (Nd2O3) bilayer gate dielectrics

    Science.gov (United States)

    Khound, Sagarika; Sarma, Ranjit

    2018-01-01

    We have reported here on the design, processing and dielectric properties of pentacene-based organic thin film transitors (OTFTs) with a bilayer gate dilectrics of crosslinked PVA/Nd2O3 which enables low-voltage organic thin film operations. The dielectric characteristics of PVA/Nd2O3 bilayer films are studied by capacitance-voltage ( C- V) and current-voltage ( I- V) curves in the metal-insulator-metal (MIM) structure. We have analysed the output electrical responses and transfer characteristics of the OTFT devices to determine their performance of OTFT parameters. The mobility of 0.94 cm2/Vs, the threshold voltage of - 2.8 V, the current on-off ratio of 6.2 × 105, the subthreshold slope of 0.61 V/decade are evaluated. Low leakage current of the device is observed from current density-electric field ( J- E) curve. The structure and the morphology of the device are studied using X-ray diffraction (XRD) and atomic force microscope (AFM), respectively. The study demonstrates an effective way to realize low-voltage, high-performance OTFTs at low cost.

  7. Atomic scale engineering of HfO2-based dielectrics for future DRAM applications

    International Nuclear Information System (INIS)

    Dudek, Piotr

    2011-01-01

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO 2 thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO 2 (BaHfO 3 ) films and Ti-added BaHfO 3 (BaHf 0.5 Ti 0.5 O 3 ) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO 3 (k∝38) and BaHf 0.5 Ti 0.5 O 3 (k∝90) with respect to monoclinic HfO 2 (k∝19). Meanwhile the CET values scaled down to 1 nm for BaHfO 3 and ∝0.8 nm for BaHf 0.5 Ti 0.5 O 3 with respect to HfO 2 (CET=1.5 nm). The Hf 4+ ions substitution in BaHfO 3 by Ti 4+ ions led to a significant decrease of thermal budget from 900 C for BaHfO 3 to 700 C for BaHf 0.5 Ti 0.5 O 3 . Future studies need to focus on the use of appropriate metal electrodes (high work function) and on film deposition process (homogeneity) for better current leakage control. (orig.)

  8. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    International Nuclear Information System (INIS)

    Zhu, X. H.; Defaye, E.; Aied, M.; Guigues, B.; Dubarry, C.

    2009-01-01

    Dielectric properties of Ba 0.7 Sr 0.3 TiO 3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  9. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    Science.gov (United States)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-07-01

    Dielectric properties of Ba0.7Sr0.3TiO3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  10. Evaluation of high temperature capacitor dielectrics

    Science.gov (United States)

    Hammoud, Ahmad N.; Myers, Ira T.

    1992-01-01

    Experiments were carried out to evaluate four candidate materials for high temperature capacitor dielectric applications. The materials investigated were polybenzimidazole polymer and three aramid papers: Voltex 450, Nomex 410, and Nomex M 418, an aramid paper containing 50 percent mica. The samples were heat treated for six hours at 60 C and the direct current and 60 Hz alternating current breakdown voltages of both dry and impregnated samples were obtained in a temperature range of 20 to 250 C. The samples were also characterized in terms of their dielectric constant, dielectric loss, and conductivity over this temperature range with an electrical stress of 60 Hz, 50 V/mil present. Additional measurements are underway to determine the volume resistivity, thermal shrinkage, and weight loss of the materials. Preliminary data indicate that the heat treatment of the films slightly improves the dielectric properties with no influence on their breakdown behavior. Impregnation of the samples leads to significant increases in both alternating and direct current breakdown strength. The results are discussed and conclusions made concerning their suitability as high temperature capacitor dielectrics.

  11. PREFACE: Proceedings Symposium G of E-MRS Spring Meeting on Fundamentals and Technology of Multifunctional Oxide Thin Films

    Science.gov (United States)

    2010-07-01

    Oxide materials exhibit a large variety of functional properties that are useful in a plethora of applications. Symposium G focused on oxide thin films that include dielectric or switching properties. Its program mirrored very well the strong worldwide search for high-K thin films for gate, memory, and on-chip capacitors, as well as the emerging field of functional thin films for MEMS. A complete session was devoted to the colossal effect of dielectric response in (Ca,Cu)TiO3, representing the major European research groups in this field. A comprehensive overview on this phenomenon was given by D Sinclair J Wolfman presented the latest results on CCTO thin films obtained by wafer scale pulsed laser deposition. A Loidl showed the analytical power of dielectric spectroscopy when covering the complete frequency range from 1-1012 Hz, i.e. from space charge to phonon contributions at the example of CCTO. Another session was devoted to applications in non-volatile memories, covering various effects including ferroelectric and resistive switching, the complex behavior of oxide tunnel junctions (H Kohlstedt), the possibility to manipulate the magnetic state of a 2d-electron gas by the polarization of an adjacent ferroelectric gate (I Stolitchnov). Latest advancements in ALD processing for high-K thin films in dynamic RAM were reported by S Ramanathan. The advancement of piezoelectric PZT thin film MEMS devices was well documented by outstanding talks on their developments in industry (M Klee, F Tyholdt), new possibilities in GHz filters (T Matshushima), advancements in sol-gel processing (B Tuttle, H Suzuki), and low temperature integration approaches by UV light curing (S Trolier-McKinstry). Recent advances in incipient ferroelectric thin films and nano composites for tunable capacitors in microwave applications were present by A Vorobiev and T Yamada. Integrated electro-optics is another field to be conquered by thin film structures. The impressive progress made in this

  12. Vacancy-fluorine complexes and their impact on the properties of metal-oxide transistors with high-k gate dielectrics studied using monoenergetic positron beams

    Science.gov (United States)

    Uedono, A.; Inumiya, S.; Matsuki, T.; Aoyama, T.; Nara, Y.; Ishibashi, S.; Ohdaira, T.; Suzuki, R.; Miyazaki, S.; Yamada, K.

    2007-09-01

    Vacancy-fluorine complexes in metal-oxide semiconductors (MOS) with high-k gate dielectrics were studied using a positron annihilation technique. F+ ions were implanted into Si substrates before the deposition of gate dielectrics (HfSiON). The shift of threshold voltage (Vth) in MOS capacitors and an increase in Fermi level position below the HfSiON/Si interface were observed after F+ implantation. Doppler broadening spectra of the annihilation radiation and positron lifetimes were measured before and after HfSiON fabrication processes. From a comparison between Doppler broadening spectra and those obtained by first-principles calculation, the major defect species in Si substrates after annealing treatment (1050 °C, 5 s) was identified as vacancy-fluorine complexes (V3F2). The origin of the Vth shift in the MOS capacitors was attributed to V3F2 located in channel regions.

  13. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    Science.gov (United States)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  14. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  15. Impedance spectroscopic and dielectric analysis of Ba0.7Sr0.3TiO3 thin films

    International Nuclear Information System (INIS)

    Rouahi, A.; Kahouli, A.; Sylvestre, A.; Defaÿ, E.; Yangui, B.

    2012-01-01

    Highlights: ► The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba 0.7 Sr 0.3 TiO 3 . ► The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. ► The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. ► The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba 0.7 Sr 0.3 TiO 3 thin film with Pt/BST/Pt/TiO 2 /SiO 2 structure was prepared by ion beam sputtering. The film was post annealed at 700 °C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1–10 5 Hz] at different temperatures [175–350 °C]. The Nyquist plots (Z″ vs . Z′) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z″ and M″ are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M ″ /M ″ max shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  16. High-pressure cell for simultaneous dielectric and neutron spectroscopy

    Science.gov (United States)

    Sanz, Alejandro; Hansen, Henriette Wase; Jakobsen, Bo; Pedersen, Ib H.; Capaccioli, Simone; Adrjanowicz, Karolina; Paluch, Marian; Gonthier, Julien; Frick, Bernhard; Lelièvre-Berna, Eddy; Peters, Judith; Niss, Kristine

    2018-02-01

    In this article, we report on the design, manufacture, and testing of a high-pressure cell for simultaneous dielectric and neutron spectroscopy. This cell is a unique tool for studying dynamics on different time scales, from kilo- to picoseconds, covering universal features such as the α relaxation and fast vibrations at the same time. The cell, constructed in cylindrical geometry, is made of a high-strength aluminum alloy and operates up to 500 MPa in a temperature range between roughly 2 and 320 K. In order to measure the scattered neutron intensity and the sample capacitance simultaneously, a cylindrical capacitor is positioned within the bore of the high-pressure container. The capacitor consists of two concentric electrodes separated by insulating spacers. The performance of this setup has been successfully verified by collecting simultaneous dielectric and neutron spectroscopy data on dipropylene glycol, using both backscattering and time-of-flight instruments. We have carried out the experiments at different combinations of temperature and pressure in both the supercooled liquid and glassy state.

  17. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud; Nayak, Pradipta K.; Wang, Zhenwei; Alshareef, Husam N.

    2016-01-01

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  18. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud

    2016-08-24

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  19. Effect of interfacial layers on dielectric properties in very thin SrBi2Ta2O9 capacitors

    International Nuclear Information System (INIS)

    Moon, Bum-Ki; Isobe, Chiharu; Hironaka, Katsuyuki; Hishikawa, Shinichi

    2001-01-01

    The effect of interfacial layers on the dielectric properties in very thin SrBi 2 Ta 2 O 9 (SBT) capacitors has been investigated using static measurements. Total permittivity (ε t ) decreased as the film thickness was reduced in both Pt/SBT/Pt and Ir/SBT/Pt capacitors. The contribution of the interfacial capacitance (C int ) and bulk capacitance to the total capacitance indicates that C int of the Ir/SBT/Pt structure was lower than that of the Pt/SBT/Pt structure, while the bulk permittivity (ε b ) was essentially the same. The dispersion of all capacitors followed the power law, while the Ir/SBT/Pt capacitor showed a larger dispersion of C int . These results suggest that the Pt/SBT/Pt capacitor is preferred for obtaining the high performance with less effect of the interfacial layers on the dielectric properties. [copyright] 2001 American Institute of Physics

  20. Dielectric and AC-conductivity studies of Dy2O3 doped (K0.5Na0.5NbO3 ceramics

    Directory of Open Access Journals (Sweden)

    Mahesh Peddigari

    2014-08-01

    Full Text Available (K0.5Na0.5NbO3 + x wt.% Dy2O3 (x = 0–1.5 ferroelectric ceramics were prepared by conventional solid state reaction method. XRD patterns revealed that orthorhombic symmetry has transformed into psuedocubic symmetry with increasing the substitution of Dy3+ in the Na+ site. Temperature and frequency dependences of relative dielectric permittivity maximum conforms the transformation from normal ferroelectric to relaxor ferroelectric behaviour. Frequency dependence of the relative dielectric permittivity maximum temperature observed for the samples with x ≥ 1.0 and satisfied the Vogel–Fulcher law. The diffuseness exponent γ (1.27–1.95 estimated from the high temperature slopes of the diffused dielectric permittivity data reveals that the degree of relaxor behavior increases with increasing the amount of Dy2O3. The temperature dependence of AC-conductivity σAC (T analysis in the range 310 K < T < 470 K reveals the existence of variable range hopping of charge carriers with average hopping length RH and hopping energy EH are in the range 8.5–27 Å and 48–153 meV, respectively. Voltage dependent dielectric constant measurements confirm the ferroelectric nature of KNN+ x wt% Dy2O3 ceramics.

  1. Characterization of spin-on-glass very-low-k polymethylsiloxane with copper metallization

    International Nuclear Information System (INIS)

    Aw, K.C.; Salim, N.T.; Gao, W.; Li, Z.

    2006-01-01

    Cu diffusion is one major problem that inhibits low-k dielectric to be integrated with existing fabrication technology effectively. This paper demonstrates the effects of surface modification towards polymethylsiloxane low-k dielectric (LKD 5109) from JSR Micro using gas mixture of H 2 + N 2 plasma in order to improve Cu diffusion barrier. C-V plots indirectly indicated that plasma treatment reduces Cu + ions penetration during Cu deposition using magnetron sputtering. XPS confirmed that short duration (10 to 30 s) of H 2 + N 2 plasma treatment could cause surface densification of LKD 5109 low-k thin film through formation of N-C bonds. However, the negative effect of plasma treatment is the increment of dielectric constant (k) due to possible surface densification

  2. Electrical properties of nano-resistors made from the Zr-doped HfO2 high-k dielectric film

    Science.gov (United States)

    Zhang, Shumao; Kuo, Yue

    2018-03-01

    Electrical properties of nano-sized resistors made from the breakdown of the metal-oxide-semiconductor capacitor composed of the amorphous high-k gate dielectric have been investigated under different stress voltages and temperatures. The effective resistance of nano-resistors in the device was estimated from the I-V curve in the high voltage range. It decreased with the increase of the number of resistors. The resistance showed complicated temperature dependence, i.e. it neither behaves like a conductor nor a semiconductor. In the low voltage operation range, the charge transfer was controlled by the Schottky barrier at the nano-resistor/Si interface. The barrier height decreased with the increase of stress voltage, which was probably caused by the change of the nano-resistor composition. Separately, it was observed that the barrier height was dependent on the temperature, which was probably due to the dynamic nano-resistor formation process and the inhomogeneous barrier height distribution. The unique electrical characteristics of this new type of nano-resistors are important for many electronic and optoelectronic applications.

  3. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Sandstrom, R.L.; Giess, E.A.; Gallagher, W.J.; Segmueller, A.; Cooper, E.I.; Chisholm, M.F.; Gupta, A.; Shinde, S.; Laibowitz, R.B.

    1988-01-01

    We demonstrate that lanthanum gallate (LaGaO 3 ) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa 2 Cu 3 O/sub 7-//sub x/, can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant (ε≅25) and low dielectric losses. Epitaxial YBa 2 Cu 3 O/sub 7-//sub x/ films grown on LaGaO 3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K

  4. High thermal conductivity lossy dielectric using co-densified multilayer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-06-17

    Systems and methods are described for loss dielectrics. A method of manufacturing a lossy dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer and then densifying together. The systems and methods provide advantages because the lossy dielectrics are less costly and more environmentally friendly than the available alternatives.

  5. On the Evaluation of Gate Dielectrics for 4H-SiC Based Power MOSFETs

    Directory of Open Access Journals (Sweden)

    Muhammad Nawaz

    2015-01-01

    Full Text Available This work deals with the assessment of gate dielectric for 4H-SiC MOSFETs using technology based two-dimensional numerical computer simulations. Results are studied for variety of gate dielectric candidates with varying thicknesses using well-known Fowler-Nordheim tunneling model. Compared to conventional SiO2 as a gate dielectric for 4H-SiC MOSFETs, high-k gate dielectric such as HfO2 reduces significantly the amount of electric field in the gate dielectric with equal gate dielectric thickness and hence the overall gate current density. High-k gate dielectric further reduces the shift in the threshold voltage with varying dielectric thicknesses, thus leading to better process margin and stable device operating behavior. For fixed dielectric thickness, a total shift in the threshold voltage of about 2.5 V has been observed with increasing dielectric constant from SiO2 (k=3.9 to HfO2 (k=25. This further results in higher transconductance of the device with the increase of the dielectric constant from SiO2 to HfO2. Furthermore, 4H-SiC MOSFETs are found to be more sensitive to the shift in the threshold voltage with conventional SiO2 as gate dielectric than high-k dielectric with the presence of interface state charge density that is typically observed at the interface of dielectric and 4H-SiC MOS surface.

  6. High-mobility pyrene-based semiconductor for organic thin-film transistors.

    Science.gov (United States)

    Cho, Hyunduck; Lee, Sunyoung; Cho, Nam Sung; Jabbour, Ghassan E; Kwak, Jeonghun; Hwang, Do-Hoon; Lee, Changhee

    2013-05-01

    Numerous conjugated oligoacenes and polythiophenes are being heavily studied in the search for high-mobility organic semiconductors. Although many researchers have designed fused aromatic compounds as organic semiconductors for organic thin-film transistors (OTFTs), pyrene-based organic semiconductors with high mobilities and on-off current ratios have not yet been reported. Here, we introduce a new pyrene-based p-type organic semiconductor showing liquid crystal behavior. The thin film characteristics of this material are investigated by varying the substrate temperature during the deposition and the gate dielectric condition using the surface modification with a self-assembled monolayer, and systematically studied in correlation with the performances of transistor devices with this compound. OTFT fabricated under the optimum deposition conditions of this compound, namely, 1,6-bis(5'-octyl-2,2'-bithiophen-5-yl)pyrene (BOBTP) shows a high-performance transistor behavior with a field-effect mobility of 2.1 cm(2) V(-1) s(-1) and an on-off current ratio of 7.6 × 10(6) and enhanced long-term stability compared to the pentacene thin-film transistor.

  7. Periodicity effects on compound waves guided by a thin metal slab sandwiched between two periodically nonhomogeneous dielectric materials

    Science.gov (United States)

    Chiadini, Francesco; Fiumara, Vincenzo; Scaglione, Antonio; Lakhtakia, Akhlesh

    2017-10-01

    Surface-plasmon-polariton waves can be compounded when a sufficiently thin metal layer is sandwiched between two half spaces filled with dissimilar periodically nonhomogeneous dielectric materials. We solved the boundary-value problem for compound waves guided by a layer of a homogeneous and isotropic metal sandwiched between a structurally chiral material (SCM) and a periodically multilayered isotropic dielectric (PMLID) material. We found that the periodicities of the PMLID material and the SCM are crucial to excite a multiplicity of compound guided waves arising from strong coupling between the two interfaces.

  8. Radiation and Internal Charging Environments for Thin Dielectrics in Interplanetary Space

    Science.gov (United States)

    Minow, Joseph I.; Parker, Linda Neergaard; Altstatt, Richard L.

    2004-01-01

    Spacecraft designs using solar sails for propulsion or thin membranes to shade instruments from the sun to achieve cryogenic operating temperatures are being considered for a number of missions in the next decades. A common feature of these designs are thin dielectric materials that will be exposed to the solar wind, solar energetic particle events, and the distant magnetotail plasma environments encountered by spacecraft in orbit about the Earth-Sun L2 point. This paper will discuss the relevant radiation and internal charging environments developed to support spacecraft design for both total dose radiation effects as well as dose rate dependent phenomenon, such as internal charging in the solar wind and distant magnetotail environments. We will describe the development of radiation and internal charging environment models based on nearly a complete solar cycle of Ulysses solar wind plasma measurements over a complete range of heliocentric latitudes and the early years of the Geotail mission where distant magnetotail plasma environments were sampled beyond X(sub GSE) = -100 Re to nearly L2 (X(sub GSE) -236 Re). Example applications of the environment models are shown to demonstrate the radiation and internal charging environments of thin materials exposed to the interplanetary space plasma environments.

  9. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  10. Fabrication of amorphous InGaZnO thin-film transistor with solution processed SrZrO3 gate insulator

    Science.gov (United States)

    Takahashi, Takanori; Oikawa, Kento; Hoga, Takeshi; Uraoka, Yukiharu; Uchiyama, Kiyoshi

    2017-10-01

    In this paper, we describe a method of fabrication of thin film transistors (TFTs) with high dielectric constant (high-k) gate insulator by a solution deposition. We chose a solution processed SrZrO3 as a gate insulator material, which possesses a high dielectric constant of 21 with smooth surface. The IGZO-TFT with solution processed SrZrO3 showed good switching property and enough saturation features, i.e. field effect mobility of 1.7cm2/Vs, threshold voltage of 4.8V, sub-threshold swing of 147mV/decade, and on/off ratio of 2.3×107. Comparing to the TFTs with conventional SiO2 gate insulator, the sub-threshold swing was improved by smooth surface and high field effect due to the high dielectric constant of SrZrO3. These results clearly showed that use of solution processed high-k SrZrO3 gate insulator could improve sub-threshold swing. In addition, the residual carbon originated from organic precursors makes TFT performances degraded.

  11. Characterizations of biodegradable epoxy-coated cellulose nanofibrils (CNF) thin film for flexible microwave applications

    Science.gov (United States)

    Hongyi Mi; Chien-Hao Liu; Tzu-Husan Chang; Jung-Hun Seo; Huilong Zhang; Sang June Cho; Nader Behdad; Zhenqiang Ma; Chunhua Yao; Zhiyong Cai; Shaoqin Gong

    2016-01-01

    Wood pulp cellulose nanofibrils (CNF) thin film is a novel recyclable and biodegradable material. We investigated the microwave dielectric properties of the epoxy coated-CNF thin film for potential broad applications in flexible high speed electronics. The characterizations of dielectric properties were carried out in a frequency range of 1–10 GHz. The dielectric...

  12. Self-Healing, High-Permittivity Silicone Dielectric Elastomer

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Skov, Anne Ladegaard

    2016-01-01

    possesses high dielectric permittivity and consists of an interpenetrating polymer network of silicone elastomer and ionic silicone species that are cross-linked through proton exchange between amines and acids. The ionically cross-linked silicone provides self-healing properties after electrical breakdown...... or cuts made directly to the material due to the reassembly of the ionic bonds that are broken during damage. The dielectric elastomers presented in this paper pave the way to increased lifetimes and the ability of dielectric elastomers to survive millions of cycles in high-voltage conditions....

  13. Controllable growth of stable germanium dioxide ultra-thin layer by means of capacitively driven radio frequency discharge

    Energy Technology Data Exchange (ETDEWEB)

    Svarnas, P., E-mail: svarnas@ece.upatras.gr [High Voltage Laboratory, Department of Electrical and Computer Engineering, University of Patras, Rion 26 504, Patras (Greece); Botzakaki, M.A. [Department of Physics, University of Patras, Rion 26 504 (Greece); Skoulatakis, G.; Kennou, S.; Ladas, S. [Surface Science Laboratory, Department of Chemical Engineering, University of Patras, Rion 26 504 (Greece); Tsamis, C. [NCSR “Demokritos”, Institute of Advanced Materials, Physicochemical Processes, Nanotechnology & Microsystems, Aghia Paraskevi 15 310, Athens (Greece); Georga, S.N.; Krontiras, C.A. [Department of Physics, University of Patras, Rion 26 504 (Greece)

    2016-01-29

    It is well recognized that native oxide of germanium is hygroscopic and water soluble, while germanium dioxide is thermally unstable and it is converted to volatile germanium oxide at approximately 400 °C. Different techniques, implementing quite complicated plasma setups, gas mixtures and substrate heating, have been used in order to grow a stable germanium oxide. In the present work a traditional “RF diode” is used for germanium oxidation by cold plasma. Following growth, X-ray photoelectron spectroscopy demonstrates that traditional capacitively driven radio frequency discharges, using molecular oxygen as sole feedstock gas, provide the possibility of germanium dioxide layer growth in a fully reproducible and controllable manner. Post treatment ex-situ analyses on day-scale periods disclose the stability of germanium oxide at room ambient conditions, offering thus the ability to grow (ex-situ) ultra-thin high-k dielectrics on top of germanium oxide layers. Atomic force microscopy excludes any morphological modification in respect to the bare germanium surface. These results suggest a simple method for a controllable and stable germanium oxide growth, and contribute to the challenge to switch to high-k dielectrics as gate insulators for high-performance metal-oxide-semiconductor field-effect transistors and to exploit in large scale the superior properties of germanium as an alternative channel material in future technology nodes. - Highlights: • Simple one-frequency reactive ion etcher develops GeO{sub 2} thin layers controllably. • The layers remain chemically stable at ambient conditions over day-scale periods. • The layers are unaffected by the ex-situ deposition of high-k dielectrics onto them. • GeO{sub 2} oxidation and high-k deposition don't affect the Ge morphology significantly. • These conditions contribute to improved Ge-based MOS structure fabrication.

  14. Ultimate Scaling of High-κ Gate Dielectrics: Higher-κ or Interfacial Layer Scavenging?

    Directory of Open Access Journals (Sweden)

    Takashi Ando

    2012-03-01

    Full Text Available Current status and challenges of aggressive equivalent-oxide-thickness (EOT scaling of high-κ gate dielectrics via higher-κ ( > 20 materials and interfacial layer (IL scavenging techniques are reviewed. La-based higher-κ materials show aggressive EOT scaling (0.5–0.8 nm, but with effective workfunction (EWF values suitable only for n-type field-effect-transistor (FET. Further exploration for p-type FET-compatible higher-κ materials is needed. Meanwhile, IL scavenging is a promising approach to extend Hf-based highdielectrics to future nodes. Remote IL scavenging techniques enable EOT scaling below 0.5 nm. Mobility-EOT trends in the literature suggest that short-channel performance improvement is attainable with aggressive EOT scaling via IL scavenging or La-silicate formation. However, extreme IL scaling (e.g., zero-IL is accompanied by loss of EWF control and with severe penalty in reliability. Therefore, highly precise IL thickness control in an ultra-thin IL regime ( < 0.5 nm will be the key technology to satisfy both performance and reliability requirements for future CMOS devices.

  15. Comparative studies of AlGaN/GaN MOS-HEMTs with stacked gate dielectrics by the mixed thin film growth method

    International Nuclear Information System (INIS)

    Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Ho, Chiu-Sheng; Lee, Ching-Sung

    2013-01-01

    This paper reports Al 0.27 Ga 0.73 N/GaN metal–oxide–semiconductor high electron mobility transistors (MOS-HEMTs) with stacked Al 2 O 3 /HfO 2 gate dielectrics by using hydrogen peroxideoxidation/sputtering techniques. The Al 2 O 3 employed as a gate dielectric and surface passivation layer effectively suppresses the gate leakage current, improves RF drain current collapse and exhibits good thermal stability. Moreover, by stacking the good insulating high-k HfO 2 dielectric further suppresses the gate leakage, enhances the dielectric breakdown field and power-added efficiency, and decreases the equivalent oxide thickness. The present MOS-HEMT design has demonstrated superior improvements of 10.1% (16.4%) in the maximum drain–source current (I DS,max ), 11.4% (22.5%) in the gate voltage swing and 12.5%/14.4% (21.9%/22.3%) in the two-terminal gate–drain breakdown/turn-on voltages (BV GD /V ON ), and the present design also demonstrates the lowest gate leakage current and best thermal stability characteristics as compared to two reference MOS-HEMTs with a single Al 2 O 3 /(HfO 2 ) dielectric layer of the same physical thickness. (invited paper)

  16. First-principles simulations of the leakage current in metal-oxide-semiconductor structures caused by oxygen vacancies in HfO2 high-K gate dielectric

    International Nuclear Information System (INIS)

    Mao, L.F.; Wang, Z.O.

    2008-01-01

    HfO 2 high-K gate dielectric has been used as a new gate dielectric in metal-oxide-semiconductor structures. First-principles simulations are used to study the effects of oxygen vacancies on the tunneling current through the oxide. A level which is nearly 1.25 eV from the bottom of the conduction band is introduced into the bandgap due to the oxygen vacancies. The tunneling current calculations show that the tunneling currents through the gate oxide with different defect density possess the typical characteristic of stress-induced leakage current. Further analysis shows that the location of oxygen vacancies will have a marked effect on the tunneling current. The largest increase in the tunneling current caused by oxygen vacancies comes about at the middle oxide field when defects are located at the middle of the oxide. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Dielectric study on hierarchical water structures restricted in cement and wood materials

    International Nuclear Information System (INIS)

    Abe, Fumiya; Nishi, Akihiro; Saito, Hironobu; Asano, Megumi; Watanabe, Seiei; Kita, Rio; Shinyashiki, Naoki; Yagihara, Shin; Fukuzaki, Minoru; Sudo, Seiichi; Suzuki, Youki

    2017-01-01

    Dielectric relaxation processes for mortar observed by broadband dielectric spectroscopy were analyzed in the drying and hydration processes for an aging sample in the frequency region from 1 MHz up to 2 MHz. At least two processes for structured water in the kHz frequency region and another mHz relaxation process affected by ionic behaviors were observed. Comparison of the relaxation parameters obtained for the drying and hydration processes suggests an existence of hierarchical water structures in the exchange of water molecules, which are originally exchanged from free water observed at around 20 GHz. The water molecules reflected in the lower frequency process of the two kHz relaxation processes are more restricted and take more homogeneous structures than the higher kHz relaxation process. These structured water usually hidden in large ionic behaviors for wood samples was observed by electrodes covered by a thin Teflon film, and hierarchical water structures were also suggested for wood samples. Dielectric spectroscopy technique is an effective tool to analyze the new concept of hierarchical water structures in complex materials. (paper)

  18. Lanthanide-Assisted Deposition of Strongly Electro-optic PZT Thin Films on Silicon: Toward Integrated Active Nanophotonic Devices.

    Science.gov (United States)

    George, J P; Smet, P F; Botterman, J; Bliznuk, V; Woestenborghs, W; Van Thourhout, D; Neyts, K; Beeckman, J

    2015-06-24

    The electro-optical properties of lead zirconate titanate (PZT) thin films depend strongly on the quality and crystallographic orientation of the thin films. We demonstrate a novel method to grow highly textured PZT thin films on silicon using the chemical solution deposition (CSD) process. We report the use of ultrathin (5-15 nm) lanthanide (La, Pr, Nd, Sm) based intermediate layers for obtaining preferentially (100) oriented PZT thin films. X-ray diffraction measurements indicate preferentially oriented intermediate Ln2O2CO3 layers providing an excellent lattice match with the PZT thin films grown on top. The XRD and scanning electron microscopy measurements reveal that the annealed layers are dense, uniform, crack-free and highly oriented (>99.8%) without apparent defects or secondary phases. The EDX and HRTEM characterization confirm that the template layers act as an efficient diffusion barrier and form a sharp interface between the substrate and the PZT. The electrical measurements indicate a dielectric constant of ∼650, low dielectric loss of ∼0.02, coercive field of 70 kV/cm, remnant polarization of 25 μC/cm(2), and large breakdown electric field of 1000 kV/cm. Finally, the effective electro-optic coefficients of the films are estimated with a spectroscopic ellipsometer measurement, considering the electric field induced variations in the phase reflectance ratio. The electro-optic measurements reveal excellent linear effective pockels coefficients of 110 to 240 pm/V, which makes the CSD deposited PZT thin film an ideal candidate for Si-based active integrated nanophotonic devices.

  19. Wet chemical preparation of YVO{sub 4}:Eu thin films as red-emitting phosphor layers for fully transparent flat dielectric discharge lamp

    Energy Technology Data Exchange (ETDEWEB)

    Klausch, A. [Institute for Inorganic Chemistry, Dresden University of Technology, Mommsenstr. 6, 01069 Dresden (Germany); Althues, H. [Fraunhofer Institute for Material and Beam Technology Winterbergstr. 28, 01309 Dresden (Germany); Freudenberg, T. [Leibniz Institute for Solid State and Materials Research, Helmholtzstrasse 20, 01069 Dresden (Germany); Kaskel, S., E-mail: Stefan.Kaskel@chemie.tu-dresden.de [Institute for Inorganic Chemistry, Dresden University of Technology, Mommsenstr. 6, 01069 Dresden (Germany)

    2012-04-30

    Highly transparent YVO{sub 4}:Eu thin films were deposited via dip coating of liquid nanoparticle dispersions on glass substrates. Annealing of the nanoparticle layers resulted in restructuring of the material into oriented crystalline films. The crystallinity was confirmed using powder X-ray diffraction. Film thickness was adjusted to 467 nm by multiple deposition. The resulting coatings show > 99% absorbance for wavelength below 300 nm and > 90% transmission in the visible spectral range. Under UV-light excitation a bright red photoluminescence with a quantum efficiency of 20% is observed. A planar, transparent dielectric barrier discharge lamp was constructed using YVO{sub 4}:Eu coated glasses and transparent electrodes made from antimony-doped tin dioxide thin films. - Highlights: Black-Right-Pointing-Pointer Preparation of highly transparent Eu{sup 3+} doped YVO{sub 4} phosphor thin films. Black-Right-Pointing-Pointer Improved crystallinity and optical properties through heat treatment. Black-Right-Pointing-Pointer Red emitting films on glass substrates were combined with antimony tin oxide thin films. Black-Right-Pointing-Pointer Fully transparent, planar gas discharge lamp as prototype for a light emitting window.

  20. Redefinition of the self-bias voltage in a dielectrically shielded thin sheath RF discharge

    Science.gov (United States)

    Ho, Teck Seng; Charles, Christine; Boswell, Rod

    2018-05-01

    In a geometrically asymmetric capacitively coupled discharge where the powered electrode is shielded from the plasma by a layer of dielectric material, the self-bias manifests as a nonuniform negative charging in the dielectric rather than on the blocking capacitor. In the thin sheath regime where the ion transit time across the powered sheath is on the order of or less than the Radiofrequency (RF) period, the plasma potential is observed to respond asymmetrically to extraneous impedances in the RF circuit. Consequently, the RF waveform on the plasma-facing surface of the dielectric is unknown, and the behaviour of the powered sheath is not easily predictable. Sheath circuit models become inadequate for describing this class of discharges, and a comprehensive fluid, electrical, and plasma numerical model is employed to accurately quantify this behaviour. The traditional definition of the self-bias voltage as the mean of the RF waveform is shown to be erroneous in this regime. Instead, using the maxima of the RF waveform provides a more rigorous definition given its correlation with the ion dynamics in the powered sheath. This is supported by a RF circuit model derived from the computational fluid dynamics and plasma simulations.

  1. A high energy density relaxor antiferroelectric pulsed capacitor dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Jo, Hwan Ryul; Lynch, Christopher S. [Department of Mechanical and Aerospace Engineering, University of California, Los Angeles (UCLA), Los Angeles, California 90095 (United States)

    2016-01-14

    Pulsed capacitors require high energy density and low loss, properties that can be realized through selection of composition. Ceramic (Pb{sub 0.88}La{sub 0.08})(Zr{sub 0.91}Ti{sub 0.09})O{sub 3} was found to be an ideal candidate. La{sup 3+} doping and excess PbO were used to produce relaxor antiferroelectric behavior with slim and slanted hysteresis loops to reduce the dielectric hysteresis loss, to increase the dielectric strength, and to increase the discharge energy density. The discharge energy density of this composition was found to be 3.04 J/cm{sup 3} with applied electric field of 170 kV/cm, and the energy efficiency, defined as the ratio of the discharge energy density to the charging energy density, was 0.920. This high efficiency reduces the heat generated under cyclic loading and improves the reliability. The properties were observed to degrade some with temperature increase above 80 °C. Repeated electric field cycles up to 10 000 cycles were applied to the specimen with no observed performance degradation.

  2. Analysis of mobile ionic impurities in polyvinylalcohol thin films by thermal discharge current and dielectric impedance spectroscopy

    Directory of Open Access Journals (Sweden)

    M. Egginger

    2012-12-01

    Full Text Available Polyvinylalcohol (PVA is a water soluble polymer frequently applied in the field of organic electronics for insulating thin film layers. By-products of PVA synthesis are sodium acetate ions which contaminate the polymer material and can impinge on the electronic performance when applied as interlayer dielectrics in thin film transistors. Uncontrollable voltage instabilities and unwanted hysteresis effects are regularly reported with PVA devices. An understanding of these effects require knowledge about the electronic dynamics of the ionic impurities and their influence on the dielectric properties of PVA. Respective data, which are largely unknown, are being presented in this work. Experimental investigations were performed from room temperature to 125°C on drop-cast PVA films of three different quality grades. Data from thermal discharge current (TDC measurements, polarization experiments, and dielectric impedance spectroscopy concurrently show evidence of mobile ionic carriers. Results from TDC measurements indicate the existence of an intrinsic, build-in electric field of pristine PVA films. The field is caused by asymmetric ionic double layer formation at the two different film-interfaces (substrate/PVA and PVA/air. The mobile ions cause strong electrode polarization effects which dominate dielectric impedance spectra. From a quantitative electrode polarization analysis of isothermal impedance spectra temperature dependent values for the concentration, the mobility and conductivity together with characteristic relaxation times of the mobile carriers are given. Also shown are temperature dependent results for the dc-permittivity and the electronic resistivity. The obtained results demonstrate the feasibility to partly remove contaminants from a PVA solution by dialysis cleaning. Such a cleaning procedure reduces the values of ion concentration, conductivity and relaxation frequency.

  3. Nanostructured pyronin Y thin films as a new organic semiconductor: Linear/nonlinear optics, band gap and dielectric properties

    Energy Technology Data Exchange (ETDEWEB)

    Zahran, H.Y. [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Yahia, I.S., E-mail: dr_isyahia@yahoo.com [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Alamri, F.H. [Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia)

    2017-05-15

    Pyronin Y dye (PY) is a kind of xanthene derivatives. Thin films of pyronin Y were deposited onto highly cleaned glass substrates using low-cost/spin coating technique. The structure properties of pyronin Y thin films with different thicknesses were investigated by using X-ray diffraction (XRD) and atomic force microscope (AFM). PY thin films for all the studied thicknesses have an amorphous structure supporting the short range order of the grain size. AFM supports the nanostructure with spherical/clusters morphologies of the investigated thin films. The optical constants of pyronin Y thin films for various thicknesses were studied by using UV–vis–NIR spectrophotometer in the wavelength range 350–2500 nm. The transmittance T(λ), reflectance R(λ) spectral and absorbance (abs(λ)) were obtained for all film thicknesses at room temperature and the normal light incident. These films showed a high transmittance in the wide scale wavelengths. For different thicknesses of the studied thin films, the optical band gaps were determined and their values around 2 eV. Real and imaginary dielectric constants, dissipation factor and the nonlinear optical parameters were calculated in the wavelengths to the range 300–2500 nm. The pyronin Y is a new organic semiconductor with a good optical absorption in UV–vis regions and it is suitable for nonlinear optical applications. - Highlights: • Pyronin Y (PY) nanostructured thin films were deposited by using spin coating technique. • XRD/AFM were used to study the structure of PY films. • The optical band gap was calculated on the basis of Tauc's model. • Linear/nonlinear optical parameters are calculated and interpreted via the applied optical theories. • PY thin films is a new organic semiconductor for its application in optoelectronic devices.

  4. Temperature dependent optical properties of ZnO thin film using ellipsometry and photoluminescence

    Science.gov (United States)

    Bouzourâa, M.-B.; Battie, Y.; Dalmasso, S.; Zaïbi, M.-A.; Oueslati, M.; En Naciri, A.

    2018-05-01

    We report the temperature dependence of the dielectric function, the exciton binding energy and the electronic transitions of crystallized ZnO thin film using spectroscopic ellipsometry (SE) and photoluminescence (PL). ZnO layers were prepared by sol-gel method and deposited on crystalline silicon (Si) by spin coating technique. The ZnO optical properties were determined between 300 K and 620 K. Rigorous study of optical responses was achieved in order to demonstrate the quenching exciton of ZnO as a function of temperature. Numerical technique named constrained cubic splines approximation (CCS), Tauc-Lorentz (TL) and Tanguy dispersion models were selected for the ellipsometry data modeling in order to obtain the dielectric function of ZnO. The results reveals that the exciton bound becomes widely flattening at 470 K on the one hand, and on the other that the Tanguy dispersion law is more appropriate for determining the optical responses of ZnO thin film in the temperature range of 300 K-420 K. The Tauc-Lorentz, for its part, reproduces correctly the ZnO dielectric function in 470 K-620 K temperature range. The temperature dependence of the electronic transition given by SE and PL shows that the exciton quenching was observed in 420 K-∼520 K temperature range. This quenching effect can be explained by the equilibrium between the Coulomb force of exciton and its kinetic energy in the film. The kinetic energy was found to induce three degrees of freedom of the exciton.

  5. Dielectric properties of DC reactive magnetron sputtered Al{sub 2}O{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Prasanna, S. [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Mohan Rao, G. [Department of Instrumentation, Indian Institute of Science (IISc), Bangalore, 560 012 (India); Jayakumar, S., E-mail: s_jayakumar_99@yahoo.com [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Kannan, M.D. [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Ganesan, V. [Low Temperature Lab, UGC-DAE Consortium for Scientific Research (CSR), Indore, 452 017 (India)

    2012-01-31

    Alumina (Al{sub 2}O{sub 3}) thin films were sputter deposited over well-cleaned glass and Si < 100 > substrates by DC reactive magnetron sputtering under various oxygen gas pressures and sputtering powers. The composition of the films was analyzed by X-ray photoelectron spectroscopy and an optimal O/Al atomic ratio of 1.59 was obtained at a reactive gas pressure of 0.03 Pa and sputtering power of 70 W. X-ray diffraction results revealed that the films were amorphous until 550 Degree-Sign C. The surface morphology of the films was studied using scanning electron microscopy and the as-deposited films were found to be smooth. The topography of the as-deposited and annealed films was analyzed by atomic force microscopy and a progressive increase in the rms roughness of the films from 3.2 nm to 4.53 nm was also observed with increase in the annealing temperature. Al-Al{sub 2}O{sub 3}-Al thin film capacitors were then fabricated on glass substrates to study the effect of temperature and frequency on the dielectric property of the films. Temperature coefficient of capacitance, AC conductivity and activation energy were determined and the results are discussed. - Highlights: Black-Right-Pointing-Pointer Al{sub 2}O{sub 3} thin films were deposited by DC reactive magnetron sputtering. Black-Right-Pointing-Pointer The films were found to be amorphous up to annealing temperature of 550 C. Black-Right-Pointing-Pointer An increase in rms roughness of the films was observed with annealing. Black-Right-Pointing-Pointer Al-Al{sub 2}O{sub 3}-Al thin film capacitors were fabricated and dielectric constant was 7.5. Black-Right-Pointing-Pointer The activation energy decreased with increase in frequency.

  6. Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation

    Energy Technology Data Exchange (ETDEWEB)

    Marsik, Premysl, E-mail: marsik@physics.muni.c [UFKL, Masaryk University, Kotlarska 2, 61137 Brno (Czech Republic); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Verdonck, Patrick [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); De Roest, David [ASM Belgium, Kapeldreef 75, 3001 Leuven (Belgium); Baklanov, Mikhail R. [IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2010-05-31

    The optical properties of low dielectric constant (low-k) films have been determined by variable angle spectroscopic ellipsometry in the range from 2 eV to 9 eV to characterize the process of porogen removal during the UV-cure. The studied carbon doped oxide (SiCOH) porous dielectric films have been prepared by plasma enhanced chemical vapor deposition. The films have been deposited as a composition of a matrix precursor and an organic porogen. After deposition, the films have been cured by thermal annealing and UV irradiation ({lambda} = 172 nm) to remove the porogen and create a porosity of 33%, reaching a dielectric constant of 2.3. The process of porogen decomposition and removal has been studied on series of low-k samples, UV-cured for various times. Additional samples have been prepared by the deposition and curing of the porogen film, without SiCOH matrix, and the matrix material itself, without porogen. The analysis of the optical response of the porous dielectric as a mixture of matrix material, porogen and voids, together with Fourier transform infrared analysis, allows the sensitive detection of the volume of the porogen and indicates the existence of decomposed porogen residues inside the pores, even for long curing time. The variation of the deposition and curing conditions can control the amount of the porogen residues and the final porosity.

  7. Structural and dielectric properties of (001) and (111)-oriented BaZr0.2Ti0.8O3 epitaxial thin films

    International Nuclear Information System (INIS)

    Ventura, J.; Fina, I.; Ferrater, C.; Langenberg, E.; Coy, L.E.; Polo, M.C.; Garcia-Cuenca, M.V.; Fabrega, L.; Varela, M.

    2010-01-01

    We have grown and characterized BaZr 0.2 Ti 0.8 O 3 (BZT) epitaxial thin films deposited on (001) and (111)-oriented SrRuO 3 -buffered SrTiO 3 substrates by pulsed laser deposition. Structural and morphological characterizations were performed using X-ray diffractometry and atomic force microscopy, respectively. A cube-on-cube epitaxial relationship was ascertained from the θ-2θ and φ diffractograms in both (001) and (111)-oriented films. The (001)-oriented films showed a smooth granular morphology, whereas the faceted pyramid-like crystallites of the (111)-oriented films led to a rough surface. The dielectric response of BZT at room temperature was measured along the growth direction. The films were found to be ferroelectric, although a well-saturated hysteresis loop was obtained only for the (001)-oriented films. High leakage currents were observed for the (111) orientation, likely associated to charge transport along the boundaries of its crystallites. The remanent polarization, coercive field, dielectric constant, and relative change of dielectric permittivity (tunability) of (111)-oriented BZT were higher than those of (001)-oriented BZT.

  8. Investigation of plasma etch damage to porous oxycarbosilane ultra low-k dielectric

    International Nuclear Information System (INIS)

    Bruce, R L; Engelmann, S; Purushothaman, S; Volksen, W; Frot, T J; Magbitang, T; Dubois, G; Darnon, M

    2013-01-01

    There has been much interest recently in porous oxycarbosilane (POCS)-based materials as the ultra-low k dielectric (ULK) in back-end-of-line (BEOL) applications due to their superior mechanical properties compared to traditional organosilicate-based ULK materials at equivalent porosity and dielectric constant. While it is well known that plasma etching and strip processes can cause significant damage to ULK materials in general, little has been reported about the effect of plasma damage to POCS as the ULK material. We investigated the effect of changing the gas discharge chemistry and substrate bias in the dielectric trench etch and also the subsequent effect of the cap-open etch on plasma damage to POCS during BEOL integration. Large differences in surface roughness and damage behaviour were observed by changing the fluorocarbon depositing conditions. These damage behaviour trends will be discussed and potential rationalizations offered based on the formation of pits and craters at the etch front that lead to surface roughness and microtrenching. (paper)

  9. Medium band gap polymer based solution-processed high-κ composite gate dielectrics for ambipolar OFET

    Science.gov (United States)

    Canımkurbey, Betül; Unay, Hande; Çakırlar, Çiğdem; Büyükköse, Serkan; Çırpan, Ali; Berber, Savas; Altürk Parlak, Elif

    2018-03-01

    The authors present a novel ambipolar organic filed-effect transistors (OFETs) composed of a hybrid dielectric thin film of Ta2O5:PMMA nanocomposite material, and solution processed poly(selenophene, benzotriazole and dialkoxy substituted [1,2-b:4, 5-b‧] dithiophene (P-SBTBDT)-based organic semiconducting material as the active layer of the device. We find that the Ta2O5:PMMA insulator shows n-type conduction character, and its combination with the p-type P-SBTBDT organic semiconductor leads to an ambipolar OFET device. Top-gated OFETs were fabricated on glass substrate consisting of interdigitated ITO electrodes. P-SBTBDT-based material was spin coated on the interdigitated ITO electrodes. Subsequently, a solution processed Ta2O5:PMMA nanocomposite material was spin coated, thereby creating the gate dielectric layer. Finally, as a gate metal, an aluminum layer was deposited by thermal evaporation. The fabricated OFETs exhibited an ambipolar performance with good air-stability, high field-induced current and relatively high electron and hole mobilities although Ta2O5:PMMA nanocomposite films have slightly higher leakage current compared to the pure Ta2O5 films. Dielectric properties of the devices with different ratios of Ta2O5:PMMA were also investigated. The dielectric constant varied between 3.6 and 5.3 at 100 Hz, depending on the Ta2O5:PMMA ratio.

  10. Purely hopping conduction in c-axis oriented LiNbO3 thin films

    Science.gov (United States)

    Shandilya, Swati; Tomar, Monika; Sreenivas, K.; Gupta, Vinay

    2009-05-01

    Dielectric constant and ac conductivity of highly c-axis oriented LiNbO3 thin film grown by pulsed laser deposition were studied in a metal-insulator-metal configuration over a wide temperature (200 to 450 K) and frequency (100 Hz to 1 MHz) range. The preferred oriented Al (1%) doped ZnO film with electrical conductivity 1.1×103 Ω-1 cm-1 was deposited for dual purpose: (1) to serve as nucleating center for LiNbO3 crystallites along preferred c-axis growth direction, and (2) to act as a suitable bottom electrode for electrical studies. The room temperature dc conductivity (σdc) of LiNbO3 film was about 5.34×10-10 Ω-1 cm-1 with activation energy ˜0.3 eV, indicating extrinsic conduction. The ac conductivity σac was found to be much higher in comparison to σdc in the low temperature region (300 K), σac shows a weak frequency dependence, whereas dielectric constant exhibits a strong frequency dispersion. The dielectric dispersion data has been discussed in the light of theoretical models based on Debye type mixed conduction and purely hopping conduction. The dominant conduction in c-axis oriented LiNbO3 thin film is attributed to the purely hopping where both σdc and σac arise due to same mechanism.

  11. Super soft silicone elastomers with high dielectric permittivity

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Hvilsted, Søren

    2015-01-01

    Dielectric elastomers (DEs) have many favourable properties. The obstacle of high driving voltages, however, limits the commercial viability of the technology at present. Driving voltage can be lowered by decreasing the Young’s modulus and increasing the dielectric permittivity of silicone...... elastomers. A decrease in Young’s modulus, however, is often accompanied by the loss of mechanical stability and thereby the lifetime of the DE. New soft elastomer matrices with high dielectric permittivity and low Young’s modulus, with no loss of mechanical stability, were prepared by two different...... approaches using chloropropyl-functional silicone polymers. The first approach was based on synthesised chloropropyl-functional copolymers that were cross-linkable and thereby formed the basis of new silicone networks with high dielectric permittivity (e.g. a 43% increase). These networks were soft without...

  12. Synthetic Strategies for High Dielectric Constant Silicone Elastomers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt

    synthetic strategies were developed in this Ph.D. thesis, in order to create silicone elastomers with high dielectric constants and thereby higher energy densities. The work focused on maintaining important properties such as dielectric loss, electrical breakdown strength and elastic modulus....... The methodology therefore involved chemically grafting high dielectric constant chemical groups onto the elastomer network, as this would potentially provide a stable elastomer system upon continued activation of the material. The first synthetic strategy involved the synthesis of a new type of cross...... extender’ that allowed for chemical modifications such as Cu- AAC. This route was promising for one-pot elastomer preparation and as a high dielectric constant additive to commercial silicone systems. The second approach used the borane-catalysed Piers-Rubinsztajn reaction to form spatially well...

  13. Effects of crystallization on structural and dielectric properties of thin amorphous films of (1 - x)BaTiO3-xSrTiO3 (x=0-0.5, 1.0)

    Science.gov (United States)

    Kawano, H.; Morii, K.; Nakayama, Y.

    1993-05-01

    The possibilities for fabricating solid solutions of (Ba1-x,Srx)TiO3 (x≤0.5,1.0) by crystallization of amorphous films and for improving their dielectric properties by adjusting the Sr content were investigated. Thin amorphous films were prepared from powder targets consisting of mixtures of BaTiO3 and SrTiO3 by sputtering with a neutralized Ar-ion beam. The amorphous films crystallized into (Ba1-x, Srx)TiO3 solid solutions with a cubic perovskite-type structure after annealing in air at 923 K for more than 1 h. The Debye-type dielectric relaxation was observed for the amorphous films, whereas the crystallized films showed paraelectric behavior. The relative dielectric constants were of the order of 20 for the amorphous samples, but increased greatly after crystallization to about 60-200, depending on the composition; a larger increase in the dielectric constant was observed in the higher Sr content films, in the range x≤0.5, which could be correlated with an increase in the grain size of the crystallites. The crystallization processes responsible for the difference in the grain size are discussed based on the microstructural observations.

  14. Phase transitions and optical characterization of lead-free piezoelectric (K0.5Na0.5)0.96Li0.04(Nb 0.8Ta0.2)O3 thin films

    KAUST Repository

    Yao, Yingbang

    2013-06-01

    Lead-free piezoelectric thin films, (K0.5Na0.5) 0.96Li0.04(Nb0.8Ta0.2)O 3, were epitaxially grown on MgO(001) and Nb-doped SrTiO 3(001) substrates using pulsed laser deposition. The optimum deposition temperature was found to be 600 C. Two types of in-plane orientations were observed in the films depending on the substrates used. The transmittance and photoluminescence spectra as well as the dielectric and ferroelectric properties of the films were measured. The measured band-gap energy was found to be decreased with the deposition temperature. The dielectric constant decreased from 550 to 300 as the frequency increased from 100 Hz to 1 MHz. The measured remnant polarization and coercive field were 4 μC/cm2 and 68 kV/cm, respectively. The phase transitions of the films were studied by Raman spectroscopy. Two distinct anomalies originating from the cubic-to-tetragonal (TC-T ~ 300 C) and tetragonal-to-orthorhombic (TT-O ~ 120 C) phase transitions were observed. Our results show that Raman spectroscopy is a powerful tool in identifying the phase transitions in ferroelectric thin films. © 2013 Elsevier B.V.

  15. On the room temperature multiferroic BiFeO3: magnetic, dielectric and thermal properties

    Science.gov (United States)

    Lu, J.; Günther, A.; Schrettle, F.; Mayr, F.; Krohns, S.; Lunkenheimer, P.; Pimenov, A.; Travkin, V. D.; Mukhin, A. A.; Loidl, A.

    2010-06-01

    Magnetic dc susceptibility between 1.5 and 800 K, ac susceptibility and magnetization, thermodynamic properties, temperature dependence of radio and audio-wave dielectric constants and conductivity, contact-free dielectric constants at mm-wavelengths, as well as ferroelectric polarization are reported for single crystalline BiFeO3. A well developed anomaly in the magnetic susceptibility signals the onset of antiferromagnetic order close to 635 K. Beside this anomaly no further indications of phase or glass transitions are indicated in the magnetic dc and ac susceptibilities down to the lowest temperatures. The heat capacity has been measured from 2 K up to room temperature and significant contributions from magnon excitations have been detected. From the low-temperature heat capacity an anisotropy gap of the magnon modes of the order of 6 meV has been determined. The dielectric constants measured in standard two-point configuration are dominated by Maxwell-Wagner like effects for temperatures T > 300 K and frequencies below 1 MHz. At lower temperatures the temperature dependence of the dielectric constant and loss reveals no anomalies outside the experimental errors, indicating neither phase transitions nor strong spin phonon coupling. The temperature dependence of the dielectric constant was measured contact free at microwave frequencies. At room temperature the dielectric constant has an intrinsic value of 53. The loss is substantial and strongly frequency dependent indicating the predominance of hopping conductivity. Finally, in small thin samples we were able to measure the ferroelectric polarization between 10 and 200 K. The saturation polarization is of the order of 40 μC/cm2, comparable to reports in literature.

  16. Enhancement of absorption and color contrast in ultra-thin highly absorbing optical coatings

    Science.gov (United States)

    Kats, Mikhail A.; Byrnes, Steven J.; Blanchard, Romain; Kolle, Mathias; Genevet, Patrice; Aizenberg, Joanna; Capasso, Federico

    2013-09-01

    Recently a new class of optical interference coatings was introduced which comprises ultra-thin, highly absorbing dielectric layers on metal substrates. We show that these lossy coatings can be augmented by an additional transparent subwavelength layer. We fabricated a sample comprising a gold substrate, an ultra-thin film of germanium with a thickness gradient, and several alumina films. The experimental reflectivity spectra showed that the additional alumina layer increases the color range that can be obtained, in agreement with calculations. More generally, this transparent layer can be used to enhance optical absorption, protect against erosion, or as a transparent electrode for optoelectronic devices.

  17. Flux mapping at 77 K and local measurement at lower temperature of thin-wall YBaCuO single-domain samples oxygenated under high pressure

    Energy Technology Data Exchange (ETDEWEB)

    Chaud, X., E-mail: Xavier.chaud@grenoble.cnrs.f [CRETA, CNRS, 25, Avenue des Martyrs, 38042 Grenoble Cedex 9 (France); Noudem, J. [CRISMAT/ENSICAEN, CNRS, 6 bd Marechal Juin, 14050 Caen (France); Prikhna, T.; Savchuk, Y. [ISM, National Acad. of Sciences of Ukraine, 2 Avtozavodskaya Street, Kiev, 04074 (Ukraine); Haanappel, E. [LNCMP, UMR 5147, 143 avenue de Rangueil, 31400 Toulouse (France); Diko, P. [IEP, Slovak Acad. of Sciences, Watsonova 47, 043 53, Kosice (Slovakia); Zhang, C.P. [SMRC, NIN, 96 Weiyang Road, Xi' an 710016 (China)

    2009-10-15

    YBCO single-domain samples are suitable for the production of high trapped fields in the range 20-77 K using a cryocooler or liquid nitrogen. But the oxygenation process required to actually transform the single domains into superconductors induces an extensive crack network that is limiting the material performances. Thin-wall geometry has been introduced to reduce the diffusion paths and to enable a progressive oxygenation strategy. As a consequence cracks are drastically reduced. In addition the use of a high oxygen pressure (16 MPa) speeds up further the process by displacing the oxygen-temperature equilibrium towards the higher temperature of the phase diagram. The advantage of thin-wall geometry is that such an annealing can be applied directly to a much larger sample. Remarkable results are obtained without any doping by the combination of thin walls and oxygen high pressure. While classical plain samples yield 300-400 mT, a trapped field of 840 mT has been measured at 77 K on a 16 mm diameter Y123 thin-wall single-domain sample with an annealing time as short as 3 days. Local measurements with a fixed Hall probe on top of the sample were performed at lower temperature after magnetization either in a static field or in a pulse field. The trapped field is significantly higher at lower temperature. Cryocoolers become the key to compromise between performances and cryogenic cost around 40 K.

  18. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  19. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers.

    Science.gov (United States)

    Koslowski, Sebastian; Rosenblatt, Daniel; Kabakchiev, Alexander; Kuhnke, Klaus; Kern, Klaus; Schlickum, Uta

    2017-01-01

    With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111) and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111) results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111), as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal-molecule interaction, which decreases the HOMO-LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS) and their shapes can be resolved by spectroscopic mapping.

  20. SFG analysis of the molecular structures at the surfaces and buried interfaces of PECVD ultralow-dielectric constant pSiCOH: Reactive ion etching and dielectric recovery

    Science.gov (United States)

    Myers, John N.; Zhang, Xiaoxian; Huang, Huai; Shobha, Hosadurga; Grill, Alfred; Chen, Zhan

    2017-05-01

    Molecular structures at the surface and buried interface of an amorphous ultralow-k pSiCOH dielectric film were quantitatively characterized before and after reactive ion etching (RIE) and subsequent dielectric repair using sum frequency generation (SFG) vibrational spectroscopy and Auger electron spectroscopy. SFG results indicated that RIE treatment of the pSiCOH film resulted in a depletion of ˜66% of the surface methyl groups and changed the orientation of surface methyl groups from ˜47° to ˜40°. After a dielectric recovery process that followed the RIE treatment, the surface molecular structure was dominated by methyl groups with an orientation of ˜55° and the methyl surface coverage at the repaired surface was 271% relative to the pristine surface. Auger depth profiling indicated that the RIE treatment altered the top ˜25 nm of the film and that the dielectric recovery treatment repaired the top ˜9 nm of the film. Both SFG and Auger profiling results indicated that the buried SiCNH/pSiCOH interface was not affected by the RIE or the dielectric recovery process. Beyond characterizing low-k materials, the developed methodology is general and can be used to distinguish and characterize different molecular structures and elemental compositions at the surface, in the bulk, and at the buried interface of many different polymer or organic thin films.

  1. Channel mobility degradation and charge trapping in high-k/metal gate NMOSFETs

    International Nuclear Information System (INIS)

    Mathew, Shajan; Bera, L.K.; Balasubramanian, N.; Joo, M.S.; Cho, B.J.

    2004-01-01

    NMOSFETs with Metalo-Organic Chemical Vapor Deposited (MOCVD) HfAlO gate dielectric and TiN metal gate have been fabricated. Channel electron mobility was measured using the split-CV method and compared with SiO 2 devices. All high-k devices showed lower mobility compared with SiO 2 reference devices. High-k MOSFETs exhibited significant charge trapping and threshold instability. Threshold voltage recovery with time was studied on devices with oxide/nitride interfacial layer between high-k film and silicon substrate

  2. Cell characteristics of FePt nano-dot memories with a high-k Al2O3 blocking oxide

    International Nuclear Information System (INIS)

    Lee, Gae Hun; Lee, Jung Min; Yang, Hyung Jun; Song, Yun Heub; Bea, Ji Cheol; Tanaka, Testsu

    2012-01-01

    The cell characteristics of an alloy FePt nano-dot (ND) charge trapping memory with a high-k dielectric as a blocking oxide was investigated. Adoption of a high-k Al 2 O 3 material as a blocking oxide for the metal nano-dot memory provided a superior scaling of the operation voltage compared to silicon oxide under a similar gate leakage level. For the 40-nm-thick high-k (Al 2 O 3 ) blocking oxide, we confirmed an operation voltage reduction of ∼7 V under the same memory window on for silicon dioxide. Also, this device showed a large memory window of 7.8 V and a low leakage current under 10 -10 A in an area of Φ 0.25 mm. From these results, the use of a dielectric (Al 2 O 3 ) as a blocking oxide for a metal nano-dot device is essential, and a metal nano-dot memory with a high-k dielectric will be one of the candidates for a high-density non-volatile memory device.

  3. Pentacene thin-film transistors and inverters with plasma-enhanced atomic-layer-deposited Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Koo, Jae Bon; Lim, Jung Wook; Kim, Seong Hyun; Yun, Sun Jin; Ku, Chan Hoe; Lim, Sang Chul; Lee, Jung Hun

    2007-01-01

    The performances of pentacene thin-film transistor with plasma-enhanced atomic-layer-deposited (PEALD) 150 nm thick Al 2 O 3 dielectric are reported. Saturation mobility of 0.38 cm 2 /V s, threshold voltage of 1 V, subthreshold swing of 0.6 V/decade, and on/off current ratio of about 10 8 have been obtained. Both depletion and enhancement mode inverter have been realized with the change of treatment method of hexamethyldisilazane on PEALD Al 2 O 3 gate dielectric. Full swing depletion mode inverter has been demonstrated at input voltages ranging from 5 V to - 5 V at supply voltage of - 5 V

  4. SHI induced effects on the electrical and optical properties of HfO{sub 2} thin films deposited by RF sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P., E-mail: appsp@uohyd.ernet.in

    2016-07-15

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO{sub 2} as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO{sub 2} is the only alternative to reduce the leakage current. HfO{sub 2} is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO{sub 2} thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO{sub 2}/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  5. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    International Nuclear Information System (INIS)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S.; Noriega, J.R.; Vasselli, J.J.; Chabal, Y.J.; Gnade, B.E.

    2013-01-01

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm −1 and 362 MVm −1 for neat and crosslinked films

  6. Growth and characterization of MMA/SiO2 hybrid low-k thin films for ...

    Indian Academy of Sciences (India)

    We have successfully incorporated MMA monomer and eliminated the polymerization step to lower the dielectric constant of deposited thin film. The presence of peak of C=C bond in Fourier transform infrared (FTIR) spectra and carbon peak in energy dispersive (EDAX) spectra confirms the incorporation of carbon in the film ...

  7. Thermosetting resins with high fractions of free volume and inherently low dielectric constants.

    Science.gov (United States)

    Lin, Liang-Kai; Hu, Chien-Chieh; Su, Wen-Chiung; Liu, Ying-Ling

    2015-08-18

    This work demonstrates a new class of thermosetting resins, based on Meldrum's acid (MA) derivatives, which have high fractions of free volume and inherently low k values of about 2.0 at 1 MHz. Thermal decomposition of the MA groups evolves CO2 and acetone to create air-trapped cavities so as to reduce the dielectric constants.

  8. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    International Nuclear Information System (INIS)

    Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.

    2016-01-01

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  9. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Besleaga, C.; Stan, G.E.; Pintilie, I. [National Institute of Materials Physics, 405A Atomistilor, 077125 Magurele-Ilfov (Romania); Barquinha, P.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2016-08-30

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  10. Analysis of structural and optical properties of annealed fullerene thin films

    Science.gov (United States)

    El-Nahass, M. M.; Ali, H. A. M.; Gadallah, A.-S.; Atta Khedr, M.; Afify, H. A.

    2015-08-01

    Fullerene thin films were thermally deposited onto different substrates. The films annealed at 523 K for 10 h. X-ray diffraction technique was used to examine the structure of the films. The morphology of films was examined by field emission scanning electron microscopy. Fourier transform infrared spectra were recorded in wavenumber range 400-2000 cm-1. The optical characteristics were analyzed using UV- Vis-NIR spectrophotometric measurements in the spectral range 200-2500 nm. The refractive index and extinction coefficient were determined. Some dispersion parameters were calculated such as single oscillator energy, dispersion energy, dielectric constant at high frequency and lattice dielectric constant. As well as, the nonlinear optical susceptibility χ(3) and nonlinear refractive index n2 were determined.

  11. DIELECTRIC AND PYROELECTRIC PROPERTIES OF THE COMPOSITES OF FERROELECTRIC CERAMIC AND POLY(VINYL CHLORIDE

    Directory of Open Access Journals (Sweden)

    M.Olszowy

    2003-01-01

    Full Text Available The dielectric and pyroelectric properties of lead zirconate titanate/poly(vinyl chloride [PZT/PVC] and barium titanate/poly(vinyl chloride [BaTiO3/ PVC] composites were studied. Flexible composites were fabricated in the thin films form (200-400 μm by hot-pressed method. Powders of PZT or BaTiO3 in the shape of ≤ 75 μm ceramics particles were dispersed in a PVC matrix, providing composites with 0-3} connectivity. Distribution of the ceramic particles in the polymer phase was examined by scanning electron microscopy. The analysis of the thermally stimulated currents (TSC have also been done. The changes of dielectric and pyroelectric data on composites with different contents of ceramics up to 40% volume were investigated. The dielectric constants were measured in the frequency range from 600 Hz to 6 MHz at room temperature. The pyroelectric coefficient for BaTiO3/PVC composite at 343 K is about 35 μC/m2K which is higher than that of β-PVDF (10 μC/m2 K.

  12. Direct Effect of Dielectric Surface Energy on Carrier Transport in Organic Field-Effect Transistors.

    Science.gov (United States)

    Zhou, Shujun; Tang, Qingxin; Tian, Hongkun; Zhao, Xiaoli; Tong, Yanhong; Barlow, Stephen; Marder, Seth R; Liu, Yichun

    2018-05-09

    The understanding of the characteristics of gate dielectric that leads to optimized carrier transport remains controversial, and the conventional studies applied organic semiconductor thin films, which introduces the effect of dielectric on the growth of the deposited semiconductor thin films and hence only can explore the indirect effects. Here, we introduce pregrown organic single crystals to eliminate the indirect effect (semiconductor growth) in the conventional studies and to undertake an investigation of the direct effect of dielectric on carrier transport. It is shown that the matching of the polar and dispersive components of surface energy between semiconductor and dielectric is favorable for higher mobility. This new empirical finding may show the direct relationship between dielectric and carrier transport for the optimized mobility of organic field-effect transistors and hence show a promising potential for the development of next-generation high-performance organic electronic devices.

  13. Mechanical and dielectric characterization of lead zirconate titanate(PZT)/polyurethane(PU) thin film composite for energy harvesting

    Science.gov (United States)

    Aboubakr, S.; Rguiti, M.; Hajjaji, A.; Eddiai, A.; Courtois, C.; d'Astorg, S.

    2014-04-01

    The Lead Zirconate titanate (PZT) ceramic is known by its piezoelectric feature, but also by its stiffness, the use of a composite based on a polyurethane (PU) matrix charged by a piezoelectric material, enable to generate a large deformation of the material, therefore harvesting more energy. This new material will provide a competitive alternative and low cost manufacturing technology of autonomous systems (smart clothes, car seat, boat sail, flag ...). A thin film of the PZT/PU composite was prepared using up to 80 vol. % of ceramic. Due to the dielectric nature of the PZT, inclusions of this one in a PU matrix raises the permittivity of the composite, on other hand this latter seems to decline at high frequencies.

  14. Dielectric spectroscopy of [P(NID2OD-T2)]n thin films: Effects of UV radiation on charge transport

    International Nuclear Information System (INIS)

    Sepulveda, Pablo I.; Rosado, Alexander O.; Pinto, Nicholas J.

    2014-01-01

    Poly[N,N′-bis(2-octyldodecyl)-naphthalene-1,4,5,8-bis(dicarboximide) -2,6-diyll-alt-5,5′-(2,2′-bithiophene)]-[P(ND12OD-T2)] n is a n-doped polymer that is stable in air. Low frequency (40 Hz–30 kHz) dielectric spectroscopy shows that the polymer impedance strength is reduced under ultra-violet (UV) radiation as a result of charge increase in the bulk polymer. Photo-excitation and the creation of electron-hole pairs and subsequent hole recombination with electron trapping species adsorbed by the polymer are suggested as possible doping mechanisms. The relaxation times were also faster in the presence of UV indicating multiple pathways for oscillating dipoles to relax. These results imply increased polymer conductance with corresponding enhancement of charge mobility due to reduced scattering in the presence of UV radiation. A thin film field effect transistor was fabricated using this polymer as the active material and characterized in the presence of UV radiation. As expected, the device exhibited n-type behavior with a charge mobility of 3.0 × 10 −3 cm 2 /V-s. Exposure to UV radiation increased the channel current, shifted the threshold voltage to more negative values and doubled the value of the mobility. These results are consistent with dielectric measurements and suggest an easy method of increasing device currents and charge mobility in this polymer via UV irradiation. - Highlights: • Ultra-violet (UV) radiation dopes the polymer. • The doping is n-type. • UV radiation enhances charge mobility without post polymer processing. • Dielectric spectroscopy and field effect transistor results are self-consistent

  15. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  16. Dielectric-Lined High-Gradient Accelerator Structure

    Energy Technology Data Exchange (ETDEWEB)

    Jay L. Hirshfield

    2012-04-24

    Rectangular particle accelerator structures with internal planar dielectric elements have been studied, with a view towards devising structures with lower surface fields for a given accelerating field, as compared with structures without dielectrics. Success with this concept is expected to allow operation at higher accelerating gradients than otherwise on account of reduced breakdown probabilities. The project involves studies of RF breakdown on amorphous dielectrics in test cavities that could enable high-gradient structures to be built for a future multi-TeV collider. The aim is to determine what the limits are for RF fields at the surfaces of selected dielectrics, and the resulting acceleration gradient that could be achieved in a working structure. The dielectric of principal interest in this study is artificial CVD diamond, on account of its advertised high breakdown field ({approx}2 GV/m for dc), low loss tangent, and high thermal conductivity. Experimental studies at mm-wavelengths on materials and structures for achieving high acceleration gradient were based on the availability of the 34.3 GHz third-harmonic magnicon amplifier developed by Omega-P, and installed at the Yale University Beam Physics Laboratory. Peak power from the magnicon was measured to be about 20 MW in 0.5 {micro}s pulses, with a gain of 54 dB. Experiments for studying RF high-field effects on CVD diamond samples failed to show any evidence after more than 10{sup 5} RF pulses of RF breakdown up to a tangential surface field strength of 153 MV/m; studies at higher fields were not possible due to a degradation in magnicon performance. A rebuild of the tube is underway at this writing. Computed performance for a dielectric-loaded rectangular accelerator structure (DLA) shows highly competitive properties, as compared with an existing all-metal structure. For example, comparisons were made of a DLA structure having two planar CVD diamond elements with a all-metal CERN structure HDS

  17. Dielectric-Lined High-Gradient Accelerator Structure

    International Nuclear Information System (INIS)

    Hirshfield, Jay L.

    2012-01-01

    Rectangular particle accelerator structures with internal planar dielectric elements have been studied, with a view towards devising structures with lower surface fields for a given accelerating field, as compared with structures without dielectrics. Success with this concept is expected to allow operation at higher accelerating gradients than otherwise on account of reduced breakdown probabilities. The project involves studies of RF breakdown on amorphous dielectrics in test cavities that could enable high-gradient structures to be built for a future multi-TeV collider. The aim is to determine what the limits are for RF fields at the surfaces of selected dielectrics, and the resulting acceleration gradient that could be achieved in a working structure. The dielectric of principal interest in this study is artificial CVD diamond, on account of its advertised high breakdown field (∼2 GV/m for dc), low loss tangent, and high thermal conductivity. Experimental studies at mm-wavelengths on materials and structures for achieving high acceleration gradient were based on the availability of the 34.3 GHz third-harmonic magnicon amplifier developed by Omega-P, and installed at the Yale University Beam Physics Laboratory. Peak power from the magnicon was measured to be about 20 MW in 0.5 (micro)s pulses, with a gain of 54 dB. Experiments for studying RF high-field effects on CVD diamond samples failed to show any evidence after more than 10 5 RF pulses of RF breakdown up to a tangential surface field strength of 153 MV/m; studies at higher fields were not possible due to a degradation in magnicon performance. A rebuild of the tube is underway at this writing. Computed performance for a dielectric-loaded rectangular accelerator structure (DLA) shows highly competitive properties, as compared with an existing all-metal structure. For example, comparisons were made of a DLA structure having two planar CVD diamond elements with a all-metal CERN structure HDS operating at 30

  18. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-06-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard bulk mono-crystalline silicon substrate. A lifetime projection is extracted using statistical analysis of the ramping voltage (Vramp) breakdown and time dependent dielectric breakdown data. The obtained flexible MOSCAPs operational voltages satisfying the 10 years lifetime benchmark are compared to those of the control MOSCAPs, which are not peeled off from the silicon wafer. © 2014 IEEE.

  19. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  20. Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction

    Energy Technology Data Exchange (ETDEWEB)

    Fong, S. W., E-mail: swfong@stanford.edu; Wong, H.-S. P. [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States); Sood, A. [Department of Material Science and Engineering, Stanford University, Stanford, California 94305 (United States); Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Chen, L. [School of Energy and Power Engineering, Xi' an Jiatong University, Xi' an, Shaanxi 710049 (China); Kumari, N.; Gibson, G. A. [Hewlett-Packard Labs, 1501 Page Mill Rd., Palo Alto, California 94304 (United States); Asheghi, M.; Goodson, K. E. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-07-07

    In this work, we investigate the temperature-dependent thermal conductivities of few nanometer thick alternating stacks of amorphous dielectrics, specifically SiO{sub 2}/Al{sub 2}O{sub 3} and SiO{sub 2}/Si{sub 3}N{sub 4}. Experiments using steady-state Joule-heating and electrical thermometry, while using a micro-miniature refrigerator over a wide temperature range (100–500 K), show that amorphous thin-film multilayer SiO{sub 2}/Si{sub 3}N{sub 4} and SiO{sub 2}/Al{sub 2}O{sub 3} exhibit through-plane room temperature effective thermal conductivities of about 1.14 and 0.48 W/(m × K), respectively. In the case of SiO{sub 2}/Al{sub 2}O{sub 3}, the reduced conductivity is attributed to lowered film density (7.03 → 5.44 × 10{sup 28 }m{sup –3} for SiO{sub 2} and 10.2 → 8.27 × 10{sup 28 }m{sup –3} for Al{sub 2}O{sub 3}) caused by atomic layer deposition of thin-films as well as a small, finite, and repeating thermal boundary resistance (TBR) of 1.5 m{sup 2} K/GW between dielectric layers. Molecular dynamics simulations reveal that vibrational mismatch between amorphous oxide layers is small, and that the TBR between layers is largely due to imperfect interfaces. Finally, the impact of using this multilayer dielectric in a dash-type phase-change memory device is studied using finite-element simulations.

  1. Experimental Study on High Electrical Breakdown of Water Dielectric

    International Nuclear Information System (INIS)

    Zhang Zicheng; Zhang Jiande; Yang Jianhua

    2005-01-01

    By means of a coaxial apparatus, pressurized water breakdown experiments with microsecond charging have been carried out with different surface roughness of electrodes and different ethylene glycol concentrations of ethylene glycol/water mixture. The experimental results about the breakdown stress and the effective time are presented. The breakdown stress is normalized to the situation that the effective time is transformed to 1 μs and analyzed. The conclusions are as follows: (1) the breakdown stress formula is modified to E = 0.561M A -1/10 t eff -1/N P 1/8 ; (2) the coefficient M is significantly increased by surface polishing and ethylene glycol additive; (3) it is accumulative for the capacity of improving electrical breakdown strength for surface polishing, ethylene glycol additive, and pressurization, of which pressurization is the most effective method; (4) the highest stress of 235.5 kV/cm is observed in ethylene glycol/water mixture with an ethylene glycol concentration of 80% at a hydrostatic pressure of 1215.9 kPa and is about one time greater than that in pure water at constant pressure; (5) for pressurization and surface polishing, the primary mechanism to improve the breakdown strength of water dielectric is the increase in the breakdown time delay. Research results indicate great potential in the application of the high power pulse conditioning system of water dielectric

  2. Effects of vacuum ultraviolet irradiation on trapped charges and leakage currents of low-k organosilicate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, H.; Guo, X.; Pei, D.; Shohet, J. L. [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Ryan, E. T. [GLOBALFOUNDRIES, Albany, New York 12203 (United States); Nishi, Y. [Stanford University, Stanford, California 94305 (United States)

    2015-05-11

    Vacuum ultraviolet (VUV) photoemission spectroscopy is utilized to investigate the distribution of trapped charges within the bandgap of low dielectric constant (low-k) organosilicate (SiCOH) materials. It was found that trapped charges are continuously distributed within the bandgap of porous SiCOH and the center of the trapped states is 1.3 eV above the valence band of the tested sample. By comparing photoemission spectroscopic results before and after VUV exposure, VUV irradiation with photon energies between 7.6 and 8.9 eV was found to deplete trapped charge while UV exposure with photon energies less than 6.0 eV induces more trapped charges in tested samples. Current-Voltage (IV) characteristics results show that the reliability of dielectrics is improved after VUV irradiation with photon energies between 7.6 and 8.9 eV, while UV exposure results in an increased level of leakage current and a decreased breakdown voltage, both of which are harmful to the reliability of the dielectric. This work shows that VUV irradiation holds the potential to substitute for UV curing in microelectronic processing to improve the reliability of low-k dielectrics by mitigating the leakage currents and trapped charges induced by UV irradiation.

  3. Pulse Power Capability Of High Energy Density Capacitors Based on a New Dielectric Material

    Science.gov (United States)

    Winsor, Paul; Scholz, Tim; Hudis, Martin; Slenes, Kirk M.

    1999-01-01

    A new dielectric composite consisting of a polymer coated onto a high-density metallized Kraft has been developed for application in high energy density pulse power capacitors. The polymer coating is custom formulated for high dielectric constant and strength with minimum dielectric losses. The composite can be wound and processed using conventional wound film capacitor manufacturing equipment. This new system has the potential to achieve 2 to 3 J/cu cm whole capacitor energy density at voltage levels above 3.0 kV, and can maintain its mechanical properties to temperatures above 150 C. The technical and manufacturing development of the composite material and fabrication into capacitors are summarized in this paper. Energy discharge testing, including capacitance and charge-discharge efficiency at normal and elevated temperatures, as well as DC life testing were performed on capacitors manufactured using this material. TPL (Albuquerque, NM) has developed the material and Aerovox (New Bedford, MA) has used the material to build and test actual capacitors. The results of the testing will focus on pulse power applications specifically those found in electro-magnetic armor and guns, high power microwave sources and defibrillators.

  4. Exploiting Stretchable Metallic Springs as Compliant Electrodes for Cylindrical Dielectric Elastomer Actuators (DEAs

    Directory of Open Access Journals (Sweden)

    Chien-Hao Liu

    2017-11-01

    Full Text Available In recent years, dielectric elastomer actuators (DEAs have been widely used in soft robots and artificial bio-medical applications. Most DEAs are composed of a thin dielectric elastomer layer sandwiched between two compliant electrodes. DEAs vary in their design to provide bending, torsional, and stretch/contraction motions under the application of high external voltages. Most compliant electrodes are made of carbon powders or thin metallic films. In situations involving large deformations or improper fabrication, the electrodes are susceptible to breakage and increased resistivity. The worst cases result in a loss of conductivity and functional failure. In this study, we developed a method by which to exploit stretchable metallic springs as compliant electrodes for cylindrical DEAs. This design was inspired by the extensibility of mechanical springs. The main advantage of this approach is the fact that the metallic spring-like compliant electrodes remain conductive and do not increase the stiffness as the tube-like DEAs elongate in the axial direction. This can be attributed to a reduction in thickness in the radial direction. The proposed cylindrical structure is composed of highly-stretchable VHB 4905 film folded within a hollow tube and then sandwiched between copper springs (inside and outside to allow for stretching and contraction in the axial direction under the application of high DC voltages. We fabricated a prototype and evaluated the mechanical and electromechanical properties of the device experimentally using a high-voltage source of 9.9 kV. This device demonstrated a non-linear increase in axial stretching with an increase in applied voltage, reaching a maximum extension of 0.63 mm (axial strain of 2.35% at applied voltage of 9.9 kV. Further miniaturization and the incorporation of compressive springs are expected to allow the implementation of the proposed method in soft micro-robots and bio-mimetic applications.

  5. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers

    Directory of Open Access Journals (Sweden)

    Sebastian Koslowski

    2017-07-01

    Full Text Available With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111 and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111 results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111, as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal–molecule interaction, which decreases the HOMO–LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS and their shapes can be resolved by spectroscopic mapping.

  6. Moderate temperature-dependent surface and volume resistivity and low-frequency dielectric constant measurements of pure and multi-walled carbon nanotube (MWCNT) doped polyvinyl alcohol thin films

    Science.gov (United States)

    Edwards, Matthew; Guggilla, Padmaja; Reedy, Angela; Ijaz, Quratulann; Janen, Afef; Uba, Samuel; Curley, Michael

    2017-08-01

    Previously, we have reported measurements of temperature-dependent surface resistivity of pure and multi-walled carbon nanotube (MWNCT) doped amorphous Polyvinyl Alcohol (PVA) thin films. In the temperature range from 22 °C to 40 °C with humidity-controlled environment, we found the surface resistivity to decrease initially, but to rise steadily as the temperature continued to increase. Moreover, electric surface current density (Js) was measured on the surface of pure and MWCNT doped PVA thin films. In this regard, the surface current density and electric field relationship follow Ohm's law at low electric fields. Unlike Ohmic conduction in metals where free electrons exist, selected captive electrons are freed or provided from impurities and dopants to become conduction electrons from increased thermal vibration of constituent atoms in amorphous thin films. Additionally, a mechanism exists that seemingly decreases the surface resistivity at higher temperatures, suggesting a blocking effect for conducting electrons. Volume resistivity measurements also follow Ohm's law at low voltages (low electric fields), and they continue to decrease as temperatures increase in this temperature range, differing from surface resistivity behavior. Moreover, we report measurements of dielectric constant and dielectric loss as a function of temperature and frequency. Both the dielectric constant and dielectric loss were observed to be highest for MWCNT doped PVA compared to pure PVA and commercial paper, and with frequency and temperature for all samples.

  7. Capacitor Property and Leakage Current Mechanism of ZrO2 Thin Dielectric Films Prepared by Anodic Oxidation

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2003-07-01

    Polycrystalline ZrO2 thin film capacitors were prepared by anodizing sputter-deposited Zr films. Electrical measurements are performed for the parallel-plate anodized capacitors with an Al-ZrO2-Zr (metal-insulator-metal) structure, and a high capacitance density (0.6 μF/cm2) and a low dielectric loss of nearly 1% are obtained for a very thin-oxide capacitor anodized at 10 V. In addition, the leakage current density of this capacitor is about 1.8 × 10-8 A/cm2 at an applied voltage of 5 V. However, the leakage current is somewhat larger than that of a low-loss HfO2 capacitor. The leakage current density (J) of ZrO2 capacitors as a function of applied electric field (E) was investigated for several capacitors with different oxide thicknesses, by plotting \\ln(J) vs E1/2 curves. As a result, it is revealed that the conduction mechanism is due to the Poole-Frenkel effect, irrespective of the oxide thickness.

  8. The influence of carbon doping on the performance of Gd2O3 as high-k gate dielectric

    International Nuclear Information System (INIS)

    Shekhter, P.; Yehezkel, S.; Shriki, A.; Eizenberg, M.; Chaudhuri, A. R.; Osten, H. J.; Laha, A.

    2014-01-01

    One of the approaches for overcoming the issue of leakage current in modern metal-oxide-semiconductor devices is utilizing the high dielectric constants of lanthanide based oxides. We investigated the effect of carbon doping directly into Gd 2 O 3 layers on the performance of such devices. It was found that the amount of carbon introduced into the dielectric is above the solubility limit; carbon atoms enrich the oxide-semiconductor interface and cause a significant shift in the flat band voltage of the stack. Although the carbon atoms slightly degrade this interface, this method has a potential for tuning the flat band voltage of such structures

  9. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    Energy Technology Data Exchange (ETDEWEB)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Noriega, J.R.; Vasselli, J.J. [Electrical Engineering Department, The University of Texas at Tyler, Tyler, TX 75799 (United States); Chabal, Y.J. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Gnade, B.E., E-mail: gnade@utdallas.edu [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States)

    2013-12-02

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm{sup −1} and 362 MVm{sup −1} for neat and crosslinked films.

  10. Improvement in interfacial characteristics of low-voltage carbon nanotube thin-film transistors with solution-processed boron nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Jun-Young; Ha, Tae-Jun, E-mail: taejunha0604@gmail.com

    2017-08-15

    Highlights: • We demonstrate the potential of solution-processed boron nitride (BN) thin films for nanoelectronics. • Improved interfacial characteristics reduced the leakage current by three orders of magnitude. • The BN encapsulation improves all the device key metrics of low-voltage SWCNT-TFTs. • Such improvements were achieved by reduced interaction of interfacial localized states. - Abstract: In this article, we demonstrate the potential of solution-processed boron nitride (BN) thin films for high performance single-walled carbon nanotube thin-film transistors (SWCNT-TFTs) with low-voltage operation. The use of BN thin films between solution-processed high-k dielectric layers improved the interfacial characteristics of metal-insulator-metal devices, thereby reducing the current density by three orders of magnitude. We also investigated the origin of improved device performance in SWCNT-TFTs by employing solution-processed BN thin films as an encapsulation layer. The BN encapsulation layer improves the electrical characteristics of SWCNT-TFTs, which includes the device key metrics of linear field-effect mobility, sub-threshold swing, and threshold voltage as well as the long-term stability against the aging effect in air. Such improvements can be achieved by reduced interaction of interfacial localized states with charge carriers. We believe that this work can open up a promising route to demonstrate the potential of solution-processed BN thin films on nanoelectronics.

  11. Multiple Scattering Approach to Polarization Dependence of F K-Edge XANES Spectra for Highly Oriented Polytetrafluoroethylene (PTFE) Thin Film

    International Nuclear Information System (INIS)

    Nagamatsu, S.; Ono, M.; Kera, S.; Okudaira, K. K.; Fujikawa, T.; Ueno, N.

    2007-01-01

    The polarization dependence of F K-edge X-ray absorption near edge structure (XANES) spectra of highly-oriented thin-film of polytetrafluoroethylene (PTFE) has been analyzed by using multiple scattering theory. The spectra show clear polarization dependence due to the highly-oriented structure. The multiple scattering calculations reflects a local structure around an absorbing atom. The calculated results obtained by considering intermolecular-interactions are in good agreement with the observed polarization-dependence. We have also analyzed structural models of the radiation damaged PTFE films

  12. Study of PECVD films containing flourine and carbon and diamond like carbon films for ultra low dielectric constant interlayer dielectric applications

    Science.gov (United States)

    Sundaram, Nandini Ganapathy

    precursors. Pre and post-anneal structural properties of the deposited thin film were studied using laser excitation of 633 nm in a Jobin Yvon Labram high-resolution micro-Raman spectrometer. The film was further characterized using AFM, FTIR, XRD, goniometry and electrical testing. Average film roughness as measured by AFM was less than 1 nm, the k-value was 2.5, and the contact angle with water was 42°. Lastly, layered dielectric films comprising of Diamond like Carbon (DLC) and Amorphous Fluorocarbon (a:C-F) were generated using three different stack configurations and subsequently evaluated. Seven unique process conditions generated promising stacks with k-values between 1.69 and 1.95. Of these, only one film exhibited very low shrinkage rates acceptable for semiconductor device processing. Annealed a:C-F films with DLC top coat are similar in bonding structure to as deposited FC films proving that DLC deposition significantly modified the bonding structure of the underlying annealed a:C-F film. Stacks comprised of a:C-F films with higher oxygen content, deposited using high FRRs exhibited both macro and microbuckling to a larger degree and extent. Film integrity was preserved by annealing the Fluorocarbon component or by providing a DLC base coat.

  13. Origin of switching current transients in TIPS-pentacene based organic thin-film transistor with polymer dielectric

    Science.gov (United States)

    Singh, Subhash; Mohapatra, Y. N.

    2017-06-01

    We have investigated switch-on drain-source current transients in fully solution-processed thin film transistors based on 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) using cross-linked poly-4-vinylphenol as a dielectric. We show that the nature of the transient (increasing or decreasing) depends on both the temperature and the amplitude of the switching pulse at the gate. The isothermal transients are analyzed spectroscopically in a time domain to extract the degree of non-exponentiality and its possible origin in trap kinetics. We propose a phenomenological model in which the exchange of electrons between interfacial ions and traps controls the nature of the drain current transients dictated by the Fermi level position. The origin of interfacial ions is attributed to the essential fabrication step of UV-ozone treatment of the dielectric prior to semiconductor deposition.

  14. Optimization of nitridation conditions for high quality inter-polysilicon dielectric layers

    NARCIS (Netherlands)

    Klootwijk, J.H.; Bergveld, H.J.; van Kranenburg, H.; Woerlee, P.H.; Wallinga, Hans

    1996-01-01

    Nitridation of deposited high temperature oxides (HTO) was studied to form high quality inter-polysilicon dielectric layers for embedded non volatile memories. Good quality dielectric layers were obtained earlier by using an optimized deposition of polysilicon and by performing a post-dielectric

  15. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for Advanced CMOS Devices

    Directory of Open Access Journals (Sweden)

    Masamichi Suzuki

    2012-03-01

    Full Text Available A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3 high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process.

  16. In-plane microwave dielectric properties of paraelectric barium strontium titanate thin films with anisotropic epitaxy

    Science.gov (United States)

    Simon, W. K.; Akdogan, E. K.; Safari, A.; Bellotti, J. A.

    2005-08-01

    In-plane dielectric properties of ⟨110⟩ oriented epitaxial (Ba0.60Sr0.40)TiO3 thin films in the thickness range from 25-1200nm have been investigated under the influence of anisotropic epitaxial strains from ⟨100⟩ NdGaO3 substrates. The measured dielectric properties show strong residual strain and in-plane directional dependence. Below 150nm film thickness, there appears to be a phase transition due to the anisotropic nature of the misfit strain relaxation. In-plane relative permittivity is found to vary from as much as 500-150 along [11¯0] and [001] respectively, in 600nm thick films, and from 75 to 500 overall. Tunability was found to vary from as much as 54% to 20% in all films and directions, and in a given film the best tunability is observed along the compressed axis in a mixed strain state, 54% along [11¯0] in the 600nm film for example.

  17. Enhanced energy storage and pyroelectric properties of highly (100)-oriented (Pb1-x-yLaxCay)Ti1-x/4O3 thin films derived at low temperature

    Science.gov (United States)

    Zhu, Hanfei; Ma, Hongfang; Zhao, Yuyao

    2018-05-01

    Highly (100)-oriented (Pb1-x-yLaxCay)Ti1-x/4O3 (x = 0.15, y = 0.05; x = 0.1, y = 0.1; x = 0.05, y = 0.15) thin films were deposited on Pt/Ti/SiO2/Si substrates at a low temperature of 450 °C via a sol-gel route. It was found that all the (Pb1-x-yLaxCay)Ti1-x/4O3 thin films could be completely crystallized and the content of La/Ca showed a significant effect on the electrical properties of films. Among the three films, the (Pb1-x-yLaxCay)Ti1-x/4O3 (x = 0.1, y = 0.1) thin film exhibited the enhanced overall electrical properties, such as a low dielectric loss (tan ⁡ δ energy density (Wre ∼ 15 J/cm3), as well as a large pyroelectric coefficient (p ∼ 190 μC/m2K) and figure of merit (Fd‧∼ 77 μC /m2K). The findings suggest that the fabricated thin films with a good (100) orientation can be an attractive candidate for applications in Si-based energy storage and pyroelectric devices.

  18. Structure and optical properties of nanocrystalline NiO thin film synthesized by sol-gel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Al-Ghamdi, A.A. [King Abdulaziz University, Faculty of Science, Physics Department, Jeddah (Saudi Arabia); Mahmoud, Waleed E., E-mail: w_e_mahmoud@yahoo.co [King Abdulaziz University, Faculty of Science, Physics Department, Jeddah (Saudi Arabia); Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Yaghmour, S.J.; Al-Marzouki, F.M. [King Abdulaziz University, Faculty of Science, Physics Department, Jeddah (Saudi Arabia)

    2009-11-03

    NiO thin film was prepared by sol-gel spin-coating method. This thin film annealed at T = 600 deg. C. The structure of NiO thin film was investigated by means of X-ray diffraction (XRD) technique and scanning electron microscopy (SEM). The optical properties of the deposited film were characterized from the analysis of the experimentally recorded transmittance and reflectance data in the spectral wavelength range of 300-800 nm. The values of some important parameters of the studied films are determined, such as refractive index (n), extinction coefficient (k), optical absorption coefficient (alpha) and band energy gap (E{sub g}). According to the analysis of dispersion curves, it has been found that the dispersion data obeyed the single oscillator of the Wemple-DiDomenico model, from which the dispersion parameters and high-frequency dielectric constant were determined. In such work, from the transmission spectra, the dielectric constant (epsilon{sub i}nfinity), the third-order optical nonlinear susceptibility chi{sup (3)}, volume energy loss function (VELF) and surface energy loss function (SELF) were determined.

  19. A High-Voltage Class D Audio Amplifier for Dielectric Elastomer Transducers

    DEFF Research Database (Denmark)

    Nielsen, Dennis; Knott, Arnold; Andersen, Michael A. E.

    2014-01-01

    Dielectric Elastomer (DE) transducers have emerged as a very interesting alternative to the traditional electrodynamic transducer. Lightweight, small size and high maneuverability are some of the key features of the DE transducer. An amplifier for the DE transducer suitable for audio applications...... is proposed and analyzed. The amplifier addresses the issue of a high impedance load, ensuring a linear response over the midrange region of the audio bandwidth (100 Hz – 3.5 kHz). THD+N below 0.1% are reported for the ± 300 V prototype amplifier producing a maximum of 125 Var at a peak efficiency of 95 %....

  20. Effects of Polymeric Dielectric Morphology on Pentacene Morphology and Organic TFT Characteristics

    Directory of Open Access Journals (Sweden)

    Ye Rongbin

    2016-01-01

    Full Text Available In this paper, we report on the effects of the polymeric dielectric morphology on pentacene morphology and organic thin film transistor (TFT characteristics. The morphology and thickness of cyclo-olefin polymer (COP dielectric could be controlled by selecting a solvent. Higher the solvent’s boiling point is, thinner and smother COP films could be obtained. Using the solvent of trimethylcyclohexane, the spin-coated COP films of ca. 330 nm with the peak-to-valley of 7.35 nm and the roughness of root mean square of 0.58 nm were obtained, and pentacene TFT showed high mobility of 2.0 cm2V-1s-1, which originated from highly ordering of pentacene thin films deposited on the smoother and thinner COP films.

  1. High-frequency dielectric study of proustite crystals Ag3AsS3

    Science.gov (United States)

    Bordovsky, V. A.; Gunia, N. Yu; Castro, R. A.

    2014-12-01

    The dielectric properties of the crystals proustite in the frequency of 106-109 Hz and a temperature range of 173 to 473 K were studied. The dispersion of the dielectric parameters indicates the existence of non-Debye relaxation mechanism correlates with structural changes in the phase transition region. The charge transfer is temperature activated with an activation energy of 2.40 ± 0.01 eV.

  2. In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Meng-Chen [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Lee, Min-Hung [Institute of Electro-Optical Science and Technology, National Taiwan Normal University, Taipei 11677, Taiwan (China); Kuo, Chin-Lung; Lin, Hsin-Chih [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Chen, Miin-Jang, E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China)

    2016-11-30

    Highlights: • The structural and electrical characteristics of the ZrO{sub 2} high-K dielectrics, treated with the in situ atomic layer doping of nitrogen into the top and down regions (top and down nitridation, TN and DN, respectively), were investigated. • The amorphous DN sample has a lower leakage current density (J{sub g}) than the amorphous TN sample, attributed to the formation of SiO{sub x}N{sub y} in the interfacial layer (IL). • The crystalline TN sample exhibited a lower CET and a similar J{sub g} as compared with the crystalline DN sample, which can be ascribed to the suppression of IL regrowth. • The crystalline ZrO{sub 2} with in situ atomic layer doping of nitrogen into the top region exhibited superior scaling limit, electrical characteristics, and reliability. - Abstract: Amorphous and crystalline ZrO{sub 2} gate dielectrics treated with in situ atomic layer nitridation on the top and down regions (top and down nitridation, abbreviated as TN and DN) were investigated. In a comparison between the as-deposited amorphous DN and TN samples, the DN sample has a lower leakage current density (J{sub g}) of ∼7 × 10{sup −4} A/cm{sup 2} with a similar capacitance equivalent thickness (CET) of ∼1.53 nm, attributed to the formation of SiO{sub x}N{sub y} in the interfacial layer (IL). The post-metallization annealing (PMA) leads to the transformation of ZrO{sub 2} from the amorphous to the crystalline tetragonal/cubic phase, resulting in an increment of the dielectric constant. The PMA-treated TN sample exhibits a lower CET of 1.22 nm along with a similar J{sub g} of ∼1.4 × 10{sup −5} A/cm{sup 2} as compared with the PMA-treated DN sample, which can be ascribed to the suppression of IL regrowth. The result reveals that the nitrogen engineering in the top and down regions has a significant impact on the electrical characteristics of amorphous and crystalline ZrO{sub 2} gate dielectrics, and the nitrogen incorporation at the top of crystalline

  3. 4f-5d hybridization in a high k dielectric

    International Nuclear Information System (INIS)

    Losovyj, Ya.B.; Tang, Jinke; Wang, Wendong; Hong Yuanjia; Palshin, Vadim; Tittsworth, Roland

    2006-01-01

    While intra-atomic f-d hybridization is expected, experimental confirmation of f-d hybridization in the photoemission final state leading to 4f band structure has been limited to 5f systems and compound systems with very shallow 4f levels. We demonstrate that core 4f states can contribute to the valence band structure in a wide band gap dielectric, in this case HfO 2 in the photoemission final state. In spite of the complications of sample charging, we find evidence of symmetry in the shallow 4f levels and wave vector dependent band dispersion, the latter consistent with the crystal structure of HfO 2

  4. Towards the accurate electronic structure descriptions of typical high-constant dielectrics

    Science.gov (United States)

    Jiang, Ting-Ting; Sun, Qing-Qing; Li, Ye; Guo, Jiao-Jiao; Zhou, Peng; Ding, Shi-Jin; Zhang, David Wei

    2011-05-01

    High-constant dielectrics have gained considerable attention due to their wide applications in advanced devices, such as gate oxides in metal-oxide-semiconductor devices and insulators in high-density metal-insulator-metal capacitors. However, the theoretical investigations of these materials cannot fulfil the requirement of experimental development, especially the requirement for the accurate description of band structures. We performed first-principles calculations based on the hybrid density functionals theory to investigate several typical high-k dielectrics such as Al2O3, HfO2, ZrSiO4, HfSiO4, La2O3 and ZrO2. The band structures of these materials are well described within the framework of hybrid density functionals theory. The band gaps of Al2O3, HfO2, ZrSiO4, HfSiO4, La2O3 and ZrO2are calculated to be 8.0 eV, 5.6 eV, 6.2 eV, 7.1 eV, 5.3 eV and 5.0 eV, respectively, which are very close to the experimental values and far more accurate than those obtained by the traditional generalized gradient approximation method.

  5. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.; Mejia, I.; Hovarth, J.; Alshareef, Husam N.; Cha, D. K.; Ramirez-Bon, R.; Gnade, B. E.; Quevedo-Lopez, M. A.

    2010-01-01

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  6. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.

    2010-06-29

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  7. Ozone production in a dielectric barrier discharge with ultrasonic irradiation

    DEFF Research Database (Denmark)

    Drews, Joanna Maria; Kusano, Yukihiro; Leipold, Frank

    2011-01-01

    Ozone production has been investigated using an atmospheric pressure dielectric barrier discharge in pure O2 at room temperature with and without ultrasonic irradiation. It was driven at a frequency of either 15 kHz or 40 kHz. The ozone production was highly dependent on the O2 flow rate and the ......Ozone production has been investigated using an atmospheric pressure dielectric barrier discharge in pure O2 at room temperature with and without ultrasonic irradiation. It was driven at a frequency of either 15 kHz or 40 kHz. The ozone production was highly dependent on the O2 flow rate...

  8. Dielectric behaviour of (Ba,Sr)TiO3 perovskite borosilicate glass ceramics

    International Nuclear Information System (INIS)

    Yadav, Avadhesh Kumar; Gautam, C.R.

    2013-01-01

    Various perovskite (Ba,Sr)TiO 3 borosilicate glasses were prepared by rapid melt-quench technique in the glass system ((Ba 1-x Sr x ).TiO 3 )-(2SiO 2 .B 2 O 3 )-(K 2 O)-(La 2 O 3 ). On the basis of differential thermal analysis results, glasses were converted into glass ceramic samples by regulated heat treatment schedules. The dielectric behaviour of crystallized barium strontium titanate borosilicate glass ceramic samples shows diffuse phase transition. The study depicts the dielectric behaviour of glass ceramic sample BST5K1L0.2S814. The double relaxation was observed in glass ceramic samples corresponding 80/20% Ba/Sr due to change in crystal structure from orthorhombic to tetragonal and tetragonal to cubic with variation of temperature. The highest value of dielectric constant was found to be 48289 for the glass ceramic sample BST5K1L0.2S814. The high value of dielectric constant attributed to space charge polarization between the glassy phase and perovskite phase. Due to very high value of dielectric constant, such glass ceramics are used for high energy storage devices. La 2 O 3 acts as nucleating agent for crystallization of glass to glass ceramics and enhances the dielectric constant and retarded dielectric loss. Such glass ceramics can be used in high energy storage devices such as barrier layer capacitors, multilayer capacitors etc. (author)

  9. Flexible Ultrahigh-Temperature Polymer-Based Dielectrics with High Permittivity for Film Capacitor Applications

    Directory of Open Access Journals (Sweden)

    Zejun Pu

    2017-11-01

    Full Text Available In this report, flexible cross-linked polyarylene ether nitrile/functionalized barium titanate(CPEN/F-BaTiO3 dielectrics films with high permittivitywere prepared and characterized. The effects of both the F-BaTiO3 and matrix curing on the mechanical, thermal and dielectric properties of the CPEN/F-BaTiO3 dielectric films were investigated in detail. Compared to pristine BaTiO3, the surface modified BaTiO3 particles effectively improved their dispersibility and interfacial adhesion in the polymer matrix. Moreover, the introduction of F-BaTiO3 particles enhanced dielectric properties of the composites, with a relatively high permittivity of 15.2 and a quite low loss tangent of 0.022 (1 kHz when particle contents of 40 wt % were utilized. In addition, the cyano (–CN groups of functional layer also can serve as potential sites for cross-linking with polyarylene ether nitrile terminated phthalonitrile (PEN-Ph matrix and make it transform from thermoplastic to thermosetting. Comparing with the pure PEN-ph film, the latter results indicated that the formation of cross-linked network in the polymer-based system resulted in increased tensile strength by ~67%, improved glass transition temperature (Tg by ~190 °C. More importantly, the CPEN/F-BaTiO3 composite films filled with 30 wt % F-BaTiO3 particles showed greater energy density by nearly 190% when compared to pure CPEN film. These findings enable broader applications of PEN-based composites in high-performance electronics and energy storage devices materials used at high temperature.

  10. ac conductivity and dielectric properties of amorphous Se80Te20-xGex chalcogenide glass film compositions

    International Nuclear Information System (INIS)

    Hegab, N.A.; Afifi, M.A.; Atyia, H.E.; Farid, A.S.

    2009-01-01

    Thin films of the prepared Se 80 Te 20-x Ge x (x = 5, 7 and 10 at.%) were prepared by thermal evaporation technique. X-ray diffraction patterns showed that the films were in amorphous state. The ac conductivity and dielectric properties of the investigated film compositions were studied in the frequency range 0.1-100 kHz and in temperature range (303-373 K). The experimental results indicated that the ac conductivity and the dielectric properties depended on the temperature and frequency. The ac conductivity is found to obey the ω s law, in accordance with the hopping model, s is found to be temperature dependent (s 1 and dielectric loss ε 2 were found to decrease with frequency and increase with temperature. The maximum barrier height W m , calculated from dielectric measurements according to Guintini equation, agrees with that proposed by the theory of hopping over potential barrier as suggested by Elliott in case of chalcogenide glasses. The density of localized states was estimated for the studied film compositions. The variation of the studied properties with Ge content was also investigated.

  11. Decorating TiO2 Nanowires with BaTiO3 Nanoparticles: A New Approach Leading to Substantially Enhanced Energy Storage Capability of High-k Polymer Nanocomposites.

    Science.gov (United States)

    Kang, Da; Wang, Guanyao; Huang, Yanhui; Jiang, Pingkai; Huang, Xingyi

    2018-01-31

    The urgent demand of high energy density and high power density devices has triggered significant interest in high dielectric constant (high-k) flexible nanocomposites comprising dielectric polymer and high-k inorganic nanofiller. However, the large electrical mismatch between polymer and nanofiller usually leads to earlier electric failure of the nanocomposites, resulting in an undesirable decrease of electrical energy storage capability. A few studies show that the introduction of moderate-k shell onto a high-k nanofiller surface can decrease the dielectric constant mismatch, and thus, the corresponding nanocomposites can withstand high electric field. Unfortunately, the low apparent dielectric enhancement of the nanocomposites and high electrical conductivity mismatch between matrix and nanofiller still result in low energy density and low efficiency. In this study, it is demonstrated that encapsulating moderate-k nanofiller with high-k but low electrical conductivity shell is effective to significantly enhance the energy storage capability of dielectric polymer nanocomposites. Specifically, using BaTiO 3 nanoparticles encapsulated TiO 2 (BaTiO 3 @TiO 2 ) core-shell nanowires as filler, the corresponding poly(vinylidene fluoride-co-hexafluoropylene) nanocomposites exhibit superior energy storage capability in comparison with the nanocomposites filled by either BaTiO 3 or TiO 2 nanowires. The nanocomposite film with 5 wt % BaTiO 3 @TiO 2 nanowires possesses an ultrahigh discharged energy density of 9.95 J cm -3 at 500 MV m -1 , much higher than that of commercial biaxial-oriented polypropylene (BOPP) (3.56 J cm -3 at 600 MV m -1 ). This new strategy and corresponding results presented here provide new insights into the design of dielectric polymer nanocomposites with high electrical energy storage capability.

  12. Dielectric films for solar and laser-pushed lightsails

    International Nuclear Information System (INIS)

    Landis, Geoffrey A.

    2000-01-01

    This project analyzed the potential use of dielectric thin films for solar and laser sails. Such light-pushed sails allow the possibility of fuel-free propulsion in space. This makes possible missions of extremely high delta-V, potentially as high as 30,000 km/sec (0.1c), which is required for a fly-by mission to a nearby star

  13. High-Mobility 6,13-Bis(triisopropylsilylethynyl) Pentacene Transistors Using Solution-Processed Polysilsesquioxane Gate Dielectric Layers.

    Science.gov (United States)

    Matsuda, Yu; Nakahara, Yoshio; Michiura, Daisuke; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) is a low-temperature curable polymer that is compatible with low-cost plastic substrates. We cured PSQ gate dielectric layers by irradiation with ultraviolet light at ~60 °C, and used them for 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) thin film transistors (TFTs). The fabricated TFTs have shown the maximum and average hole mobility of 1.3 and 0.78 ± 0.3 cm2V-1s-1, which are comparable to those of the previously reported transistors using single-crystalline TIPS-pentacene micro-ribbons for their active layers and thermally oxidized SiO2 for their gate dielectric layers. Itis therefore demonstrated that PSQ is a promising polymer gate dielectric material for low-cost organic TFTs.

  14. High-throughput identification of higher-κ dielectrics from an amorphous N2-doped HfO2–TiO2 library

    International Nuclear Information System (INIS)

    Chang, K.-S.; Lu, W.-C.; Wu, C.-Y.; Feng, H.-C.

    2014-01-01

    Highlights: • Amorphous N 2 -doped HfO 2 –TiO 2 libraries were fabricated using sputtering. • Structure and quality of the dielectric and interfacial layers were investigated. • κ (54), J L < 10 −6 A/cm 2 , and equivalent oxide thickness (1 nm) were identified. - Abstract: High-throughput sputtering was used to fabricate high-quality, amorphous, thin HfO 2 –TiO 2 and N 2 -doped HfO 2 –TiO 2 (HfON–TiON) gate dielectric libraries. Electron probe energy dispersive spectroscopy was used to investigate the structures, compositions, and qualities of the dielectric and interfacial layers of these libraries to determine their electrical properties. A κ value of approximately 54, a leakage current density <10 −6 A/cm 2 , and an equivalent oxide thickness of approximately 1 nm were identified in an HfON–TiON library within a composition range of 68–80 at.% Ti. This library exhibits promise for application in highly advanced metal–oxide–semiconductor (higher-κ) gate stacks

  15. Thickness-dependent piezoelectric behaviour and dielectric properties of lanthanum modified BiFeO3 thin films

    Directory of Open Access Journals (Sweden)

    Glenda Biasotto

    2011-03-01

    Full Text Available Bi0.85La0.15FeO3 (BLFO thin films were deposited on Pt(111/Ti/SiO2 /Si substrates by the soft chemical method. Films with thicknesses ranging from 140 to 280 nm were grown on platinum coated silicon substrates at 500°C for 2 hours. The X-ray diffraction analysis of BLFO films evidenced a hexagonal structure over the entire thickness range investigated. The grain size of the film changes as the number of the layers increases, indicating thickness dependence. It is found that the piezoelectric response is strongly influenced by the film thickness. It is shown that the properties of BiFeO3 thin films, such as lattice parameter, dielectric permittivity, piezoeletric coefficient etc., are functions of misfit strains.

  16. Advanced passivation techniques for Si solar cells with highdielectric materials

    International Nuclear Information System (INIS)

    Geng, Huijuan; Lin, Tingjui; Letha, Ayra Jagadhamma; Hwang, Huey-Liang; Kyznetsov, Fedor A.; Smirnova, Tamara P.; Saraev, Andrey A.; Kaichev, Vasily V.

    2014-01-01

    Electronic recombination losses at the wafer surface significantly reduce the efficiency of Si solar cells. Surface passivation using a suitable thin dielectric layer can minimize the recombination losses. Herein, advanced passivation using simple materials (Al 2 O 3 , HfO 2 ) and their compounds H (Hf) A (Al) O deposited by atomic layer deposition (ALD) was investigated. The chemical composition of Hf and Al oxide films were determined by X-ray photoelectron spectroscopy (XPS). The XPS depth profiles exhibit continuous uniform dense layers. The ALD-Al 2 O 3 film has been found to provide negative fixed charge (−6.4 × 10 11  cm −2 ), whereas HfO 2 film provides positive fixed charge (3.2 × 10 12  cm −2 ). The effective lifetimes can be improved after oxygen gas annealing for 1 min. I-V characteristics of Si solar cells with highdielectric materials as passivation layers indicate that the performance is significantly improved, and ALD-HfO 2 film would provide better passivation properties than that of the ALD-Al 2 O 3 film in this research work.

  17. Silicone elastomers with high dielectric permittivity and high dielectric breakdown strength based on tunable functionalized copolymers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Daugaard, Anders Egede

    2015-01-01

    system, with respect to functionalization, is achieved. It is investigated how the different functionalization variables affect essential DE properties, including dielectric permittivity, dielectric loss, elastic modulus and dielectric breakdown strength, and the optimal degree of chemical......%) was obtained without compromising other vital DE properties such as elastic modulus, gel fraction, dielectric and viscous loss and electrical breakdown strength....

  18. AC conductivity and dielectric properties of amorphous GexSb40-xSe60 thin films

    International Nuclear Information System (INIS)

    Atyia, H.E.; Farid, A.M.; Hegab, N.A.

    2008-01-01

    Measurements of AC conductivity and dielectric properties have been made for chalcogenide film samples of Ge x Sb 40-x Se 60 (with x=0, 10 and 20 at%) at different temperatures (303-393 K) and various frequencies (10 2 -10 5 Hz). It was found that the AC conductivity obeys the law σ(ω, T)=Aω s . The exponent s 1 and dielectric loss ε 2 were found to decrease with frequency and increase with temperature. The maximum barrier height W M was calculated from dielectric measurements according to the Guintini equation. It was found that the obtained value of W m agrees with that proposed by the theory of hopping of charge carriers over potential barrier as suggested by Elliott in case of chalcogenide glasses. The density of localized states N(E F ) has also been calculated for the studied compositions. The effect of decreasing the Sb content at the expense of the Ge content was investigated for the obtained results of the studied parameters

  19. Investigation of the structural, optical and dielectric properties of highly (1 0 0)-oriented (Pb{sub 0.60}Ca{sub 0.20}Sr{sub 0.20})TiO{sub 3} thin films on LaNiO{sub 3} bottom electrode

    Energy Technology Data Exchange (ETDEWEB)

    Pontes, D.S.L. [Laboratorio Interdisciplinar de Eletroquimica e Cerâmica, Department of Chemistry, Universidade Federal de São Carlos, Via Washington Luiz, Km 235, P.O. Box 676, 13565-905 São Carlos, São Paulo (Brazil); Pontes, F.M., E-mail: fenelon@fc.unesp.br [Department of Chemistry, Universidade Estadual Paulista, P.O. Box 473, 17033-360 Bauru, São Paulo (Brazil); Chiquito, A.J. [NanO LaB, Transporte Eletrônico em Nanoestruturas, Department of Physics, Universidade Federal de São Carlos, Via Washington Luiz, Km 235, P.O. Box 676, 13565-905 São Carlos, São Paulo (Brazil); Longo, E. [Laboratorio Interdisciplinar de Eletroquimica e Cerâmica, Department of Chemistry, Universidade Federal de São Carlos, Via Washington Luiz, Km 235, P.O. Box 676, 13565-905 São Carlos, São Paulo (Brazil); Institute of Chemistry, Universidade Estadual Paulista, Araraquara, São Paulo (Brazil)

    2014-07-01

    Highlights: • Highly (h 0 0) oriented LNO and PCST thin films were grown on LAO(1 0 0) substrate. • PCST/LNO/LAO structure shown classic ferroelectric–paraelectric phase transition. • PCST/LNO/LAO structure shows superior dielectric properties. • PCST/LAO films showed a direct allowed optical transition. - Abstract: Highly (1 0 0)-oriented Pb{sub 0.60}Ca{sub 0.20}Sr{sub 0.20}TiO{sub 3}/LNO/LAO structure was fabricated using a chemical deposition process via spin-coating technique. XRD revealed that both LNO and Pb{sub 0.60}Ca{sub 0.20}Sr{sub 0.20}TiO{sub 3} films grown on LAO(1 0 0) substrate and LNO/LAO(1 0 0) structure were crystallized to be highly (h 0 0)-oriented, respectively. AFM images revealed smooth surfaces, spherical-shaped grains and a crack-free surface with a roughness of about 3–7 nm. The tetragonal perovskite phase was confirmed by Raman spectroscopy for Pb{sub 0.60}Ca{sub 0.20}Sr{sub 0.20}TiO{sub 3}/LNO/LAO and Pb{sub 0.60}Ca{sub 0.20}Sr{sub 0.20}TiO{sub 3}/LAO structures. The optical transmittance of 340 nm thick Pb{sub 0.60}Ca{sub 0.20}Sr{sub 0.20}TiO{sub 3} films on a LAO(1 0 0) substrate exhibited an average transmittance above 80% in the wavelength range of 500–1000 nm and an optical band gap E{sub g} of 3.56 and 2.87 eV for the direct and indirect transition processes, respectively. The Au/Pb{sub 0.60}Ca{sub 0.20}Sr{sub 0.20}TiO{sub 3}/LNO/LAO structure has a hysteresis loop with remnant polarization, P{sub r}, of 12 μC/cm{sup 2}, and a coercive field, E{sub c}, of 46 kV/cm for an electric field at 370 kV/cm along with a dielectric constant over 1200.

  20. UV protection filters by dielectric multilayer thin films on Glass BK-7 and Infrasil 301

    International Nuclear Information System (INIS)

    Abdel-Aziz, M.M.; Azim, Osama A.; Abdel-Wahab, L.A.; Seddik, Mohamed M.

    2006-01-01

    The increasing use of Ultraviolet (UV) light in medicine, industrial environments, for cosmetic use, and even in consumer products necessitates that greater attention be paid to the potential hazards of this type of electromagnetic radiation. To avoid any adverse effects of exposure to this type of radiation, four suitable protection filters were produced to block three UV bands (UVA, UVB, and UVC). The design structure of the required dielectric multilayer filters was done by optical thin film technology using the absorbing property of UV radiation for the substrates and dielectric materials. The computer analyses of the multilayer filter formulas were prepared using Macleod Software for the production processes. The deposition technique was achieved on optical substrates (Glass BK-7 and Infrasil 301) by dielectric material combinations including Titanium dioxide (Ti 2 O 3 ), Hafnium dioxide (HfO 2 ), and Lima (mixture of oxides SiO 2 /Al 2 O 3 ); deposition being achieved using an electron beam gun. The output results of the theoretical and experimental transmittance values for spectral band from 200 nm to 800 nm were discussed in four processes. To analyze the suitability for use in 'real world' applications, the test pieces were subjected to the durability tests (adhesion, abrasion resistance, and humidity) according to Military Standard MIL-C-675C and MIL-C-48497A

  1. UV protection filters by dielectric multilayer thin films on Glass BK-7 and Infrasil 301

    Science.gov (United States)

    Abdel-Aziz, M. M.; Azim, Osama A.; Abdel-Wahab, L. A.; Seddik, Mohamed M.

    2006-10-01

    The increasing use of Ultraviolet (UV) light in medicine, industrial environments, for cosmetic use, and even in consumer products necessitates that greater attention be paid to the potential hazards of this type of electromagnetic radiation. To avoid any adverse effects of exposure to this type of radiation, four suitable protection filters were produced to block three UV bands (UVA, UVB, and UVC). The design structure of the required dielectric multilayer filters was done by optical thin film technology using the absorbing property of UV radiation for the substrates and dielectric materials. The computer analyses of the multilayer filter formulas were prepared using Macleod Software for the production processes. The deposition technique was achieved on optical substrates (Glass BK-7 and Infrasil 301) by dielectric material combinations including Titanium dioxide (Ti 2O 3), Hafnium dioxide (HfO 2), and Lima (mixture of oxides SiO 2/Al 2O 3); deposition being achieved using an electron beam gun. The output results of the theoretical and experimental transmittance values for spectral band from 200 nm to 800 nm were discussed in four processes. To analyze the suitability for use in 'real world' applications, the test pieces were subjected to the durability tests (adhesion, abrasion resistance, and humidity) according to Military Standard MIL-C-675C and MIL-C-48497A.

  2. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    Science.gov (United States)

    Fan, W.; Kabius, B.; Hiller, J. M.; Saha, S.; Carlisle, J. A.; Auciello, O.; Chang, R. P. H.; Ramesh, R.

    2003-11-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 °C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlOx, while the oxide layer at the TiAl/Cu interface is an Al2O3-rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlOx interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 °C followed by a rapid thermal annealing at 700 °C. This process significantly reduced the thickness of the TiAlOx layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high dielectric constant (280), low

  3. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    International Nuclear Information System (INIS)

    Fan, W.; Kabius, B.; Hiller, J.M.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 deg. C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlO x , while the oxide layer at the TiAl/Cu interface is an Al 2 O 3 -rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlO x interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 deg. C followed by a rapid thermal annealing at 700 deg. C. This process significantly reduced the thickness of the TiAlO x layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high

  4. Impedance spectroscopic and dielectric analysis of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A. [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia); Kahouli, A., E-mail: kahouli.kader@yahoo.fr [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia); Sylvestre, A., E-mail: alain.sylvestre@grenoble.cnrs.fr [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Defaye, E. [CEA-LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 9 (France); Yangui, B. [Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia)

    2012-07-15

    Highlights: Black-Right-Pointing-Pointer The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3}. Black-Right-Pointing-Pointer The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. Black-Right-Pointing-Pointer The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. Black-Right-Pointing-Pointer The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin film with Pt/BST/Pt/TiO{sub 2}/SiO{sub 2} structure was prepared by ion beam sputtering. The film was post annealed at 700 Degree-Sign C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1-10{sup 5} Hz] at different temperatures [175-350 Degree-Sign C]. The Nyquist plots (Z Double-Prime vs . Z Prime ) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z Double-Prime and M Double-Prime are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M{sup Double-Prime }/M{sup Double-Prime }{sub max} shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  5. Electrical characteristics of top contact pentacene organic thin film

    Indian Academy of Sciences (India)

    Organic thin film transistors (OTFTs) were fabricated using pentacene as the active layer with two different gate dielectrics, namely SiO2 and poly(methyl methacrylate) (PMMA), in top contact geometry for comparative studies. OTFTs with SiO2 as dielectric and gold deposited on the rough side of highly doped silicon (n+ -Si) ...

  6. High-efficiency dielectric barrier Xe discharge lamp: theoretical and experimental investigations

    International Nuclear Information System (INIS)

    Beleznai, Sz; Mihajlik, G; Agod, A; Maros, I; Juhasz, R; Nemeth, Zs; Jakab, L; Richter, P

    2006-01-01

    A dielectric barrier Xe discharge lamp producing vacuum-ultraviolet radiation with high efficiency was investigated theoretically and experimentally. The cylindrical glass body of the lamp is equipped with thin strips of metal electrodes applied to diametrically opposite sides of the outer surface. We performed a simulation of discharge plasma properties based on one-dimensional fluid dynamics and also assessed the lamp characteristics experimentally. Simulation and experimental results are analysed and compared in terms of voltage and current characteristics, power input and discharge efficiency. Using the proposed lamp geometry and fast rise-time short square pulses of the driving voltage, an intrinsic discharge efficiency around 56% was predicted by simulation, and more than 60 lm W -1 lamp efficacy (for radiation converted into visible green light by phosphor coating) was demonstrated experimentally

  7. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    Science.gov (United States)

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  8. Graphene/Pentacene Barristor with Ion-Gel Gate Dielectric: Flexible Ambipolar Transistor with High Mobility and On/Off Ratio.

    Science.gov (United States)

    Oh, Gwangtaek; Kim, Jin-Soo; Jeon, Ji Hoon; Won, EunA; Son, Jong Wan; Lee, Duk Hyun; Kim, Cheol Kyeom; Jang, Jingon; Lee, Takhee; Park, Bae Ho

    2015-07-28

    High-quality channel layer is required for next-generation flexible electronic devices. Graphene is a good candidate due to its high carrier mobility and unique ambipolar transport characteristics but typically shows a low on/off ratio caused by gapless band structure. Popularly investigated organic semiconductors, such as pentacene, suffer from poor carrier mobility. Here, we propose a graphene/pentacene channel layer with high-k ion-gel gate dielectric. The graphene/pentacene device shows both high on/off ratio and carrier mobility as well as excellent mechanical flexibility. Most importantly, it reveals ambipolar behaviors and related negative differential resistance, which are controlled by external bias. Therefore, our graphene/pentacene barristor with ion-gel gate dielectric can offer various flexible device applications with high performances.

  9. High magnetic field properties of Fe-pnictide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kurth, Fritz

    2015-11-20

    epitaxy without compromising superconducting properties. It is worth mentioning, that a world record T{sub c} of 28 K for Co-doped Ba-122 thin films is reported here. Chapter 4 describes high-field transport properties (up to dc 35 T) of epitaxial P-doped Ba-122 thin films prepared by MBE. Among the FBS, P-doped Ba-122 shows very high transport critical current densities, although the T{sub c} is lower than for LnFeAs(O,F)[Ln=Sm and Nd]. Additionally, the film is microstructurally clean. These high J{sub c} values are due to a high vortex line energy. Chapter 5 deals with transport properties of epitaxial SmFeAs(O,F) thin films. In the course of this work, a dc 45 T magnet has been used within collaboration with the National High Magnetic Field Laboratory at Tallahassee, FL, USA. SmFeAs(O,F) thin films have been prepared by molecular beam epitaxy (MBE). The investigated film shows a very high transport critical current density (J{sub c}) of over 10{sup 5} A/cm{sup 2} at 45 T and 4.2 K for both main crystallographic directions, which features favourable for high-field magnet applications. Additionally, by investigating the pinning properties, a dimensional crossover between the superconducting coherence length and the FeAs interlayer distance at 30-40 K was observed. Chapter 6 reports on high-field transport properties of NdFeAs(O,F) thin films prepared by MBE. In this case, the transition from Abrikosov to Josephson vortices was observed around 20-30K. Additionally, the angular J{sub c} data were scaled with the anisotropic GinzburgLandau approach. The obtained parameters at given temperature are observed to increase with decreasing temperature, which is different from Co-doped Ba-122. Chapter 7 summarises this work.

  10. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  11. Structural, morphological and optical properties of Na and K dual doped CdS thin film

    International Nuclear Information System (INIS)

    Mageswari, S.; Dhivya, L.; Palanivel, Balan; Murugan, Ramaswamy

    2012-01-01

    Highlights: ► Effect of incorporation of Na, K and Na,K dual dopants into CdS thin film was investigated. ► Thin films were prepared by simple chemical bath deposition technique. ► The XRD analysis revealed cubic phase for all the investigated films. ► AFM analysis revealed uniform surface with crack free and densely packed morphology for CdS:Na,K film. ► The band gap value increases for CdS:Na, CdS:K and CdS:Na,K thin films compared to CdS film. - Abstract: CdS, sodium doped CdS (CdS:Na), potassium doped CdS (CdS:K) and sodium and potassium dual doped CdS (CdS:Na,K) thin films were deposited on glass substrate by chemical bath deposition (CBD) technique. Structural, morphological and optical properties of the as-grown films were characterised using X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray analysis (EDAX), atomic force microscopy (AFM) and ultraviolet visible (UV–VIS) spectroscopy. The XRD analysis revealed cubic phase for ‘as-deposited’ CdS, CdS:Na, CdS:K and CdS:Na,K dual doped thin films. AFM analysis revealed uniform film surface with crack free and densely packed morphology for CdS:Na,K film. The absorption edge in the optical absorption spectra shifts towards the shorter wavelength for CdS:Na, CdS:K and CdS:Na,K thin films compared to CdS film. The optical band gap of CdS, CdS:Na, CdS:K and CdS:Na,K thin films was found to be 2.31, 2.35, 2.38 and 2.34 eV, respectively.

  12. Structural and magneto-dielectric property of (1-x)SBT-xLSMO nanocomposite thin films

    International Nuclear Information System (INIS)

    Maity, Sarmistha; Bhattacharya, D.; Dhar, A.; Ray, S.K.

    2009-01-01

    Full text: In recent years, interest in multiferroic materials has been increasing due to their potential applications. As single-phase multiferroic materials have very low room temperature magnetoelectric coefficient, recent studies have been concentrated on the possibility of attaining a coupling between the two order parameters by designing composites with magnetostrictive and piezoelectric phases via stress mediation. Composite thin films with homogenous matrix, composition spread with terminal layers being ferromagnetic and ferroelectric, layer-by-layer growth, superlattices, as well as epitaxial growth of ferromagnetic and ferroelectric layers on suitable substrates are been currently considered. In the present work, a nanostructured composite thin film of strontium bismuth tantalate (SBT) (ferroelectric layer) and lanthanum strontium manganese oxide (LSMO) (ferromagnetic layer) were fabricated using pulsed laser deposition. Phase separated multiferroic thin films with thickness varying from 50nm to 150nm were deposited from composite target (1-x)SBT-xLSMO with x=0.2, 0.5, 0.8. Grazing angle X-ray diffraction study combined with photo electron spectroscopy with depth profiling was carried out to study the phase separation. Interface quality of the thin film on silicon substrate was studied by Rutherford backscattering spectroscopy. Influence of film thickness and composition (x) on the electrical property of film was examined using impedance spectroscopy. The composite films exhibited ferroelectric as well as ferromagnetic characteristics at room temperature. A small kink in the dielectric spectra near the Neel temperature of LSMO confirmed the magneto-electric effect in the nanocomposite films

  13. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    Science.gov (United States)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  14. Ferroelectric and piezoelectric properties of lead-free BaTiO{sub 3} doped Bi{sub 0.5}Na{sub 0.5}TiO{sub 3} thin films from metal-organic solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Acharya, Susant Kumar [Division of Advanced Materials Engineering, Hydrogen and Fuel Cell Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Department of Semiconductor Science and Technology, Basic Research Laboratory (BRL), Semiconductor Physics Research Center (SPRC), Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Lee, Sang-Kwon; Hyung, Jung-Hwan [Department of Semiconductor Science and Technology, Basic Research Laboratory (BRL), Semiconductor Physics Research Center (SPRC), Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Yang, Yun-Ho; Kim, Bok-Hee [Division of Advanced Materials Engineering, Hydrogen and Fuel Cell Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Ahn, Byung-Guk, E-mail: bkahn@jbnu.ac.kr [Division of Advanced Materials Engineering, Hydrogen and Fuel Cell Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2012-11-05

    Highlights: Black-Right-Pointing-Pointer Lead-free BNT-BT thin films from an optimized metal-organic solution deposition. Black-Right-Pointing-Pointer Phase and microstructure evolution with annealing temperature. Black-Right-Pointing-Pointer A relatively low leakage current density. Black-Right-Pointing-Pointer Good dielectric constant of 613 at a frequency of 1 kHz. Black-Right-Pointing-Pointer High remanent polarization and piezoelectric constant comparable to PZT thin films. - Abstract: Lead-free 0.94Bi{sub 0.5}Na{sub 0.5}TiO{sub 3}-0.06BaTiO{sub 3} (BNT-BT) piezoelectric thin films were prepared by metal-organic solution deposition onto a Pt/Ti/SiO{sub 2}/Si substrate. A dense and well crystallized thin film with a perovskite phase was obtained by annealing these films at 700 Degree-Sign C. Atomic force microscopy showed that these films were smooth and crack-free with an average grain size on the order of 200 nm. Thin films of 356 nm thickness exhibited a small signal dielectric constant and a loss tangent at 1 kHz of 613 and 0.044, respectively. Ferroelectric hysteresis measurements indicated a remanent polarization value of 21.5 {mu}C/cm{sup 2} with a coercive field of 164.5 kV/cm. The leakage current density of the thin film was 4.08 Multiplication-Sign 10{sup -4} A/cm{sup 2} at an applied electric field of 200 kV/cm. A typical butterfly-shaped piezoresponse loop was observed and the effective piezoelectric coefficient (d{sub 33}) of the BNT-BT thin film was approximately 51.6 pm/V.

  15. Structural, dielectric and ferroelectric properties of (Bi,Na)TiO3–BaTiO3 system studied by high throughput screening

    International Nuclear Information System (INIS)

    Hayden, Brian E.; Yakovlev, Sergey

    2016-01-01

    Thin-film materials libraries of the Bi 2 O 3 –Na 2 O–TiO 2 –BaO system in a broad composition range have been deposited in ultra-high vacuum from elemental evaporation sources and an oxygen plasma source. A high throughput approach was used for systematic compositional and structural characterization and the screening of the dielectric and ferroelectric properties. The perovskite (Bi,Na)TiO 3 –BaTiO 3 phase with a Ba concentration near the morphotropic phase boundary (ca. 6 at.%) exhibited a relative dielectric permittivity of 180, a loss tangent of 0.04 and remnant polarization of 19 μC/cm 2 . Compared to published data, observed remnant polarization is close to that known for epitaxially grown films but higher than the values reported for polycrystalline films. The high throughput methodology and systematic nature of the study allowed us to establish the composition boundaries of the phase with optimal dielectric and ferroelectric characteristics. - Highlights: • Bi 2 O 3 –Na 2 O–TiO 2 –BaO high throughput materials library was deposited using PVD method. • Materials were processed from individual molecular beam epitaxy sources of elements. • High throughput approach was used for structural, dielectric and ferroelectric study. • Composition boundaries of perovskite compounds with optimum properties are reported.

  16. Synthesis, fabrication and characterization of magnetic and dielectric nanoparticles and nanocomposite films

    Science.gov (United States)

    Liu, Xiaohua

    Materials science is an interdisciplinary field investigating the structure-property relationship in solid-state materials scientifically and technologically. Nanoscience is concerned with the distinctive properties that matter exhibits when confined to physical dimensions on the order of 10-9 meters. At these length scales, behaviors of particles or elaborate structures are often governed by the rules of quantum mechanics in addition to the physical properties associated with the bulk material. The work reported here seeks to employ nanocystals, binary nanocomposites and thin films of materials, to build versatile, functional systems and devices. With a focus on dielectric, ferroelectric, and magnetoelectric performance, a series of materials has been synthesized and different types of nanocomposites have been built. Barium strontium titannate particles at various sizes was developed, aiming at high dielectric constant and low loss at high frequency range. Cobalt ferrite-polymer nanocomposite was fabricated with potential magnetoelectric coupling. Along with synthesis, advanced electron microscopies (TEM, SEM, STEM, EELS) at atomic resolution were employed to thoroughly investigate the crystallinity, morphology and composition. By means of spin-coating and printing techniques, single and multiple layered capacitors featuring improved dielectric performance (high k, low loss, high breakdown voltage, etc.) were developed through a) electrode deposition, b) dielectric layer deposition, and c) parylene evaporation. Such capacitors are further incorporated into electric power converters for LED lighting. Hopefully in the future we can make electronic devices more efficient, sustainable, smaller and cheaper. By advancing our knowledge of nanomaterials, especially those with potential of multifunction, energy efficiency and sustainability, we have strived to push the limits of synthesis, characterization, fabrication and property analysis of nanostructures towards new

  17. AC electrical conductivity and dielectric relaxation studies on n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC)

    Science.gov (United States)

    Qashou, Saleem I.; Darwish, A. A. A.; Rashad, M.; Khattari, Z.

    2017-11-01

    Both Alternating current (AC) conductivity and dielectric behavior of n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC) have been investigated. Fourier transformation infrared (FTIR) spectroscopy is used for identifying both powder and film bonds which confirm that there are no observed changes in the bonds between the DMPDC powder and evaporated films. The dependence of AC conductivity on the temperature for DMPDC evaporated films was explained by the correlated barrier hopping (CBH) model. The calculated barrier height using CBH model shows a decreasing behavior with increasing temperature. The mechanism of dielectric relaxation was interpreted on the basis of the modulus of the complex dielectric. The calculated activation energy of the relaxation process was found to be 0.055 eV.

  18. High field dielectric properties of anisotropic polymer-ceramic composites

    International Nuclear Information System (INIS)

    Tomer, V.; Randall, C. A.

    2008-01-01

    Using dielectrophoretic assembly, we create anisotropic composites of BaTiO 3 particles in a silicone elastomer thermoset polymer. We study a variety of electrical properties in these composites, i.e., permittivity, dielectric breakdown, and energy density as function of ceramic volume fraction and connectivity. The recoverable energy density of these electric-field-structured composites is found to be highly dependent on the anisotropy present in the system. Our results indicate that x-y-aligned composites exhibit higher breakdown strengths along with large recoverable energy densities when compared to 0-3 composites. This demonstrates that engineered anisotropy can be employed to control dielectric breakdown strengths and nonlinear conduction at high fields in heterogeneous systems. Consequently, manipulation of anisotropy in high-field dielectric properties can be exploited for the development of high energy density polymer-ceramic systems

  19. Silicate formation at the interface of Pr-oxide as a high-K dielectric and Si(001) surfaces

    International Nuclear Information System (INIS)

    Schmeisser, D.; Zheng, F.; Perez-Dieste, V.; Himpsel, F.J.; LoNigro, R.; Toro, R.G.; Malandrino, G.; Fragala, I.L.

    2006-01-01

    The composition and chemical bonding of the first atoms across the interface between Si(001) and the dielectric determine the quality of dielectric gate stacks. An analysis of that hidden interface is a challenge as it requires both, high sensitivity and elemental and chemical state information. We used X-ray absorption spectroscopy in total electron yield and total fluorescence yield at the Si2p and the O1s edges to address that issue. We report on results of Pr 2 O 3 /Si(001) as prepared by both, epitaxial growth and metal organic chemical vapor deposition (MOCVD), and compare to the SiO 2 /Si(001) system as a reference. We find evidence for the silicate formation at the interface as derived from the characteristic features at the Si2p and the O1s edges. The results are in line with model experiments in which films of increasing film thickness are deposited in situ on bare Si(001) surfaces

  20. Behavior of pentacene initial nucleation on various dielectrics and its effect on carrier transport in organic field-effect transistor.

    Science.gov (United States)

    Qi, Qiong; Yu, Aifang; Wang, Liangmin; Jiang, Chao

    2010-11-01

    The influence of dielectric surface energy on the initial nucleation and the growth of pentacene films as well as the electrical properties of the pentacene-based field-effect transistors are investigated. We have examined a range of organic and inorganic dielectrics with different surface energies, such as polycarbonate/SiO2, polystyrene/SiO2, and PMMA/SiO2 bi-layered dielectrics and also the bare SiO2 dielectric. Atomic force microscopy measurements of sub-monolayer and thick pentacene films indicated that the growth of pentacene film was in Stranski-Kranstanow growth mode on all the dielectrics. However, the initial nucleation density and the size of the first-layered pentacene islands deposited on different dielectrics are drastically influenced by the dielectric surface energy. With the increasing of the surface energy, the nucleation density increased and thus the average size of pentacene islands for the first mono-layer deposition decreased. The performance of fabricated pentacene-based thin film transistors was found to be highly related to nucleation density and the island size of deposited Pentacene film, and it had no relationship to the final particle size of the thick pentacene film. The field effect mobility of the thin film transistor could be achieved as high as 1.38 cm2Ns with on/off ratio over 3 x 10(7) on the PS/SiO2 where the lowest surface energy existed among all the dielectrics. For comparison, the values of mobility and on/off ratio were 0.42 cm2Ns and 1 x 10(6) for thin film transistor deposited directly on bare SiO2 having the highest surface energy.

  1. Processing and properties of Pb(Mg(1/3)Nb(2/3))O3--PbTiO3 thin films by pulsed laser deposition

    Science.gov (United States)

    Tantigate, C.; Lee, J.; Safari, A.

    1995-03-01

    The objectives of this study were to prepare in situ Pb(Mg(1/3)Nb(2/3))O3 (PMN) and PMN-PT thin films by pulsed laser deposition and to investigate the electrical features of thin films for possible dynamic random access memory (DRAM) and microactuator applications. The impact of processing parameters such compositions, substrate temperature, and oxygen pressure on perovskite phase formation and dielectric characteristics were reported. It was found that the highest dielectric constant, measured at room temperature and 10 kHz, was attained from the PMN with 99% perovskite.

  2. Dielectric properties of Li doped Li-Nb-O thin films

    Energy Technology Data Exchange (ETDEWEB)

    Perentzis, G.; Horopanitis, E.E.; Papadimitriou, L. [Aristotle University of Thessaloniki, Department of Physics, 54124 Thessaloniki (Greece); Durman, V.; Saly, V.; Packa, J. [Faculty of Electrical Engineering and Information Technology, Slovak University of Technology, Ilkovicova 3, 81219 Bratislava (Slovakia)

    2007-03-15

    Lithium niobate LiNbO{sub 3} was prepared as a thin film layered structure deposited on stainless steel substrate using e-gun evaporation. The Li doping was provided for by the formation of Li-Nb-O/Li/LiNb-O sandwich structure and annealing at about 250 C. AC impedance spectroscopy measurements were performed on the samples at temperatures from the interval between 28 and 165 C and in a frequency range of 10{sup -3} to 10{sup 6} Hz. Using the values Z' and Z'' at different frequencies, the dielectric parameters - parts of the complex permittivity {epsilon}' and {epsilon}'' and loss tangent tan {delta} were calculated. The results prove validity of the proposed equivalent circuit containing parallel RC elements connected in series where the first RC element represents the bulk of material and the second RC element belongs to the double layer at the metal interface. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Electrical behaviors of c-axis textured 0.975Bi{sub 0.5}Na{sub 0.5}TiO{sub 3}–0.025BiCoO{sub 3} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Feifei [Department of Physics, Condensed Matter Science and Technology Institute, Harbin Institute of Technology, Harbin 150080 (China); Yang, Bin, E-mail: binyang@hit.edu.cn [Department of Physics, Condensed Matter Science and Technology Institute, Harbin Institute of Technology, Harbin 150080 (China); Zhang, Shantao, E-mail: stzhang@mail.nju.edu.cn [Department of Materials Science and Engineering and National Laboratory of Solid State Microstructures, Nanjing University, Nanjing 210093 (China); Liu, Danqing [School of Chemical Engineering and Technology, Harbin Institute of Technology, Harbin 150080 (China); Wu, Fengmin [Department of Physics, Condensed Matter Science and Technology Institute, Harbin Institute of Technology, Harbin 150080 (China); Wang, Dali [School of Chemical Engineering and Technology, Harbin Institute of Technology, Harbin 150080 (China); Cao, Wenwu [Department of Physics, Condensed Matter Science and Technology Institute, Harbin Institute of Technology, Harbin 150080 (China); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States)

    2013-10-15

    The thin films of 0.975Bi{sub 0.5}Na{sub 0.5}TiO{sub 3}–0.025BiCoO{sub 3} (BNT-BC) have been successfully deposited on (1 1 1) Pt/Ti/SiO{sub 2}/Si (1 0 0) substrates by pulse laser deposition and their ferroelectric, dielectric, local piezoelectric properties and temperature dependent leakage current behaviors have been investigated systematically. X-ray diffraction indicates the films are single phased and c-axis oriented. The thin films exhibit ferroelectric polarization–electric field (P–E) hysteresis loop with a remnant polarization (P{sub r}) of 10.0 μC/cm{sup 2} and an excellent fatigue resistance property up to 5 × 10{sup 9} switching cycles. The dielectric constant and dielectric loss are 500 and 0.22 at 1 kHz, respectively. The tunability of the dielectric constant is about 12% at 20 kV/mm. The piezo-phase response hysteresis loop and piezo-amplitude response butterfly curve are observed by switching spectroscopy mode of piezoelectric force microscope (SS-PFM) and the piezoelectric coefficient d{sub 33} is about 19–63 pm/V, which is comparable to other reports. The dominant leakage current conduction mechanisms are ohmic conduction at low electric field and Schottky emission at high electric field, respectively. Our results may be helpful for further work on BNT-based thin films with improved electric properties.

  4. Characterization of thin films using local magneometer

    CERN Document Server

    Katyan N.

    2016-01-01

    SIS nanocomposite (Superconductor/Insulator/Superconductor) could improve efficiency of accelerating cavities. The SRF multilayers concept focuses on the enhancement of HC1 using thin layers (d~λ). The use of thin layers makes it easier to avoid avalanche penetration of vortices in case of local defects. Several layers are needed in order to attenuate the external field to values below Nb HC1, decoupled using dielectric layers. We don’t know yet how the predicted properties evolve in realistic conditions; hence it seems reasonable to do their optimization. Two parameters need to be measured to study their behavior in cavity operating conditions: HC1 and Rs surface resistance (especially residual). For that purpose two instruments were developed in Saclay and in Orsay. A local magnetometer allows measuring the vortex penetration on samples without the orientation and edge effects encountered in SQUID magnetometers. Its operating conditions range from 2-40 K, with field up to 150 mT, and upgradation to highe...

  5. A self-powered thin-film radiation detector using intrinsic high-energy current

    Energy Technology Data Exchange (ETDEWEB)

    Zygmanski, Piotr, E-mail: pzygmanski@LROC.HARVARD.EDU, E-mail: Erno-Sajo@uml.edu [Department of Radiation Oncology, Brigham and Women’s Hospital, Dana-Farber Cancer Institute and Harvard Medical School, Boston, Massachusetts 02115 (United States); Sajo, Erno, E-mail: pzygmanski@LROC.HARVARD.EDU, E-mail: Erno-Sajo@uml.edu [Department of Physics and Applied Physics, Medical Physics Program, University of Massachusetts Lowell, Lowell, Massachusetts 01854 (United States)

    2016-01-15

    Purpose: The authors introduce a radiation detection method that relies on high-energy current (HEC) formed by secondary charged particles in the detector material, which induces conduction current in an external readout circuit. Direct energy conversion of the incident radiation powers the signal formation without the need for external bias voltage or amplification. The detector the authors consider is a thin-film multilayer device, composed of alternating disparate electrically conductive and insulating layers. The optimal design of HEC detectors consists of microscopic or nanoscopic structures. Methods: Theoretical and computational developments are presented to illustrate the salient properties of the HEC detector and to demonstrate its feasibility. In this work, the authors examine single-sandwiched and periodic layers of Cu and Al, and Au and Al, ranging in thickness from 100 nm to 300 μm and separated by similarly sized dielectric gaps, exposed to 120 kVp x-ray beam (half-value thickness of 4.1 mm of Al). The energy deposition characteristics and the high-energy current were determined using radiation transport computations. Results: The authors found that in a dual-layer configuration, the signal is in the measurable range. For a defined total detector thickness in a multilayer structure, the signal sharply increases with decreasing thickness of the high-Z conductive layers. This paper focuses on the computational results while a companion paper reports the experimental findings. Conclusions: Significant advantages of the device are that it does not require external power supply and amplification to create a measurable signal; it can be made in any size and geometry, including very thin (sub-millimeter to submicron) flexible curvilinear forms, and it is inexpensive. Potential applications include medical dosimetry (both in vivo and external), radiation protection, and other settings where one or more of the above qualities are desired.

  6. Experimental Investigation of an X-Band Tunable Dielectric Accelerating Structure

    CERN Document Server

    Kanareykin, Alex; Karmanenko, Sergei F; Nenasheva, Elisaveta; Power, John G; Schoessow, Paul; Semenov, Alexei

    2005-01-01

    Experimental study of a new scheme to tune the resonant frequency for dielectric based accelerating structure (driven either by the wakefield of a beam or an external rf source) is underway. The structure consists of a single layer of conventional dielectric surrounded by a very thin layer of ferroelectric material situated on the outside. Carefully designed electrodes are attached to a thin layer of ferroelectric material. A DC bias can be applied to the electrodes to change the permittivity of the ferroelectric layer and therefore, the dielectric overall resonant frequency can be tuned. In this paper, we present the test results for an 11.424 GHz rectangular DLA prototype structure that the ferroelectric material's dielectric constant of 500 and show that a frequency tuning range of 2% can be achieved. If successful, this scheme would compensate for structure errors caused by ceramic waveguide machining tolerances and dielectric constant heterogeneity.

  7. Microwave Characterization of Ba-Substituted PZT and ZnO Thin Films.

    Science.gov (United States)

    Tierno, Davide; Dekkers, Matthijn; Wittendorp, Paul; Sun, Xiao; Bayer, Samuel C; King, Seth T; Van Elshocht, Sven; Heyns, Marc; Radu, Iuliana P; Adelmann, Christoph

    2018-05-01

    The microwave dielectric properties of (Ba 0.1 Pb 0.9 )(Zr 0.52 Ti 0.48 )O 3 (BPZT) and ZnO thin films with thicknesses below were investigated. No significant dielectric relaxation was observed for both BPZT and ZnO up to 30 GHz. The intrinsic dielectric constant of BPZT was as high as 980 at 30 GHz. The absence of strong dielectric dispersion and loss peaks in the studied frequency range can be linked to the small grain diameters in these ultrathin films.

  8. Dielectric properties of Ga2O3-doped barium iron niobate ceramics

    International Nuclear Information System (INIS)

    Sanjoom, Kachaporn; Pengpat, Kamonpan; Eitssayeam, Sukum; Tunkasiri, Tawee; Rujijanagul, Gobwute

    2014-01-01

    Ga-doped BaFe 0.5 Nb 0.5 O 3 (Ba(Fe 1-x Ga x ) 0.5 Nb 0.5 O 3 ) ceramics were fabricated and their properties were investigated. All ceramics showed perovskite structure with cubic symmetry and the solubility of Ga in BFN ceramics had a limit at x = 0.2. Examination of the dielectric spectra indicated that all ceramic samples presented high dielectric constants that were frequency dependent. The x = 0.2 ceramic showed a very high dielectric constant (ε r > 240 000 at 1 kHz) while the x = 0.4 sample exhibited high thermal stability of dielectric constant with low loss tangent from room temperature (RT) to 100 C with ε r > 28 000 (at 1 kHz) when compared to other samples. By using a complex impedance analysis technique, bulk grain, grain boundary, and electrode response were found to affect the dielectric behavior that could be related to the Maxwell-Wagner polarization mechanism. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Microwave characteristics of sol-gel based Ag-doped (Ba{sub 0.6}Sr{sub 0.4})TiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kyoung-Tae; Kim, Cheolbok [Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL 32611 (United States); Senior, David E. [Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL 32611 (United States); Department of Electrical and Electronic Engineering, Universidad Tecnológica de Bolívar Cartagena, 130011 Colombia (Colombia); Kim, Dongsu [Packaging Research Center, Korea Electronics Technology Institute, Gyeonggi-do, 463-816 (Korea, Republic of); Yoon, Yong-Kyu, E-mail: ykyoon@ece.ufl.edu [Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL 32611 (United States)

    2014-08-28

    Dielectric Ba{sub 0.6}Sr{sub 0.4}TiO{sub 3} (BST) thin films with a different concentration of Ag-dopant of 0.5, 1, 1.5, 2, 3, and 5 mol % have been prepared using an alkoxide-based sol-gel method on a Pt(111)/TiO{sub 2}/SiO{sub 2}/Si substrate and their surface morphology and crystallinity have been examined using scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis, respectively. An on-chip metal-insulator-metal capacitor has been fabricated with the prepared thin film ferroelectric sample. Concentric coplanar electrodes are used for high frequency electrical characterization with a vector network analyzer and a probe station. The SEM images show that increasing Ag doping concentration leads to a decrease in grain size. XRD reveals that the fabricated films show good BST crystallinity for all the concentration while a doping concentration of 5 mol % starts to show an Ag peak, implying a metallic phase. Improved microwave dielectric loss properties of the BST thin films are observed in a low Ag doping level. Especially, BST with an Ag doping concentration of 1 mol % shows the best properties with a dielectric constant of 269.3, a quality factor of 48.1, a tunability at the electric field of 100 kV/cm of 41.2 %, a leakage-current density of 1.045 × 10{sup −7}A/cm{sup 2} at an electric field of 100 kV/cm and a figure of merit (defined by tunability (%) divided by tan δ (%)) of 19.59 under a dc bias voltage of 10 V at 1 GHz. - Highlights: • High quality Ag-doped Ba{sub 0.6}Sr{sub 0.4}TiO{sub 3} (BST) thin films were derived by the sol-gel method. • Doped Ag replaced the A site ions in the ABO{sub 3} type structure. • Doped Ag helped lower leakage current by filling oxygen vacancies, which is a leakage path. • Microwave characteristics of low dielectric loss and good tunability were confirmed. • Great potential is envisioned for low loss tunable microwave applications.

  10. Muscle-like high-stress dielectric elastomer actuators with oil capsules

    International Nuclear Information System (INIS)

    La, Thanh-Giang; Lau, Gih-Keong; Shiau, Li-Lynn; Wei-Yee Tan, Adrian

    2014-01-01

    Despite being capable of generating large strains, dielectric elastomer actuators (DEAs) are short of strength. Often, they cannot produce enough stress or as much work as that achievable by human elbow muscles. Their maximum actuation capacity is limited by the electrical breakdown of dielectric elastomers. Often, failures of these soft actuators are pre-mature and localized at the weakest spot under high field and high stress. Localized breakdowns, such as electrical arcing, thermal runaway and punctures, could spread to ultimately cause rupture if they were not stopped. This work shows that dielectric oil immersion and self-clearable electrodes nibbed the buds of localized breakdowns from DEAs. Dielectric oil encapsulation in soft-membrane capsules was found to help the DEA sustain an ultra-high electrical breakdown field of 835 MVm −1 , which is 46% higher than the electrical breakdown strength of the dry DEA in air at 570 MV m −1 . Because of the increased apparent dielectric strength, this oil-capsuled DEA realizes a higher maximum isotonic work density of up to 31.51Jkg −1 , which is 43.8% higher than that realized by the DEA in air. Meanwhile, it produces higher maximum isometric stress of up to 1.05 MPa, which is 75% higher than that produced by the DEA in air. Such improved actuator performances are comparable to those achieved by human flexor muscles, which can exert up to 1.2 MPa during elbow flexion. This muscle-like, high-stress dielectric elastomeric actuation is very promising to drive future human-like robots. (paper)

  11. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  12. Preparation and Characterization of PbO-SrO-Na2O-Nb2O5-SiO2 Glass Ceramics Thin Film for High-Energy Storage Application

    Science.gov (United States)

    Tan, Feihu; Zhang, Qingmeng; Zhao, Hongbin; Wei, Feng; Du, Jun

    2018-03-01

    PbO-SrO-Na2O-Nb2O5-SiO2 (PSNNS) glass ceramic thin films were prepared by pulsed laser deposition technology on heavily doped silicon substrates. The influence of annealing temperatures on microstructures, dielectric properties and energy storage performances of the as-prepared films were investigated in detail. X-ray diffraction studies indicate that Pb2Nb2O7 crystallizes at 800°C and disappears at 900°C, while NaNbO3 and PbNb2O6 are formed at the higher temperature of 900°C. The dielectric properties of the glass ceramics thin films have a strong dependence on the phase assemblages that are developed during heat treatment. The maximum dielectric constant value of 171 was obtained for the film annealed at 800°C, owing to the high electric breakdown field strength, The energy storage densities of the PSNNS films annealed at 800°C were as large as 36.9 J/cm3, These results suggest that PSNNS thin films are promising for energy storage applications.

  13. Stress effects in ferroelectric perovskite thin-films

    Science.gov (United States)

    Zednik, Ricardo Johann

    The exciting class of ferroelectric materials presents the engineer with an array of unique properties that offer promise in a variety of applications; these applications include infra-red detectors ("night-vision imaging", pyroelectricity), micro-electro-mechanical-systems (MEMS, piezoelectricity), and non-volatile memory (NVM, ferroelectricity). Realizing these modern devices often requires perovskite-based ferroelectric films thinner than 100 nm. Two such technologically important material systems are (Ba,Sr)TiO3 (BST), for tunable dielectric devices employed in wireless communications, and Pb(Zr,Ti)O3 (PZT), for ferroelectric non-volatile memory (FeRAM). In general, the material behavior is strongly influenced by the mechanical boundary conditions imposed by the substrate and surrounding layers and may vary considerably from the known bulk behavior. A better mechanistic understanding of these effects is essential for harnessing the full potential of ferroelectric thin-films and further optimizing existing devices. Both materials share a common crystal structure and similar properties, but face unique challenges due to the design parameters of these different applications. Tunable devices often require very low dielectric loss as well as large dielectric tunability. Present results show that the dielectric response of BST thin-films can either resemble a dipole-relaxor or follow the accepted empirical Universal Relaxation Law (Curie-von Schweidler), depending on temperature. These behaviors in a single ferroelectric thin-film system are often thought to be mutually exclusive. In state-of-the-art high density FeRAM, the ferroelectric polarization is at least as important as the dielectric response. It was found that these properties are significantly affected by moderate biaxial tensile and compressive stresses which reversibly alter the ferroelastic domain populations of PZT at room temperature. The 90-degree domain wall motion observed by high resolution

  14. Materials Fundamentals of Gate Dielectrics

    CERN Document Server

    Demkov, Alexander A

    2006-01-01

    This book presents materials fundamentals of novel gate dielectrics that are being introduced into semiconductor manufacturing to ensure the continuous scalling of the CMOS devices. This is a very fast evolving field of research so we choose to focus on the basic understanding of the structure, thermodunamics, and electronic properties of these materials that determine their performance in device applications. Most of these materials are transition metal oxides. Ironically, the d-orbitals responsible for the high dielectric constant cause sever integration difficulties thus intrinsically limiting high-k dielectrics. Though new in the electronics industry many of these materials are wel known in the field of ceramics, and we describe this unique connection. The complexity of the structure-property relations in TM oxides makes the use of the state of the art first-principles calculations necessary. Several chapters give a detailed description of the modern theory of polarization, and heterojunction band discont...

  15. Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric

    Science.gov (United States)

    Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna

    2016-10-01

    In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.

  16. The field induced e31,f piezoelectric and Rayleigh response in barium strontium titanate thin films

    International Nuclear Information System (INIS)

    Garten, L. M.; Trolier-McKinstry, S.

    2014-01-01

    The electric field induced e 31,f piezoelectric response and tunability of Ba 0.7 Sr 0.3 TiO 3 (70:30) and Ba 0.6 Sr 0.4 TiO 3 (60:40) thin films on MgO and silicon was measured. The relative dielectric tunabilities for the 70:30 and 60:40 compositions on MgO were 83% and 70%, respectively, with a dielectric loss of less than 0.011 and 0.004 at 100 kHz. A linear increase in induced piezoelectricity to −3.0 C/m 2 and −1.5 C/m 2 at 110 kV/cm was observed in Ba 0.6 Sr 0.4 TiO 3 on MgO and Ba 0.7 Sr 0.3 TiO 3 on Si. Hysteresis in the piezoelectric and dielectric response of the 70:30 composition films was consistent with the positive irreversible dielectric Rayleigh coefficient. Both indicate a ferroelectric contribution to the piezoelectric and dielectric response over 40–80 °C above the global paraelectric transition temperature.

  17. Determination and analysis of optical constants for Ge{sub 15}Se{sub 60}Bi{sub 25} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Atyia, H.E., E-mail: hebaelghrip@hotmail.com [Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt); Physics Department, Faculty of Applied Medical Science at Turabah, Taif University, Turabah (Saudi Arabia); Hegab, N.A. [Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt)

    2014-12-01

    Thin films of Ge{sub 15}Se{sub 60}Bi{sub 25} were deposited, at room temperature, on glass substrates by thermal evaporation technique. The optical reflectance and transmittance of amorphous Ge{sub 15}Se{sub 60}Bi{sub 25} films were measured at normal incident in the wavelength range (500–2500 nm). The optical constants, the refractive index n and the absorption index k, were determined and analyzed according to different approximate methods using the transmittance measurements only and accurate method using the transmittance and reflectance measurements. Analysis of the absorption index k data reveal the values of the optical band gap E{sub g}{sup opt}, the width of tails E{sub e} and the type of transitions. Some optical parameters such as, high frequency dielectric constant ε{sub ∞}, dispersion parameters (oscillation energy E{sub s} and the dispersion energy E{sub d}), real and imaginary parts of complex dielectric constant (ε{sub 1} and ε{sub 2}) and dielectric parameters (dissipation factor tan δ, dielectric relaxation time τ, the volume and surface energy loss functions) were estimated by analyzing the refractive index n data.The relative errors for all optical parameters depending on different approximate methods were identified and discussed.

  18. Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for Advanced CMOS Devices

    Directory of Open Access Journals (Sweden)

    Yi Zhao

    2012-08-01

    Full Text Available High permittivity (k gate dielectric films are widely studied to substitute SiO2 as gate oxides to suppress the unacceptable gate leakage current when the traditional SiO2 gate oxide becomes ultrathin. For high-k gate oxides, several material properties are dominantly important. The first one, undoubtedly, is permittivity. It has been well studied by many groups in terms of how to obtain a higher permittivity for popular high-k oxides, like HfO2 and La2O3. The second one is crystallization behavior. Although it’s still under the debate whether an amorphous film is definitely better than ploy-crystallized oxide film as a gate oxide upon considering the crystal boundaries induced leakage current, the crystallization behavior should be well understood for a high-k gate oxide because it could also, to some degree, determine the permittivity of the high-k oxide. Finally, some high-k gate oxides, especially rare earth oxides (like La2O3, are not stable in air and very hygroscopic, forming hydroxide. This topic has been well investigated in over the years and significant progresses have been achieved. In this paper, I will intensively review the most recent progresses of the experimental and theoretical studies for preparing higher-k and more stable, in terms of hygroscopic tolerance and crystallization behavior, Hf- and La-based ternary high-k gate oxides.

  19. High dielectric constant and energy density induced by the tunable TiO2 interfacial buffer layer in PVDF nanocomposite contained with core-shell structured TiO2@BaTiO3 nanoparticles

    Science.gov (United States)

    Hu, Penghao; Jia, Zhuye; Shen, Zhonghui; Wang, Peng; Liu, Xiaoru

    2018-05-01

    To realize application in high-capacity capacitors and portable electric devices, large energy density is eagerly desired for polymer-based nanocomposite. The core-shell structured nanofillers with inorganic buffer layer are recently supposed to be promising in improving the dielectric property of polymer nanocomposite. In this work, core-shell structured TO@BT nanoparticles with crystalline TiO2 buffer layer coated on BaTiO3 nanoparticle were fabricated via solution method and heat treatment. The thickness of the TO buffer layer can be tailored by modulating the additive amount of the titanate coupling agent in preparation process, and the apparent dielectric properties of nanocomposite are much related to the thickness of the TO layer. The relatively thin TO layer prefer to generate high polarization to increase dielectric constant while the relatively thick TO layer would rather to homogenize field to maintain breakdown strength. Simulation of electric field distribution in the interfacial region reveals the improving effect of the TO buffer layer on the dielectric properties of nanocomposite which accords with the experimental results well. The optimized nanoparticle TO@BT-2 with a mean thickness of 3-5 nm buffer layer of TO is effective in increasing both the ε and Eb in the PVDF composite film. The maximal discharged energy density of 8.78 J/cm3 with high energy efficiency above 0.6 is obtained in TO@BT-2/PVDF nanocomposite with 2.5 vol% loading close to the breakdown strength of 380 kV/mm. The present study demonstrates the approach to optimize the structure of core-shell nanoparticles by modulating buffer layer and provides a new way to further enlarge energy density in polymer nanocomposite.

  20. High Gain and High Directive of Antenna Arrays Utilizing Dielectric Layer on Bismuth Titanate Ceramics

    Directory of Open Access Journals (Sweden)

    F. H. Wee

    2012-01-01

    Full Text Available A high gain and high directive microstrip patch array antenna formed from dielectric layer stacked on bismuth titanate (BiT ceramics have been investigated, fabricated, and measured. The antennas are designed and constructed with a combination of two-, four-, and six-BiT elements in an array form application on microwave substrate. For gain and directivity enhancement, a layer of dielectric was stacked on the BiT antenna array. We measured the gain and directivity of BiT array antennas with and without the dielectric layer and found that the gain of BiT array antenna with the dielectric layer was enhanced by about 1.4 dBi of directivity and 1.3 dB of gain over the one without the dielectric layer at 2.3 GHz. The impedance bandwidth of the BiT array antenna both with and without the dielectric layer is about 500 MHz and 350 MHz, respectively, which is suitable for the application of the WiMAX 2.3 GHz system. The utilization of BiT ceramics that covers about 90% of antenna led to high radiation efficiency, and small-size antennas were produced. In order to validate the proposed design, theoretical and measured results are provided and discussed.

  1. Electrical and magnetic properties of electrodeposited nickel incorporated diamond-like carbon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, B., E-mail: pandey.beauty@yahoo.com [Department of Applied Physics, Indian School of Mines, Dhanbad 826004 (India); Das, D. [UGC-DAE CSR, Sector III/LB-8, Bidhan Nagar, Kolkata 700098 (India); Kar, A.K. [Department of Applied Physics, Indian School of Mines, Dhanbad 826004 (India)

    2015-05-15

    Highlights: • Electrical and magnetic properties of DLC and Ni-DLC thin films are studied. • The ohmicity and conductivity of DLC films rise with nickel addition. • The ohmicity of Ni-DLC is enhanced with increase in dilution of electrolyte. • Dielectric loss is high for Ni-DLC and decreases with frequency till 100 kHz. • (m–H) and (m–T) curves of Ni-DLC indicate superparamagnetic behavior. - Abstract: Nanocomposite diamond-like carbon (DLC) thin films have been synthesized by incorporating nickel (Ni) nanoparticles in DLC matrix with varying concentration of nickel. DLC and Ni-DLC thin films have been deposited on ITO coated glass substrates employing low voltage electrodeposition method. Electrical properties of the samples were studied by measuring current–voltage characteristics and dielectric properties. The current approaches toward an ohmic behavior with metal addition. This tendency of increasing ohmicity is enhanced with increase in dilution of the electrolyte. The conductivity increases with Ni addition and interestingly it continues to increase with dilution of Ni concentration in the electrolyte in the range of our study. Magnetic properties for DLC and Ni-DLC thin film samples were examined by electron paramagnetic resonance (EPR) measurements and Super Conducting Quantum Interference Device (SQUID) measurements. g-Value for DLC is 2.074, whereas it decreases to 2.055 with Ni addition in the electrolyte. This decrement arises from the increased sp{sup 2} content in DLC matrix. The magnetic moment vs. magnetic field (m–H) curves of Ni-DLC indicate superparamagnetic behavior which may be due to ferromagnetic contribution from the incorporated nickel nanoparticles in the DLC matrix. The ZFC curve of Ni-DLC after the blocking temperature shows a combined contribution of ferromagnetic, superparamagnetic and paramagnetic nature of the materials persisting up to 300 K.

  2. Atmospheric pressure dielectric barrier discharges for sterilization and surface treatment

    Energy Technology Data Exchange (ETDEWEB)

    Chin, O. H.; Lai, C. K.; Choo, C. Y.; Wong, C. S.; Nor, R. M. [Plasma Technology Research Centre, Physics Department, Faculty of Science, University of Malaya, 50603 Kuala Lumpur (Malaysia); Thong, K. L. [Microbiology Division, Institute of Biological Sciences, Faculty of Science, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2015-04-24

    Atmospheric pressure non-thermal dielectric barrier discharges can be generated in different configurations for different applications. For sterilization, a parallel-plate electrode configuration with glass dielectric that discharges in air was used. Gram-negative bacteria (Escherichia coli and Salmonella enteritidis) and Gram-positive bacteria (Bacillus cereus) were successfully inactivated using sinusoidal high voltage of ∼15 kVp-p at 8.5 kHz. In the surface treatment, a hemisphere and disc electrode arrangement that allowed a plasma jet to be extruded under controlled nitrogen gas flow (at 9.2 kHz, 20 kVp-p) was applied to enhance the wettability of PET (Mylar) film.

  3. Raman, dielectric and variable range hopping nature of Gd2O3-doped K0.5N0.5NbO3 piezoelectric ceramics

    Directory of Open Access Journals (Sweden)

    Mahesh Peddigari

    2015-10-01

    Full Text Available (K0.5Na0.5NbO3 (KNN + x wt% Gd2O3 (x = 0 -1.5 ceramics have been prepared by conventional solid state reaction method. The effect of Gd2O3 on the structural, microstructural and dielectric properties of KNN ceramics were studied systematically. The effect of Gd2O3 on phase transformation from orthorhombic to psuedocubic structure is explained interms of changes in the internal vibration modes of NbO6 octahedra. The Raman intensity of the stretching mode v1 enhanced and shifted toward higher wavenumber with Gd2O3 concentration, which is attributed to the increase in polarizability and change in the O-Nb-O bond angles. Microstructural analysis revealed that the grain size of the KNN ceramics decreases from 2.26 ± 1.07 μm to 0.35 ± 0.13 μm and becomes homogenous with an increase in Gd2O3 concentration. The frequency dependent dielectric spectra are analyzed by using Havriliak-Negami function. The fitted symmetry parameter and relaxation time (τ are found to be 0.914 and 8.78 × 10−10 ± 5.5 × 10−11 s, respectively for the sample doped with x = 1.0. The addition of Gd2O3 to the KNN shifted the polymorphic phase transition orthorhombic to tetragonal transition temperature (TO-T from 199oC to 85oC with enhanced dielectric permittivity (ε′ = 1139 at 1 MHz. The sample with x = 1.0, shown a high dielectric permittivity (ε′ = 879 and low dielectric loss (<5% in the broad temperature range (-140oC – 150oC with the Curie temperature 307 oC can have the potential for high temperature piezoelectric and tunable RF circuit applications. The temperature dependent AC-conductivity follows the variable range hopping conduction mechanism by obtaining the slope -0.25 from the ln[ln(ρac] versus ln(T graph in the temperature range of 133 K-308 K. The effect of Gd2O3 on the Mott’s parameters such as density of states (N(EF, hopping length (RH, and hopping energy (WH have been discussed.

  4. High performance top-gated indium–zinc–oxide thin film transistors with in-situ formed HfO{sub 2} gate insulator

    Energy Technology Data Exchange (ETDEWEB)

    Song, Yang, E-mail: yang_song@brown.edu [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); Zaslavsky, A. [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States); Paine, D.C. [School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States)

    2016-09-01

    We report on top-gated indium–zinc–oxide (IZO) thin film transistors (TFTs) with an in-situ formed HfO{sub 2} gate dielectric insulator. Building on our previous demonstration of high-performance IZO TFTs with Al{sub 2}O{sub 3}/HfO{sub 2} gate dielectric, we now report on a one-step process, in which Hf is evaporated onto the 20 nm thick IZO channel, forming a partially oxidized HfO{sub x} layer, without any additional insulator in-between. After annealing in air at 300 °C, the in-situ reaction between partially oxidized Hf and IZO forms a high quality HfO{sub 2} gate insulator with a low interface trapped charge density N{sub TC} ~ 2.3 × 10{sup 11} cm{sup −2} and acceptably low gate leakage < 3 × 10{sup −7} A/cm{sup 2} at gate voltage V{sub G} = 1 V. The annealed TFTs with gate length L{sub G} = 50 μm have high mobility ~ 95 cm{sup 2}/V ∙ s (determined via the Y-function technique), high on/off ratio ~ 10{sup 7}, near-zero threshold voltage V{sub T} = − 0.02 V, and a subthreshold swing of 0.062 V/decade, near the theoretical limit. The on-current of our proof-of-concept TFTs is relatively low, but can be improved by reducing L{sub G}, indicating that high-performance top-gated HfO{sub 2}-isolated IZO TFTs can be fabricated using a single-step in-situ dielectric formation approach. - Highlights: • High-performance indium–zinc–oxide (IZO) thin film transistors (TFTs). • Single-step in-situ dielectric formation approach simplifies fabrication process. • During anneal, reaction between HfO{sub x} and IZO channel forms a high quality HfO{sub 2} layer. • Gate insulator HfO{sub 2} shows low interface trapped charge and small gate leakage. • TFTs have high mobility, near-zero threshold voltage, and a low subthreshold swing.

  5. The electrical and dielectric properties of the Au/Ti/HfO2/n-GaAs structures

    Science.gov (United States)

    Karabulut, Abdulkerim; Türüt, Abdulmecit; Karataş, Şükrü

    2018-04-01

    In this work, temperature dependent electrical and dielectric properties of the Au/Ti/HfO2/n-GaAs structures were investigated using capacitance-voltage (C-V) and conductance-voltage (G-V) measurements in the temperature range of 60-320 K by steps of 20 K at 1 MHz. The dielectric constant (ε‧), dielectric loss (ε″), dielectric loss tangent (tanδ) and ac electrical conductivities (σac) have been calculated as a function of temperature. These values of the ε‧, ε″, tanδ and σac have been found to be 2.272, 5.981, 2.631 and 3.32 × 10-6 (Ω-1cm-1) at 80 K, respectively, 1.779, 2.315, 1.301 and 1.28 × 10-6 (Ω-1cm-1), respectively at 320 K. These decrease of the dielectric parameters (ε‧, ε″, tanδ and σac) have been observed at high temperatures. The experimental results show that electrical and dielectric properties are strongly temperature and bias voltage dependent.

  6. Dielectric response of capacitor structures based on PZT annealed at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Kamenshchikov, Mikhail V., E-mail: Mikhailkamenshchikov@yandex.ru [Tver State University, 170002, Tver (Russian Federation); Solnyshkin, Alexander V. [Tver State University, 170002, Tver (Russian Federation); Pronin, Igor P. [Ioffe Institute, 194021, St. Petersburg (Russian Federation)

    2016-12-09

    Highlights: • Correlation of the microstructure of PZT films and dielectric response was found. • Difference of dielectric responses under low and high bias is caused by domains. • Internal fields is discussed on the basis of the space charges. • Dependences of PZT films characteristics on synthesis temperature are extremal. - Abstract: Dielectric response of thin-film capacitor structures of Pt/PZT/Pt deposited by the RF magnetron sputtering method and annealed at temperatures of 540–570 °C was investigated. It was found that dielectric properties of these structures depend on the synthesis temperature. Stability of a polarized state is considered on the basis of the analysis of hysteresis loops and capacitance–voltage (C–V) characteristics. The contribution of the domain mechanism in the dielectric response of the capacitor structure comprising a ferroelectric is discussed. Extreme dependences of electrophysical characteristics of PZT films on their synthesis temperature were observed. Correlation of dielectric properties with microstructure of these films is found out.

  7. Epitaxial growth and dielectric properties of Bi sub 2 VO sub 5 sub . sub 5 thin films on TiN/Si substrates with SrTiO sub 3 buffer layers

    CERN Document Server

    Lee, H Y; Choi, B C; Jeong, J H; Joseph, M; Tabata, H; Kawai, T

    2000-01-01

    Bi sub 2 VO sub 5 sub . sub 5 (BVO) thin films were epitaxially grown on SrTiO sub 3 /TiN/Si substrates by using pulsed laser ablation. A TiN thin film was prepared at 700 .deg. C as a bottom electrode. The TiN film exhibited a high alpha axis orientation and a very smooth morphology. Before the preparation of the BVO thin film, a crystallized SrTiO sub 3 thin film was deposited as a buffer layer on TiN/Si. The BVO thin film grown at a substrate temperature at 700 .deg. C and an oxygen pressure of 50 mTorr was found to be epitaxial along the c-axis. Also, BVO films were observed to have flat surfaces and the step-flow modes. The dielectric constant of the BVO film on STO/TiN/Si was constant at about 8 approx 4 in the applied frequency range between 10 sup 2 and 10 sup 6 Hz.

  8. Universal diffusion-limited injection and the hook effect in organic thin-film transistors

    Science.gov (United States)

    Liu, Chuan; Huseynova, Gunel; Xu, Yong; Long, Dang Xuan; Park, Won-Tae; Liu, Xuying; Minari, Takeo; Noh, Yong-Young

    2016-01-01

    The general form of interfacial contact resistance was derived for organic thin-film transistors (OTFTs) covering various injection mechanisms. Devices with a broad range of materials for contacts, semiconductors, and dielectrics were investigated and the charge injections in staggered OTFTs was found to universally follow the proposed form in the diffusion-limited case, which is signified by the mobility-dependent injection at the metal-semiconductor interfaces. Hence, real ohmic contact can hardly ever be achieved in OTFTs with low carrier concentrations and mobility, and the injection mechanisms include thermionic emission, diffusion, and surface recombination. The non-ohmic injection in OTFTs is manifested by the generally observed hook shape of the output conductance as a function of the drain field. The combined theoretical and experimental results show that interfacial contact resistance generally decreases with carrier mobility, and the injection current is probably determined by the surface recombination rate, which can be promoted by bulk-doping, contact modifications with charge injection layers and dopant layers, and dielectric engineering with high-k dielectric materials. PMID:27440253

  9. A tunable Fabry-Perot filter (λ/18) based on all-dielectric metamaterials

    Science.gov (United States)

    Ao, Tianhong; Xu, Xiangdong; Gu, Yu; Jiang, Yadong; Li, Xinrong; Lian, Yuxiang; Wang, Fu

    2018-05-01

    A tunable Fabry-Perot filter composed of two separated all-dielectric metamaterials is proposed and numerically investigated. Different from metallic metamaterials reflectors, the all-dielectric metamaterials are constructed by high-permittivity TiO2 cylinder arrays and exhibit high reflection in a broadband of 2.49-3.08 THz. The high reflection is attributed to the first and second Mie resonances, by which the all-dielectric metamaterials can serve as reflectors in the Fabry-Perot filter. Both the results from phase analysis method and CST simulations reveal that the resonant frequency of the as-proposed filter appears at 2.78 THz, responding to a cavity with λ/18 wavelength thickness. Particularly, the resonant frequency can be adjusted by changing the cavity thickness. This work provides a feasible approach to design low-loss terahertz filters with a thin air cavity.

  10. Optical properties of PbS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Akhmedov, O. R., E-mail: orucahmedov@mail.ru; Guseinaliyev, M. G. [National Academy of Azerbaijan, Nakhichevan Branch (Azerbaijan); Abdullaev, N. A.; Abdullaev, N. M.; Babaev, S. S.; Kasumov, N. A. [National Academy of Sciences of Azerbaijan, Abdullaev Institute of Physics (Azerbaijan)

    2016-01-15

    The complex dielectric function of PbS thin films is studied by spectroscopic ellipsometry in the spectral range from 0.74 to 6.45 eV at a temperature of 293 K. The critical energies are determined to be E{sub 1} = 3.53 eV and E{sub 2} = 4.57 eV. For both energy regions, the best fit is attained at the critical point 2D (m = 0). In addition, the Raman spectra and the optical-absorption spectra of PbS thin films are studied. From the dependence of the quantity (αhν){sup 2} on the photon energy hν, the band gap is established at E{sub g} = 0.37 eV.

  11. Silver Nanowire/MnO2 Nanowire Hybrid Polymer Nanocomposites: Materials with High Dielectric Permittivity and Low Dielectric Loss.

    Science.gov (United States)

    Zeraati, Ali Shayesteh; Arjmand, Mohammad; Sundararaj, Uttandaraman

    2017-04-26

    This study reports the fabrication of hybrid nanocomposites based on silver nanowire/manganese dioxide nanowire/poly(methyl methacrylate) (AgNW/MnO 2 NW/PMMA), using a solution casting technique, with outstanding dielectric permittivity and low dielectric loss. AgNW was synthesized using the hard-template technique, and MnO 2 NW was synthesized employing a hydrothermal method. The prepared AgNW:MnO 2 NW (2.0:1.0 vol %) hybrid nanocomposite showed a high dielectric permittivity (64 at 8.2 GHz) and low dielectric loss (0.31 at 8.2 GHz), which are among the best reported values in the literature in the X-band frequency range (8.2-12.4 GHz). The superior dielectric properties of the hybrid nanocomposites were attributed to (i) dimensionality match between the nanofillers, which increased their synergy, (ii) better dispersion state of AgNW in the presence of MnO 2 NW, (iii) positioning of ferroelectric MnO 2 NW in between AgNWs, which increased the dielectric permittivity of nanodielectrics, thereby increasing dielectric permittivity of the hybrid nanocomposites, (iv) barrier role of MnO 2 NW, i.e., cutting off the contact spots of AgNWs and leading to lower dielectric loss, and (v) AgNW aligned structure, which increased the effective surface area of AgNWs, as nanoelectrodes. Comparison of the dielectric properties of the developed hybrid nanocomposites with the literature highlights their great potential for flexible capacitors.

  12. Large dielectric constant ({epsilon}/{epsilon}{sub 0}>6000) Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} thin films for high-performance microwave phase shifters

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, C. M. [Department of Physics, University of Colorado, Boulder, Colorado 80309 (United States); Rivkin, T. V. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Parilla, P. A. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Perkins, J. D. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Ginley, D. S. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Kozyrev, A. B. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation); Oshadchy, V. N. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation); Pavlov, A. S. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation)

    2000-04-03

    We deposited epitaxial Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} (BST) films via laser ablation on MgO and LaAlO{sub 3} (LAO) substrates for tunable microwave devices. Postdeposition anneals ({approx}1100 degree sign C in O{sub 2}) improved the morphology and overall dielectric properties of films on both substrates, but shifted the temperature of maximum dielectric constant (T{sub max}) up for BST/LAO and down for BST/MgO. These substrate-dependent T{sub max} shifts had opposite effects on the room-temperature dielectric properties. Overall, BST films on MgO had the larger maximum dielectric constant ({epsilon}/{epsilon}{sub 0}{>=}6000) and tunability ({delta}{epsilon}/{epsilon}{>=}65%), but these maxima occurred at 227 K. 30 GHz phase shifters made from similar films had figures of merit (ratio of maximum phase shift to insertion loss) of {approx}45 degree sign /dB and phase shifts of {approx}400 degree sign under 500 V ({approx}13 V/{mu}m) bias, illustrating their utility for many frequency-agile microwave devices. (c) 2000 American Institute of Physics.

  13. High Dielectric Constant Study of TiO2-Polypyrrole Composites with Low Contents of Filler Prepared by In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Khalil Ahmed

    2016-01-01

    Full Text Available TiO2/polypyrrole composites with high dielectric constant have been synthesized by in situ polymerization of pyrrole in an aqueous dispersion of low concentration of TiO2, in the presence of small amount of HCl. Structural, optical, surface morphological, and thermal properties of the composites were investigated by X-ray diffractometer, Fourier transform infrared spectroscopy, field-emission scanning electron microscopy, and thermogravimetric analysis, respectively. The data obtained from diffractometer and thermal gravimetric analysis confirmed the crystalline nature and thermal stability of the prepared composites. The dielectric constant of 5 wt% TiO2 increased with filler content up to 4.3 × 103 at 1 kHz and then decreased to 1.25 × 103 at 10 kHz.

  14. Conductivity of ion dielectrics during the mean flux-density electron- and X-ray pulse radiation

    International Nuclear Information System (INIS)

    Vajsburd, D.I.; Mesyats, G.A.; Naminov, V.L.; Tavanov, Eh.G.

    1982-01-01

    Conductivity of ion dielectrics under electron and X-ray pulse radiation is investigated. Investigations have been conducted in the range of average beam densities in which extinction of low-energy conductivity takes place. Thin plates of alkali-halogen crystals have been used as samples. Small-dimensional accelerator with controlled beam parameters: 1-20 ns, 0.1-2000 A/cm 2 , 0.3-0.5 MeV has been used for radiation. Temperature dependence of conductivity current pulse is determined. Time resolution of 10 - 10 s is achieved. In the 70-300 K range it practically coincides with radiation pulse. An essential inertial constituent is observed below 300 K. It is shown that at average beam densities a comparable contribution into fast conductivity is made by intracentre conductivity independent of temperature and high-temperature conductivity which decreases with temperature with activation energy equal to the energy of short-wave background. That is why amplitude of fast constituent decreases with temperature slower than high-energy conductivity

  15. High-resolution well-log derived dielectric properties of gas-hydrate-bearing sediments, Mount Elbert Gas Hydrate Stratigraphic Test Well, Alaska North Slope

    Science.gov (United States)

    Sun, Y.; Goldberg, D.; Collett, T.; Hunter, R.

    2011-01-01

    A dielectric logging tool, electromagnetic propagation tool (EPT), was deployed in 2007 in the BPXA-DOE-USGS Mount Elbert Gas Hydrate Stratigraphic Test Well (Mount Elbert Well), North Slope, Alaska. The measured dielectric properties in the Mount Elbert well, combined with density log measurements, result in a vertical high-resolution (cm-scale) estimate of gas hydrate saturation. Two hydrate-bearing sand reservoirs about 20 m thick were identified using the EPT log and exhibited gas-hydrate saturation estimates ranging from 45% to 85%. In hydrate-bearing zones where variation of hole size and oil-based mud invasion are minimal, EPT-based gas hydrate saturation estimates on average agree well with lower vertical resolution estimates from the nuclear magnetic resonance logs; however, saturation and porosity estimates based on EPT logs are not reliable in intervals with substantial variations in borehole diameter and oil-based invasion.EPT log interpretation reveals many thin-bedded layers at various depths, both above and below the thick continuous hydrate occurrences, which range from 30-cm to about 1-m thick. Such thin layers are not indicated in other well logs, or from the visual observation of core, with the exception of the image log recorded by the oil-base microimager. We also observe that EPT dielectric measurements can be used to accurately detect fine-scale changes in lithology and pore fluid properties of hydrate-bearing sediments where variation of hole size is minimal. EPT measurements may thus provide high-resolution in-situ hydrate saturation estimates for comparison and calibration with laboratory analysis. ?? 2010 Elsevier Ltd.

  16. Hybrid nanomembrane-based capacitors for the determination of the dielectric constant of semiconducting molecular ensembles

    Science.gov (United States)

    Petrini, Paula A.; Silva, Ricardo M. L.; de Oliveira, Rafael F.; Merces, Leandro; Bof Bufon, Carlos C.

    2018-06-01

    Considerable advances in the field of molecular electronics have been achieved over the recent years. One persistent challenge, however, is the exploitation of the electronic properties of molecules fully integrated into devices. Typically, the molecular electronic properties are investigated using sophisticated techniques incompatible with a practical device technology, such as the scanning tunneling microscopy. The incorporation of molecular materials in devices is not a trivial task as the typical dimensions of electrical contacts are much larger than the molecular ones. To tackle this issue, we report on hybrid capacitors using mechanically-compliant nanomembranes to encapsulate ultrathin molecular ensembles for the investigation of molecular dielectric properties. As the prototype material, copper (II) phthalocyanine (CuPc) has been chosen as information on its dielectric constant (k CuPc) at the molecular scale is missing. Here, hybrid nanomembrane-based capacitors containing metallic nanomembranes, insulating Al2O3 layers, and the CuPc molecular ensembles have been fabricated and evaluated. The Al2O3 is used to prevent short circuits through the capacitor plates as the molecular layer is considerably thin (electrical measurements of devices with molecular layers of different thicknesses, the CuPc dielectric constant has been reliably determined (k CuPc = 4.5 ± 0.5). These values suggest a mild contribution of the molecular orientation on the CuPc dielectric properties. The reported nanomembrane-based capacitor is a viable strategy for the dielectric characterization of ultrathin molecular ensembles integrated into a practical, real device technology.

  17. Development of High-Gradient Dielectric Laser-Driven Particle Accelerator Structures

    Energy Technology Data Exchange (ETDEWEB)

    Byer, Robert L. [Stanford Univ., CA (United States). Edward L. Ginzton Lab.

    2013-11-07

    The thrust of Stanford's program is to conduct research on high-gradient dielectric accelerator structures driven with high repetition-rate, tabletop infrared lasers. The close collaboration between Stanford and SLAC (Stanford Linear Accelerator Center) is critical to the success of this project, because it provides a unique environment where prototype dielectric accelerator structures can be rapidly fabricated and tested with a relativistic electron beam.

  18. Dielectric properties of BaMg1/3Nb2/3O3 doped Ba0.45Sr0.55Tio3 thin films for tunable microwave applications

    Science.gov (United States)

    Alema, Fikadu; Pokhodnya, Konstantin

    2015-11-01

    Ba(Mg1/3Nb2/3)O3 (BMN) doped and undoped Ba0.45Sr0.55TiO3 (BST) thin films were deposited via radio frequency magnetron sputtering on Pt/TiO2/SiO2/Al2O3 substrates. The surface morphology and chemical state analyses of the films have shown that the BMN doped BST film has a smoother surface with reduced oxygen vacancy, resulting in an improved insulating properties of the BST film. Dielectric tunability, loss, and leakage current (LC) of the undoped and BMN doped BST thin films were studied. The BMN dopant has remarkably reduced the dielectric loss (˜38%) with no significant effect on the tunability of the BST film, leading to an increase in figure of merit (FOM). This is attributed to the opposing behavior of large Mg2+ whose detrimental effect on tunability is partially compensated by small Nb5+ as the two substitute Ti4+ in the BST. The coupling between MgTi″ and VO•• charged defects suppresses the dielectric loss in the film by cutting electrons from hopping between Ti ions. The LC of the films was investigated in the temperature range of 300-450K. A reduced LC measured for the BMN doped BST film was correlated to the formation of defect dipoles from MgTi″, VO•• and NbTi• charged defects. The carrier transport properties of the films were analyzed in light of Schottky thermionic emission (SE) and Poole-Frenkel (PF) emission mechanisms. The result indicated that while the carrier transport mechanism in the undoped film is interface limited (SE), the conduction in the BMN doped film was dominated by bulk processes (PF). The change of the conduction mechanism from SE to PF as a result of BMN doping is attributed to the presence of uncoupled NbTi• sitting as a positive trap center at the shallow donor level of the BST.

  19. Effect of vacuum annealing and substrate temperature on structural and optical properties of ZnIn2Se4 thin films

    Science.gov (United States)

    El-Nahass, M. M.; Attia, A. A.; Salem, G. F.; Ali, H. A. M.; Ismail, M. I.

    2013-09-01

    Zinc indium selenide (ZnIn2Se4) thin films were prepared by the thermal evaporation technique with high deposition rate. The effect of thermal annealing in vacuum on the crystallinity of the as-deposited films was studied at different temperatures (523, 573 and 623 K). The effect of substrate temperature (623 K) for different thickness values (173, 250, 335 and 346 nm) on the optical parameters of ZnIn2Se4 was also studied. The structural studies showed nanocrystalline nature of the room temperature (300 K) deposited films with crystallite size of about a few nanometers. The crystallite size increased up to 31 nm with increasing the annealing temperature in vacuum. From the reflection and transmission data, the refractive index n and the extinction coefficient k were estimated for ZnIn2Se4 thin films and they were found to be independent of film thickness. Analysis of the absorption coefficient data of the as-deposited films revealed the existence of allowed direct and indirect transitions with optical energy gaps of 2.21 eV and 1.71 eV, respectively. These values decreased with increasing annealing temperature. At substrate temperature of 623 K, the direct band gap increased to 2.41 eV whereas the value of indirect band gap remained nearly unchanged. The dispersion analysis showed that the values of the oscillator energy Eo, dispersion energy Ed, dielectric constant at infinite frequency ε∞, and lattice dielectric constant εL were changed appreciably under the effect of annealing and substrate temperature. The covalent nature of structure was studied as a function of the annealing and substrate temperature using an empirical relation for the dispersion energy Ed. Generalized Miller's rule and linear refractive index were used to estimate the nonlinear susceptibility and nonlinear refractive index of the thin films.

  20. The effect of K and Na excess on the ferroelectric and piezoelectric properties of K0.5Na0.5NbO3 thin films

    Science.gov (United States)

    Ahn, C. W.; Y Lee, S.; Lee, H. J.; Ullah, A.; Bae, J. S.; Jeong, E. D.; Choi, J. S.; Park, B. H.; Kim, I. W.

    2009-11-01

    We have fabricated K0.5Na0.5NbO3 (KNN) thin films on Pt substrates by a chemical solution deposition method and investigated the effect of K and Na excess (0-30 mol%) on ferroelectric and piezoelectric properties of KNN thin film. It was found that with increasing K and Na excess in a precursor solution from 0 to 30 mol%, the leakage current and ferroelectric properties were strongly affected. KNN thin film synthesized by using 20 mol% K and Na excess precursor solution exhibited a low leakage current density and well saturated ferroelectric P-E hysteresis loops. Moreover, the optimized KNN thin film had good fatigue resistance and a piezoelectric constant of 40 pm V-1, which is comparable to that of polycrystalline PZT thin films.

  1. The effect of K and Na excess on the ferroelectric and piezoelectric properties of K0.5Na0.5NbO3 thin films

    International Nuclear Information System (INIS)

    Ahn, C W; Bae, J S; Jeong, E D; Lee, S Y; Lee, H J; Ullah, A; Kim, I W; Choi, J S; Park, B H

    2009-01-01

    We have fabricated K 0.5 Na 0.5 NbO 3 (KNN) thin films on Pt substrates by a chemical solution deposition method and investigated the effect of K and Na excess (0-30 mol%) on ferroelectric and piezoelectric properties of KNN thin film. It was found that with increasing K and Na excess in a precursor solution from 0 to 30 mol%, the leakage current and ferroelectric properties were strongly affected. KNN thin film synthesized by using 20 mol% K and Na excess precursor solution exhibited a low leakage current density and well saturated ferroelectric P-E hysteresis loops. Moreover, the optimized KNN thin film had good fatigue resistance and a piezoelectric constant of 40 pm V -1 , which is comparable to that of polycrystalline PZT thin films.

  2. Dielectric oil-based polymer actuator for improved thickness strain and breakdown voltage

    International Nuclear Information System (INIS)

    Cho, Min Sung; Yamamoto, Akio

    2016-01-01

    Dielectric elastomer actuators (DEAs) have been increasingly investigated as alternative actuators to conventional ones. However, DEAs suffer from high rates of premature failure. Therefore, this study proposes a dielectric oil-based polymer actuator, also called a Dielectric liquid actuator (DLA), to compensate for the drawbacks of DEAs. DLA was experimentally compared with conventional DEAs. Results showed that DLA successfully prevented thermal runaway at defects in the electrode and excessive thinning of the film, resulting in increased breakdown voltage. Consequently, premature failure was inhibited, and the performance was improved. The breakdown voltages of DLA and DEA were 6000 and 2000 V, respectively, and their maximum thickness strains were 49.5% and 37.5%, respectively

  3. Dielectric oil-based polymer actuator for improved thickness strain and breakdown voltage

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Min Sung; Yamamoto, Akio [Dept. of Precision Engineering, School of Engineering, The University of Tokyo, Tokyo (Japan)

    2016-09-15

    Dielectric elastomer actuators (DEAs) have been increasingly investigated as alternative actuators to conventional ones. However, DEAs suffer from high rates of premature failure. Therefore, this study proposes a dielectric oil-based polymer actuator, also called a Dielectric liquid actuator (DLA), to compensate for the drawbacks of DEAs. DLA was experimentally compared with conventional DEAs. Results showed that DLA successfully prevented thermal runaway at defects in the electrode and excessive thinning of the film, resulting in increased breakdown voltage. Consequently, premature failure was inhibited, and the performance was improved. The breakdown voltages of DLA and DEA were 6000 and 2000 V, respectively, and their maximum thickness strains were 49.5% and 37.5%, respectively.

  4. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A; Borderon, C; Tacon, S Le; Averty, D; Gundel, H W

    2008-01-01

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi 3.25 La 0.75 Ti 3 O 12 (BLT 0,75 ), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi 3.25 La 0.75 Ti 3 O 12 . After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO 2 /SiO 2 /Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed

  5. Significant performance enhancement in AlGaN/GaN high electron mobility transistor by high-κ organic dielectric

    International Nuclear Information System (INIS)

    Ze-Gao, Wang; Yuan-Fu, Chen; Cao, Chen; Ben-Lang, Tian; Fu-Tong, Chu; Xing-Zhao, Liu; Yan-Rong, Li

    2010-01-01

    The electrical properties of AlGaN/GaN high electron mobility transistor (HEMT) with and without high-κ organic dielectrics are investigated. The maximum drain current I D max and the maximum transconductance g m max of the organic dielectric/AlGaN/GaN structure can be enhanced by 74.5%, and 73.7% compared with those of the bare AlGaN/GaN HEMT, respectively. Both the threshold voltage V T and g m max of the dielectric/AlGaN/GaN HEMT are strongly dielectric-constant-dependent. Our results suggest that it is promising to significantly improve the performance of the AlGaN/GaN HEMT by introducing the high-κ organic dielectric. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  6. High-pressure cell for simultaneous dielectric and neutron spectroscopy

    DEFF Research Database (Denmark)

    Sanz, Alejandro; Hansen, Henriette Wase; Jakobsen, Bo

    2018-01-01

    In this article, we report on the design, manufacture, and testing of a high-pressure cell for simultaneous dielectric and neutron spectroscopy. This cell is a unique tool for studying dynamics on different time scales, from kilo- to picoseconds, covering universal features such as the α relaxation......, a cylindrical capacitor is positioned within the bore of the high-pressure container. The capacitor consists of two concentric electrodes separated by insulating spacers. The performance of this setup has been successfully verified by collecting simultaneous dielectric and neutron spectroscopy data...

  7. Existence conditions for bulk large-wavevector waves in metal-dielectric and graphene-dielectric multilayer hyperbolic metamaterials

    DEFF Research Database (Denmark)

    Zhukovsky, Sergei; Andryieuski, Andrei; Lavrinenko, Andrei

    2014-01-01

    We theoretically investigate general existence conditions for broadband bulk large-wavevector (high-k) propagating waves (such as volume plasmon polaritons in hyperbolic metamaterials) in arbitrary subwavelength periodic multilayers structures. Treating the elementary excitation in the unit cell...... of the structure as a generalized resonance pole of reflection coefficient and using Bloch's theorem, we derive analytical expressions for the band of large-wavevector propagating solutions. We apply our formalism to determine the high-k band existence in two important cases: the well-known metal-dielectric...

  8. Novel dielectric properties of glasses prepared by quenching melts of Bi-Ca-Sr-Cu-O cuprates

    Energy Technology Data Exchange (ETDEWEB)

    Varma, K.B.R.; Subbanna, G.N.; Ramakrishnan, T.V. (Materials Research Centre, Indian Inst. of Science, Bangalore (India) Dept. of Physics, Indian Inst. of Science, Bangalore (India)); Rao, C.N.R. (Solid State and Structural Chemistry Unit, Indian Inst. of Science, Bangalore (India))

    1989-12-01

    Glasses, prepared from the melts of Bi{sub 2}(Ca,Sr){sub n+1}Cu{sub n}O{sub 2n+4} (n=1, 2 and 3) have been characterized by various techniques. These glasses exhibit relatively high dielectric constants, high electrical conductivity, a ferroelectric-like dielectric hysteresis loop and pyroelectric effect at 300K. They also show weak microwave absorption at 77K. (orig.).

  9. Dielectric Elastomers for Fluidic and Biomedical Applications

    Science.gov (United States)

    McCoul, David James

    Dielectric elastomers have demonstrated tremendous potential as high-strain electromechanical transducers for a myriad of novel applications across all engineering disciplines. Because their soft, viscoelastic mechanical properties are similar to those of living tissues, dielectric elastomers have garnered a strong foothold in a plethora of biomedical and biomimetic applications. Dielectric elastomers consist of a sheet of stretched rubber, or elastomer, coated on both sides with compliant electrode materials; application of a voltage generates an electrostatic pressure that deforms the elastomer. They can function as soft generators, sensors, or actuators, and this last function is the focus of this dissertation. Many design configurations are possible, such as stacks, minimum energy structures, interpenetrating polymer networks, shape memory dielectric elastomers, and others; dielectric elastomers are already being applied to many fields of biomedicine. The first part of the original research presented in this dissertation details a PDMS microfluidic system paired with a dielectric elastomer stack actuator of anisotropically prestrained VHB(TM) 4910 (3M(TM)) and single-walled carbon nanotubes. These electroactive microfluidic devices demonstrated active increases in microchannel width when 3 and 4 kV were applied. Fluorescence microscopy also indicated an accompanying increase in channel depth with actuation. The cross-sectional area strains at 3 and 4 kV were approximately 2.9% and 7.4%, respectively. The device was then interfaced with a syringe pump, and the pressure was measured upstream. Linear pressure-flow plots were developed, which showed decreasing fluidic resistance with actuation, from 0.192 psi/(microL/min) at 0 kV, to 0.160 and 0.157 psi/(microL/min) at 3 and 4 kV, respectively. This corresponds to an ~18% drop in fluidic resistance at 4 kV. Active de-clogging was tested in situ with the device by introducing ~50 microm diameter PDMS microbeads and

  10. Dielectric properties of Ga{sub 2}O{sub 3}-doped barium iron niobate ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Sanjoom, Kachaporn [Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai, 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Sri Ayutthaya Road, Bangkok, 10400 (Thailand); Pengpat, Kamonpan; Eitssayeam, Sukum; Tunkasiri, Tawee [Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai, 50200 (Thailand); Materials Science Research Center, Faculty of Science, Chiang Mai University, Chiang Mai, 50200 (Thailand); Rujijanagul, Gobwute [Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai, 50200 (Thailand); Materials Science Research Center, Faculty of Science, Chiang Mai University, Chiang Mai, 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Sri Ayutthaya Road, Bangkok, 10400 (Thailand)

    2014-08-15

    Ga-doped BaFe{sub 0.5}Nb{sub 0.5}O{sub 3} (Ba(Fe{sub 1-x}Ga{sub x}){sub 0.5}Nb{sub 0.5}O{sub 3}) ceramics were fabricated and their properties were investigated. All ceramics showed perovskite structure with cubic symmetry and the solubility of Ga in BFN ceramics had a limit at x = 0.2. Examination of the dielectric spectra indicated that all ceramic samples presented high dielectric constants that were frequency dependent. The x = 0.2 ceramic showed a very high dielectric constant (ε{sub r} > 240 000 at 1 kHz) while the x = 0.4 sample exhibited high thermal stability of dielectric constant with low loss tangent from room temperature (RT) to 100 C with ε{sub r} > 28 000 (at 1 kHz) when compared to other samples. By using a complex impedance analysis technique, bulk grain, grain boundary, and electrode response were found to affect the dielectric behavior that could be related to the Maxwell-Wagner polarization mechanism. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Dielectric Constant Measurements of Solid 4He

    Science.gov (United States)

    Yin, L.; Xia, J. S.; Huan, C.; Sullivan, N. S.; Chan, M. H. W.

    2011-03-01

    Careful measurements of the dielectric properties of solid 4He have been carried out down to 35 mK, considerably lower than the temperature range of previous studies. The sample was prepared from high purity gas with 3He concentrations of the order of 200 ppb and were formed by the blocked capillary method. The molar volume of the sample was 20.30 cm3. The dielectric constant of the samples was found to be independent of temperature down to 120 mK before showing a continuous increase with decreasing temperature and saturating below 50 mK. The total increase in ɛ is 2 parts in 10-5. The temperature dependence of ɛ mimics the increase in the resonant frequency found in the torsional oscillator studies and also the increase found in the shear modulus measurements.

  12. Dielectric polarization in random media

    International Nuclear Information System (INIS)

    Ramshaw, J.D.

    1984-01-01

    The theory of dielectric polarization in random media is systematically formulated in terms of response kernels. The primary response kernel K(12) governs the mean dielectric response at the point r 1 to the external electric field at the point r 2 in an infinite system. The inverse of K(12) is denoted by L(12);. it is simpler and more fundamental than K(12) itself. Rigorous expressions are obtained for the effective dielectric constant epsilon( in terms of L(12) and K(12). The latter expression involves the Onsger-Kirkwood function (epsilon(-epsilon 0 (2epsilon(+epsilon 0 )/epsilon 0 epsilon( (where epsilon 0 is an arbitrary reference value), and appears to be new to the random medium context. A wide variety of series representations for epsilon( are generated by means of general perturbation expansions for K(12) and L(12). A discussion is given of certain pitfalls in the theory, most of which are related to the fact that the response kernels are long ranged. It is shown how the dielectric behavior of nonpolar molecular fluids may be treated as a special case of the general theory. The present results for epsilon( apply equally well to other effective phenomenological coefficients of the same generic type, such as thermal and electrical conductivity, magnetic susceptibility, and diffusion coefficients

  13. Electrical and optical characteristics of dielectric-barrier discharge driven by high voltage nanosecond generator

    International Nuclear Information System (INIS)

    Ahmadeev, V.V.; Kost'yuchenko, S.V.; Kudryavtsev, N.N.; Kurkin, G.A.; Vasilyak, L.M.

    1998-01-01

    Electrical and optical characteristics of the dielectric-barrier discharge in the pressure range of 10-400 Torr were investigated experimentally, particular attention being paid to the discharge homogeneity and to the energy dissipation in the discharge volume. The discharge was driven by a high-voltage pulse generator producing nanosecond high-voltage pulses with an amplitude of 20-30 kV. Air, nitrogen, and helium were used as working gases. The discharge was found to be homogeneous within a wide range of gas pressure. A power density of up to 250 mW/cm 3 has been achieved. (J.U.)

  14. Effects of vacuum-ultraviolet irradiation on copper penetration into low-k dielectrics under bias-temperature stress

    Energy Technology Data Exchange (ETDEWEB)

    Guo, X.; Zheng, H.; Xue, P.; Shohet, J. L. [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); King, S. W. [Logic Technology Development, Intel Corporation, Hillsboro, Oregon 97124 (United States); Nishi, Y. [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-01-05

    The effects of vacuum-ultraviolet (VUV) irradiation on copper penetration into non-porous low-k dielectrics under bias-temperature stress (BTS) were investigated. By employing x-ray photoelectron spectroscopy depth-profile measurements on both as-deposited and VUV-irradiated SiCOH/Cu stacks, it was found that under the same BTS conditions, the diffusion depth of Cu into the VUV-irradiated SiCOH is higher than that of as-deposited SiCOH. On the other hand, under the same temperature-annealing stress (TS) without electric bias, the Cu distribution profiles in the VUV-irradiated SiCOH were same with that for the as-deposited SiCOH. The experiments suggest that in as-deposited SiCOH, the diffused Cu exists primarily in the atomic state, while in VUV-irradiated SiCOH, the diffused Cu is oxidized by the hydroxyl ions (OH{sup −}) generated from VUV irradiation and exists in the ionic state. The mechanisms for metal diffusion and ion injection in VUV irradiated low-k dielectrics are discussed.

  15. Low-temperature preparation of rutile-type TiO2 thin films for optical coatings by aluminum doping

    Science.gov (United States)

    Ishii, Akihiro; Kobayashi, Kosei; Oikawa, Itaru; Kamegawa, Atsunori; Imura, Masaaki; Kanai, Toshimasa; Takamura, Hitoshi

    2017-08-01

    A rutile-type TiO2 thin film with a high refractive index (n), a low extinction coefficient (k) and small surface roughness (Ra) is required for use in a variety of optical coatings to improve the controllability of the reflection spectrum. In this study, Al-doped TiO2 thin films were prepared by pulsed laser deposition, and the effects of Al doping on their phases, optical properties, surface roughness and nanoscale microstructure, including Al distribution, were investigated. By doping 5 and 10 mol%Al, rutile-type TiO2 was successfully prepared under a PO2 of 0.5 Pa at 350-600 °C. The nanoscale phase separation in the Al-doped TiO2 thin films plays an important role in the formation of the rutile phase. The 10 mol%Al-doped rutile-type TiO2 thin film deposited at 350 °C showed excellent optical properties of n ≈ 3.05, k ≈ 0.01 (at λ = 400 nm) and negligible surface roughness, at Ra ≈ 0.8 nm. The advantages of the superior optical properties and small surface roughness of the 10 mol%Al-doped TiO2 thin film were confirmed by fabricating a ten-layered dielectric mirror.

  16. Structural, dielectric and ferroelectric properties of (Bi,Na)TiO{sub 3}–BaTiO{sub 3} system studied by high throughput screening

    Energy Technology Data Exchange (ETDEWEB)

    Hayden, Brian E. [Ilika Technologies Plc., Kenneth Dibben House, Enterprise Road, University of Southampton Science Park, Chilworth, Southampton SO16 7NS (United Kingdom); Department of Chemistry, University of Southampton, Highfield, Southampton SO17 1BJ (United Kingdom); Yakovlev, Sergey, E-mail: sergey.yakovlev@ilika.com [Ilika Technologies Plc., Kenneth Dibben House, Enterprise Road, University of Southampton Science Park, Chilworth, Southampton SO16 7NS (United Kingdom)

    2016-03-31

    Thin-film materials libraries of the Bi{sub 2}O{sub 3}–Na{sub 2}O–TiO{sub 2}–BaO system in a broad composition range have been deposited in ultra-high vacuum from elemental evaporation sources and an oxygen plasma source. A high throughput approach was used for systematic compositional and structural characterization and the screening of the dielectric and ferroelectric properties. The perovskite (Bi,Na)TiO{sub 3}–BaTiO{sub 3} phase with a Ba concentration near the morphotropic phase boundary (ca. 6 at.%) exhibited a relative dielectric permittivity of 180, a loss tangent of 0.04 and remnant polarization of 19 μC/cm{sup 2}. Compared to published data, observed remnant polarization is close to that known for epitaxially grown films but higher than the values reported for polycrystalline films. The high throughput methodology and systematic nature of the study allowed us to establish the composition boundaries of the phase with optimal dielectric and ferroelectric characteristics. - Highlights: • Bi{sub 2}O{sub 3}–Na{sub 2}O–TiO{sub 2}–BaO high throughput materials library was deposited using PVD method. • Materials were processed from individual molecular beam epitaxy sources of elements. • High throughput approach was used for structural, dielectric and ferroelectric study. • Composition boundaries of perovskite compounds with optimum properties are reported.

  17. Coaxial two-channel high-gradient dielectric wakefield accelerator

    Directory of Open Access Journals (Sweden)

    G. V. Sotnikov

    2009-06-01

    Full Text Available A new scheme for a dielectric wakefield accelerator is proposed that employs a cylindrical multizone dielectric structure configured as two concentric dielectric tubes with outer and inner vacuum channels for drive and accelerated bunches. Analytical and numerical studies have been carried out for such coaxial dielectric-loaded structures (CDS for high-gradient acceleration. An analytical theory of wakefield excitation by particle bunches in a multizone CDS has been formulated. Numerical calculations are presented for an example of a CDS using dielectric tubes with dielectric permittivity 5.7, having external diameters of 2.121 and 0.179 mm with inner diameters of 2.095 and 0.1 mm. An annular 5 GeV, 6 nC electron bunch with rms length of 0.035 mm energizes a wakefield on the structure axis having an accelerating gradient of ∼600  MeV/m with a transformer ratio ∼8∶1. The period of the accelerating field is ∼0.33  mm. If the width of the drive bunch channel is decreased, it is possible to obtain an accelerating gradient of >1  GeV/m while keeping the transformer ratio approximately the same. Full numerical simulations using a particle-in-cell code have confirmed results of the linear theory and furthermore have shown the important influence of the quenching wave that restricts the region of the wakefield to within several periods following the drive bunch. Numerical simulations for another example have shown nearly stable transport of drive and accelerated bunches through the CDS, using a short train of drive bunches.

  18. Possible Lead Free Nanocomposite Dielectrics for High Energy Storage Applications

    Directory of Open Access Journals (Sweden)

    Srinivas Kurpati

    2017-03-01

    Full Text Available There is an increasing demand to improve the energy density of dielectric capacitors for satisfying the next generation material systems. One effective approach is to embed high dielectric constant inclusions such as lead zirconia titanate in polymer matrix. However, with the increasing concerns on environmental safety and biocompatibility, the need to expel lead (Pb from modern electronics has been receiving more attention. Using high aspect ratio dielectric inclusions such as nanowires could lead to further enhancement of energy density. Therefore, the present brief review work focuses on the feasibility of development of a lead-free nanowire reinforced polymer matrix capacitor for energy storage application. It is expected that Lead-free sodium Niobate nanowires (NaNbO3 and Boron nitride will be a future candidate to be synthesized using simple hydrothermal method, followed by mixing them with polyvinylidene fluoride (PVDF/ divinyl tetramethyl disiloxanebis (benzocyclobutene matrix using a solution-casting method for Nanocomposites fabrication. The energy density of NaNbO3 and BN based composites are also be compared with that of lead-containing (PbTiO3/PVDF Nano composites to show the feasibility of replacing lead-containing materials from high-energy density dielectric capacitors. Further, this paper explores the feasibility of these materials for space applications because of high energy storage capacity, more flexibility and high operating temperatures. This paper is very much useful researchers who would like to work on polymer nanocomposites for high energy storage applications.

  19. Growth of large-size-two-dimensional crystalline pentacene grains for high performance organic thin film transistors

    Directory of Open Access Journals (Sweden)

    Chuan Du

    2012-06-01

    Full Text Available New approach is presented for growth of pentacene crystalline thin film with large grain size. Modification of dielectric surfaces using a monolayer of small molecule results in the formation of pentacene thin films with well ordered large crystalline domain structures. This suggests that pentacene molecules may have significantly large diffusion constant on the modified surface. An average hole mobility about 1.52 cm2/Vs of pentacene based organic thin film transistors (OTFTs is achieved with good reproducibility.

  20. Production and investigation of galvanomagnetic properties of indium antimonide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sukhanov, S; Allaberenov, O; Annaberdyev, R; Mukhametniyazova, A B; Tudzhanova, I N [AN Tadzhikskoj SSR, Khorog. Pamirskij Biologicheskij Inst.

    1978-01-01

    The method of thermal evaporation in vacuum from 1 to 3x10/sup 5/ Tor was used to obtain thin films of indium antimonide on dielectric and ferrite substrates. At dusting of films the substrates had the temperature about 570 K. The rate of film growth reached 1000 AxC/sup -1/. Based on the Hall measurements, the specific electrical conductivity, mobility and concentration of spare film carriers were determined. Their dependences on the temperature (77-400 K) and on the magnetic fields in the range of 0-6500 e were studied.

  1. Enhanced dielectric and ferroelectric characteristics in Ca-modified BaTiO3 ceramics

    Directory of Open Access Journals (Sweden)

    Xiao Na Zhu

    2013-08-01

    Full Text Available Synergic modification of BaTiO3 ceramics was investigated by Ca-substitution, and the superior dielectric and ferroelectric properties were determined together with the structure evolution. X-ray diffraction (XRD analysis demonstrated a large solubility limit above x = 0.25 in Ba1−xCaxTiO3 solid solution where the fine grain structure was observed with increasing x. Room temperature dielectric constant as high as 1655 was achieved in the present ceramics together with the significantly reduced dielectric loss of 0.013 (x = 0.20 at 100 kHz, where the Curie temperature kept almost a constant while other two transition temperatures decreased continuously with increasing x. More importantly, the remanent polarization Pr and dielectric strength Eb were significantly enhanced by Ca-substitution, and the best Pr (11.34 μC/cm2 and the highest dielectric strength Eb (75 kV/cm were acquired at x = 0.25. The present ceramics should be very desirable for the applications such as high density energy storage devices.

  2. Solution-Processed Dielectrics Based on Thickness-Sorted Two-Dimensional Hexagonal Boron Nitride Nanosheets

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Jian; Kang, Joohoon; Kang, Junmo; Jariwala, Deep; Wood, Joshua D.; Seo, Jung-Woo T.; Chen, Kan-Sheng; Marks, Tobin J.; Hersam, Mark C.

    2015-10-14

    Gate dielectrics directly affect the mobility, hysteresis, power consumption, and other critical device metrics in high-performance nanoelectronics. With atomically flat and dangling bond-free surfaces, hexagonal boron nitride (h-BN) has emerged as an ideal dielectric for graphene and related two-dimensional semiconductors. While high-quality, atomically thin h-BN has been realized via micromechanical cleavage and chemical vapor deposition, existing liquid exfoliation methods lack sufficient control over h-BN thickness and large-area film quality, thus limiting its use in solution-processed electronics. Here, we employ isopycnic density gradient ultracentrifugation for the preparation of monodisperse, thickness-sorted h-BN inks, which are subsequently layer-by-layer assembled into ultrathin dielectrics with low leakage currents of 3 × 10–9 A/cm2 at 2 MV/cm and high capacitances of 245 nF/cm2. The resulting solution-processed h-BN dielectric films enable the fabrication of graphene field-effect transistors with negligible hysteresis and high mobilities up to 7100 cm2 V–1 s–1 at room temperature. These h-BN inks can also be used as coatings on conventional dielectrics to minimize the effects of underlying traps, resulting in improvements in overall device performance. Overall, this approach for producing and assembling h-BN dielectric inks holds significant promise for translating the superlative performance of two-dimensional heterostructure devices to large-area, solution-processed nanoelectronics.

  3. Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Lim, Taekyung; Kim, Dongchool; Ju, Sanghyun

    2013-01-01

    Deposition of high-quality dielectric on a graphene channel is an essential technology to overcome structural constraints for the development of nano-electronic devices. In this study, we investigated a method for directly depositing aluminum oxide (Al 2 O 3 ) on a graphene channel through nitrogen plasma treatment. The deposited Al 2 O 3 thin film on graphene demonstrated excellent dielectric properties with negligible charge trapping and de-trapping in the gate insulator. A top-gate-structural graphene transistor was fabricated using Al 2 O 3 as the gate dielectric with nitrogen plasma treatment on graphene channel region, and exhibited p-type transistor characteristics

  4. Oblique surface waves at an interface between a metal-dielectric superlattice and an isotropic dielectric

    International Nuclear Information System (INIS)

    Vuković, Slobodan M; Miret, Juan J; Zapata-Rodriguez, Carlos J; Jakšić, Zoran

    2012-01-01

    We investigate the existence and dispersion characteristics of surface waves that propagate at an interface between a metal-dielectric superlattice and an isotropic dielectric. Within the long-wavelength limit, when the effective-medium (EM) approximation is valid, the superlattice behaves like a uniaxial plasmonic crystal with the main optical axes perpendicular to the metal-dielectric interfaces. We demonstrate that if such a semi-infinite plasmonic crystal is cut normally to the layer interfaces and brought into contact with a semi-infinite dielectric, a new type of surface mode can appear. Such modes can propagate obliquely to the optical axes if favorable conditions regarding the thickness of the layers and the dielectric permittivities of the constituent materials are met. We show that losses within the metallic layers can be substantially reduced by making the layers sufficiently thin. At the same time, a dramatic enlargement of the range of angles for oblique propagation of the new surface modes is observed. This can lead, however, to field non-locality and consequently to failure of the EM approximation.

  5. Analytical Modeling of Triple-Metal Hetero-Dielectric DG SON TFET

    Science.gov (United States)

    Mahajan, Aman; Dash, Dinesh Kumar; Banerjee, Pritha; Sarkar, Subir Kumar

    2018-02-01

    In this paper, a 2-D analytical model of triple-metal hetero-dielectric DG TFET is presented by combining the concepts of triple material gate engineering and hetero-dielectric engineering. Three metals with different work functions are used as both front- and back gate electrodes to modulate the barrier at source/channel and channel/drain interface. In addition to this, front gate dielectric consists of high-K HfO2 at source end and low-K SiO2 at drain side, whereas back gate dielectric is replaced by air to further improve the ON current of the device. Surface potential and electric field of the proposed device are formulated solving 2-D Poisson's equation and Young's approximation. Based on this electric field expression, tunneling current is obtained by using Kane's model. Several device parameters are varied to examine the behavior of the proposed device. The analytical model is validated with TCAD simulation results for proving the accuracy of our proposed model.

  6. Frequency dispersion analysis of thin dielectric MOS capacitor in a five-element model

    Science.gov (United States)

    Zhang, Xizhen; Zhang, Sujuan; Zhu, Huichao; Pan, Xiuyu; Cheng, Chuanhui; Yu, Tao; Li, Xiangping; Cheng, Yi; Xing, Guichao; Zhang, Daming; Luo, Xixian; Chen, Baojiu

    2018-02-01

    An Al/ZrO2/IL/n-Si (IL: interface layer) MOS capacitor has been fabricated by metal organic decomposition of ZrO2 and thermal deposition Al. We have measured parallel capacitance (C m) and parallel resistance (R m) versus bias voltage curves (C m, R m-V) at different AC signal frequency (f), and C m, R m-f curves at different bias voltage. The curves of C m, R m-f measurements show obvious frequency dispersion in the range of 100 kHz-2 MHz. The energy band profile shows that a large voltage is applied on the ZrO2 layer and IL at accumulation, which suggests possible dielectric polarization processes by some traps in ZrO2 and IL. C m, R m-f data are used for frequency dispersion analysis. To exclude external frequency dispersion, we have extracted the parameters of C (real MOS capacitance), R p (parallel resistance), C IL (IL capacitance), R IL (IL resistance) and R s (Si resistance) in a five-element model by using a three-frequency method. We have analyzed intrinsic frequency dispersion of C, R p, C IL, R IL and R s by studying the dielectric characteristics and Si surface layer characteristics. At accumulation, the dispersion of C and R p is attributed to dielectric polarization such as dipolar orientation and oxide traps. The serious dispersion of C IL and R IL are relative to other dielectric polarization, such as border traps and fixed oxide traps. The dispersion of R s is mainly attributed to contact capacitance (C c) and contact resistance (R c). At depletion and inversion, the frequency dispersion of C, R p, C IL, R IL, and R s are mainly attributed to the depletion layer capacitance (C D). The interface trap capacitance (C it) and interface trap resistance (R it) are not dominant for the dispersion of C, R p, C IL, R IL, and R s.

  7. Dielectric characterization of high-performance spaceflight materials

    Science.gov (United States)

    Kleppe, Nathan; Nurge, Mark A.; Bowler, Nicola

    2015-03-01

    As commercial space travel increases, the need for reliable structural health monitoring to predict possible weaknesses or failures of structural materials also increases. Monitoring of these materials can be done through the use of dielectric spectroscopy by comparing permittivity or conductivity measurements performed on a sample in use to that of a pristine sample from 100 μHz to 3 GHz. Fluctuations in these measured values or of the relaxation frequencies, if present, can indicate chemical or physical changes occurring within the material and the possible need for maintenance/replacement. In this work, we establish indicative trends that occur due to changes in dielectric spectra during accelerated aging of various high-performance polymeric materials: ethylene vinyl alcohol (EVOH), Poly (ether ether ketone) (PEEK), polyphenylene sulfide (PPS), and ultra-high molecular weight polyethylene (UHMWPE). Uses for these materials range from electrical insulation and protective coatings to windows and air- or space-craft parts that may be subject to environmental damage over long-term operation. Samples were prepared by thermal exposure and, separately, by ultraviolet/water-spray cyclic aging. The aged samples showed statistically-significant trends of either increasing or decreasing real or imaginary permittivity values, relaxation frequencies, conduction or the appearance of new relaxation modes. These results suggest that dielectric testing offers the possibility of nondestructive evaluation of the extent of age-related degradation in these materials.

  8. High-κ gate dielectrics: Current status and materials properties considerations

    Science.gov (United States)

    Wilk, G. D.; Wallace, R. M.; Anthony, J. M.

    2001-05-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  9. Nonlinear optical parameters of nanocrystalline AZO thin film measured at different substrate temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Jilani, Asim, E-mail: asim.jilane@gmail.com [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Abdel-wahab, M.Sh [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Materials Science and Nanotechnology Department, Faculty of Postgraduate Studies for Advanced Sciences, Beni -Suef University, Beni-Suef (Egypt); Al-ghamdi, Attieh A. [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Dahlan, Ammar sadik [Department of architecture, faculty of environmental design, King Abdulaziz University, Jeddah (Saudi Arabia); Yahia, I.S. [Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Nano-Science & Semiconductor Labs, Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt)

    2016-01-15

    The 2.2 wt% of aluminum (Al)-doped zinc oxide (AZO) transparent and preferential c-axis oriented thin films were prepared by using radio frequency (DC/RF) magnetron sputtering at different substrate temperature ranging from room temperature to 200 °C. For structural analysis, X-ray Diffraction (XRD) and Atomic Force Electron Microscope (AFM) was used for morphological studies. The optical parameters such as, optical energy gap, refractive index, extinction coefficient, dielectric loss, tangent loss, first and third order nonlinear optical properties of transparent films were investigated. High transmittance above 90% and highly homogeneous surface were observed in all samples. The substrate temperature plays an important role to get the best transparent conductive oxide thin films. The substrate temperature at 150 °C showed the growth of highly transparent AZO thin film. Energy gap increased with the increased in substrate temperature of Al doped thin films. Dielectric constant and loss were found to be photon energy dependent with substrate temperature. The change in substrate temperature of Al doped thin films also affect the non-liner optical properties of thin films. The value of χ{sup (3)} was found to be changed with the grain size of the thin films that directly affected by the substrate temperature of the pure and Al doped ZnO thin films.

  10. Hybrid nanomembrane-based capacitors for the determination of the dielectric constant of semiconducting molecular ensembles.

    Science.gov (United States)

    Petrini, Paula Andreia; Lopes da Silva, Ricardo Magno; de Oliveira, Rafael Furlan; Merces, Leandro; Bufon, Carlos César Bof

    2018-04-06

    Considerable advances in the field of molecular electronics have been achieved over the recent years. One persistent challenge, however, is the exploitation of the electronic properties of molecules fully integrated into devices. Typically, the molecular electronic properties are investigated using sophisticated techniques incompatible with a practical device technology, such as the scanning tunneling microscope (STM). The incorporation of molecular materials in devices is not a trivial task since the typical dimensions of electrical contacts are much larger than the molecular ones. To tackle this issue, we report on hybrid capacitors using mechanically-compliant nanomembranes to encapsulate ultrathin molecular ensembles for the investigation of molecular dielectric properties. As the prototype material, copper (II) phthalocyanine (CuPc) has been chosen as information on its dielectric constant (kCuPc) at the molecular scale is missing. Here, hybrid nanomembrane-based capacitors containing metallic nanomembranes, insulating Al2O3 layers, and the CuPc molecular ensemble have been fabricated and evaluated. The Al2O3 is used to prevent short circuits through the capacitor plates as the molecular layer is considerably thin (< 30 nm). From the electrical measurements of devices with molecular layers of different thicknesses, the CuPc dielectric constant has been reliably determined (kCuPc = 4.5 ± 0.5). These values suggest a mild contribution of molecular orientation in the CuPc dielectric properties. The reported nanomembrane-based capacitor is a viable strategy for the dielectric characterization of ultrathin molecular ensembles integrated into a practical, real device technology. © 2018 IOP Publishing Ltd.

  11. Estimation of optical constants of a bio-thin layer (onion epidermis), using SPR spectroscopy

    International Nuclear Information System (INIS)

    Rehman, Saif-ur-; Hayashi, Shinji; Sekkat, Zouheir; Mumtaz, Huma; Shaukat, S F

    2014-01-01

    We estimate the optical constants of a biological thin layer (Allium cepa) by surface plasmon resonance (SPR) spectroscopy. For this study, the fresh inner thin epidermis of an onion bulb was used and stacked directly on gold (Au) and silver (Ag) film surfaces in order to identify the shift in SPR mode of each metal film at an operating wavelength of 632.8 nm. The thickness and dielectric constants of the biological thin layer were determined by matching the experimental SPR curves to theoretical ones. The thickness and roughness of bare Au and Ag thin films were also measured by atomic force microscopy (AFM); the results of which are in good agreement with those obtained through experiment. Due to the high surface roughness of the natural onion epidermis layer, AFM could not measure the exact thickness of an onion epidermis. It is estimated that the value of the real part of the dielectric constant of an onion epidermis is between the dielectric constants of water and air. (paper)

  12. Synthesis of titanium oxide nanoparticles using DNA-complex as template for solution-processable hybrid dielectric composites

    Energy Technology Data Exchange (ETDEWEB)

    Ramos, J.C. [Center for Sustainable Materials Chemistry, 153 Gilbert Hall, Oregon State University, Corvallis, OR (United States); Mejia, I.; Murphy, J.; Quevedo, M. [Department of Materials Science and Engineering, University of Texas at Dallas, Dallas, TX (United States); Garcia, P.; Martinez, C.A. [Engineering and Technology Institute, Autonomous University of Ciudad Juarez, Ciudad Juarez, Chihuahua (Mexico)

    2015-09-15

    Highlights: • We developed a synthesis method to produce TiO{sub 2} nanoparticles using a DNA complex. • The nanoparticles were anatase phase (~6 nm diameter), and stable in alcohols. • Composites showed a k of 13.4, 4.6 times larger than the k of polycarbonate. • Maximum processing temperature was 90 °C. • Low temperature enables their use in low-voltage, low-cost, flexible electronics. - Abstract: We report the synthesis of TiO{sub 2} nanoparticles prepared by the hydrolysis of titanium isopropoxide (TTIP) in the presence of a DNA complex for solution processable dielectric composites. The nanoparticles were incorporated as fillers in polycarbonate at low concentrations (1.5, 5 and 7 wt%) to produce hybrid dielectric films with dielectric constant higher than thermally grown silicon oxide. It was found that the DNA complex plays an important role as capping agent in the formation and suspension stability of nanocrystalline anatase phase TiO{sub 2} at room temperature with uniform size (∼6 nm) and narrow distribution. The effective dielectric constant of spin-cast polycarbonate thin-films increased from 2.84 to 13.43 with the incorporation of TiO{sub 2} nanoparticles into the polymer host. These composites can be solution processed with a maximum temperature of 90 °C and could be potential candidates for its application in low-cost macro-electronics.

  13. Dielectric properties of C sub 6 sub 0 under high pressure

    CERN Document Server

    Sundqvist, B

    2002-01-01

    The dielectric properties of C sub 6 sub 0 have been measured as functions of temperature and hydrostatic pressure in the ranges 80-370 K and 0-0.8 GPa. The results show sharp anomalies at the rotational transition above 260 K and large relaxation peaks associated with the rotational 'glass transition'. From the measured frequencies of the loss peaks we calculate the energy barrier for molecular jumping between the 'pentagon' and 'hexagon' molecular orientations. The energy barrier increases by 13% GPa sup - sup 1.

  14. Newtech - Comparison of three 1 kW thin-film solar cell installations; Newtech. Vergleich 3 x 1 kWp Duennschichtzellenanlagen

    Energy Technology Data Exchange (ETDEWEB)

    Renken, C.; Haeberlin, H.

    2003-07-01

    This final report for the Swiss Federal Office of Energy (SFOE) presents the results of tests made on 3 types of thin-film solar cells by the photovoltaics laboratory at the University of Applied Science in Burgdorf, Switzerland. The three 1-kW{sub p} installations are all mounted on the flat roof of an industrial building and deliver the power produced to the local electricity utility. The thin-film technologies tested are described. These include copper-indium-diselenide (CIS) cells, amorphous silicon tandem cells and amorphous silicon triple cells. The measurement equipment used is described and the results obtained are discussed. These showed that the CIS cells had the highest annual specific yield and that the triple cells had a relatively high performance ratio at low irradiance levels. The performance of the thin-film modules is also compared to that of conventional, crystalline modules installed at a nearby location.

  15. Tunable dielectric properties of Barium Magnesium Niobate (BMN) doped Barium Strontium Titanate (BST) thin films by magnetron sputtering

    Science.gov (United States)

    Alema, Fikadu; Reinholz, Aaron; Pokhodnya, Konstantin

    2013-03-01

    We report on the tunable dielectric properties of Mg and Nb co-doped Ba0.45Sr0.55TiO3 (BST) thin film prepared by the magnetron sputtering using BST target (pure and doped with BaMg0.33Nb0.67O3 (BMN)) on Pt/TiO2/SiO2/Al2O3 4'' wafers at 700 °C under oxygen atmosphere. The electrical measurements are conducted on 2432 metal-ferroelectric-metal capacitors using Pt as the top and bottom electrode. The crystalline structure, microstructure, and surface morphology of the films are analyzed and correlated to the films dielectric properties. The BMN doped and undoped BST films have shown tunabilities of 48% and 52%; and leakage current densities of 2.2x10-6 A/cm2 and 3.7x10-5 A/cm2, respectively at 0.5 MV/cm bias field. The results indicate that the BMN doped film exhibits a lower leakage current with no significant decrease in tunability. Due to similar electronegativity and ionic radii, it was suggested that both Mg2+ (accepter-type) and Nb5+ (donor-type) dopants substitutTi4+ ion in BST. The improvement in the film dielectric losses and leakage current with insignificant loss of tunability is attributed to the adversary effects of Mg2+ and Nb5+ in BST.

  16. Optical, ferroelectric and magnetic properties of multiferroelectric BiFeO3-(K0.5Na0.5)0.4(Sr 0.6Ba0.4)0.8Nb2O6 thin films

    KAUST Repository

    Yao, Yingbang

    2014-02-01

    Multiferroic BiFeO3-(K0.5Na0.5) 0.4(Sr0.6Ba0.4)0.8Nb 2O6 (BFO-KNSBN) trilayer thin films, were epitaxially grown on MgO(0 0 1) and SrTiO3(0 0 1) by using pulsed laser deposition (PLD). Their ferroelectric, magnetic, dielectric and optical properties were investigated. It was found that both ferroelectric polarization and dielectric constant of the films were enhanced by introducing KNSBN as a barrier layer. Meanwhile, ferromagnetism of BFO was maintained. More interestingly, a double hysteresis magnetic loop was observed in the KNSBN-BFO-KNSBN trilayer films, where exchange bias and secondary phase in the BFO layer played crucial roles. Interactions between adjacent layers were revealed by temperature-dependent Raman spectroscopic measurements. © 2013 Elsevier B.V. All rights reserved.

  17. Construction of sputtering system and preparation of high temperature superconducting thin films

    International Nuclear Information System (INIS)

    Kaynak, E.

    2000-01-01

    The preparation of high T c superconducting thin film is important both for the understanding of fundamental behaviours of these materials and for the investigations on the usefulness of technological applications. High quality thin films can be prepared by various kinds of techniques being used today. Among these, sputtering is the most preferred one. The primary aim of this work is the construction of a r. f. and c. magnetron sputtering system. For this goal, a magnetron sputtering system was designed and constructed having powers up to 500W (r.f.) and 1KW (d.c.) that enables to deposit thin films of various kinds of materials: metals, ceramics and magnetic materials. The temperature dependence of the electrical resistance of the films was investigated by using four-point probe method. The zero resistance and the transition with of the films were measured as 80-85 K, and 2-9 K, respectively. The A.C. susceptibility experiments were done by utilising the system that was designed and constructed. The applied field dependence of the real and imaginary components of the susceptibility that were measured between the 77-120 K temperature interval and at a fixed frequency was investigated

  18. Approaching conversion limit with all-dielectric solar cell reflectors.

    Science.gov (United States)

    Fu, Sze Ming; Lai, Yi-Chun; Tseng, Chi Wei; Yan, Sheng Lun; Zhong, Yan Kai; Shen, Chang-Hong; Shieh, Jia-Min; Li, Yu-Ren; Cheng, Huang-Chung; Chi, Gou-chung; Yu, Peichen; Lin, Albert

    2015-02-09

    Metallic back reflectors has been used for thin-film and wafer-based solar cells for very long time. Nonetheless, the metallic mirrors might not be the best choices for photovoltaics. In this work, we show that solar cells with all-dielectric reflectors can surpass the best-configured metal-backed devices. Theoretical and experimental results all show that superior large-angle light scattering capability can be achieved by the diffuse medium reflectors, and the solar cell J-V enhancement is higher for solar cells using all-dielectric reflectors. Specifically, the measured diffused scattering efficiency (D.S.E.) of a diffuse medium reflector is >0.8 for the light trapping spectral range (600nm-1000nm), and the measured reflectance of a diffuse medium can be as high as silver if the geometry of embedded titanium oxide(TiO(2)) nanoparticles is optimized. Moreover, the diffuse medium reflectors have the additional advantage of room-temperature processing, low cost, and very high throughput. We believe that using all-dielectric solar cell reflectors is a way to approach the thermodynamic conversion limit by completely excluding metallic dissipation.

  19. Polymorphous GdScO3 as high permittivity dielectric

    International Nuclear Information System (INIS)

    Schäfer, A.; Rahmanizadeh, K.; Bihlmayer, G.; Luysberg, M.; Wendt, F.; Besmehn, A.; Fox, A.

    2015-01-01

    Four different polymorphs of GdScO 3 are assessed theoretically and experimentally with respect to their suitability as a dielectric. The calculations carried out by density functional theory reveal lattice constants, band gaps and the energies of formation of three crystal phases. Experimentally all three crystal phases and the amorphous phase can be realized as thin films by pulsed laser deposition using various growth templates. Their respective crystal structures are confirmed by X-ray diffraction and transmission electron microscopy reflecting the calculated lattice constants. X-ray photoelectron spectroscopy unveils the band gaps of the different polymorphs of GdScO 3 which are above 5 eV for all films demonstrating good insulating properties. From capacitance voltage measurements, high permittivities of up to 27 for hexagonal GdScO 3 are deduced. - Highlights: • Different epitaxial polymorph phases of GdScO 3 were grown by pulsed laser deposition. • The cubic phase of GdScO 3 is reported for the first time. • All phases are proven to be useful for the use in silicon based and III–V based microelectronic devices.

  20. Multilayer thin films with compositional PbZr0.52Ti0.48O3/Bi1.5Zn1.0Nb1.5O7 layers for tunable applications

    Science.gov (United States)

    Yu, Shihui; Li, Lingxia; Zhang, Weifeng; Sun, Zheng; Dong, Helei

    2015-01-01

    The dielectric properties and tunability of multilayer thin films with compositional PbZr0.52Ti0.48O3/Bi1.5Zn1.0Nb1.5O7 (PZT/BZN) layers (PPBLs) fabricated by pulsed laser deposition on Pt/TiO2/SiO2/Si substrate have been investigated. Dielectric measurements indicate that the PZT/BZN bilayer thin films exhibit medium dielectric constant of about 490, low loss tangent of 0.017, and superior tunable dielectric properties (tunability = 49.7% at 500 kV/cm) at a PZT/BZN thickness ratio of 3, while the largest figure of merit is obtained as 51.8. The thickness effect is discussed with a series connection model of bilayer capacitors, and the calculated dielectric constant and loss tangent are obtained. Furthermore, five kinds of thin–film samples comprising single bilayers, two, three, four and five PPBLs were also elaborated with the final same thickness. The four PPBLs show the largest dielectric constant of ~538 and tunability of 53.3% at a maximum applied bias field of 500 kV/cm and the lowest loss tangent of ~0.015, while the largest figure of merit is 65.6. The results indicate that four PPBLs are excellent candidates for applications of tunable devices. PMID:25960043

  1. Electrochemically synthesized nanocrystalline spinel thin film for high performance supercapacitor

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Vinay [Carbon Technology Unit, Engineering Materials Division, National Physical Laboratory, New-Delhi, 110012 (India); Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga-shi, Fukuoka, 816-8580 (Japan); Japan Science and Technology Agency, Kawaguchi-shi, Saitama, 332-0012 (Japan); Gupta, Shubhra; Miura, Norio [Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga-shi, Fukuoka, 816-8580 (Japan)

    2010-06-01

    Spinels are not known for their supercapacitive nature. Here, we have explored electrochemically synthesized nanostructured NiCo{sub 2}O{sub 4} spinel thin-film electrode for electrochemical supercapacitors. The nanostructured NiCo{sub 2}O{sub 4} spinel thin film exhibited a high specific capacitance value of 580 F g{sup -1} and an energy density of 32 Wh kg{sup -1} at the power density of 4 kW kg{sup -1}, accompanying with good cyclic stability. (author)

  2. Enhanced electric polarization and breakdown strength in the all-organic sandwich-structured poly(vinylidene fluoride-based dielectric film for high energy density capacitor

    Directory of Open Access Journals (Sweden)

    Yue Zhang

    2017-07-01

    Full Text Available It is essential to develop the dielectric energy storage capacitor for the modern electrical and electronic equipment. Here, the all-organic sandwich-structured composite with superior breakdown strength and delayed saturation polarization is presented. Furthermore, the energy storage characteristics of the composite are enhanced by the poly(vinylidene fluoride-trifluoroethylene-chlorofluoroethylene fiber and the redistribution of local electric field. The dielectric permittivity of composite increases to ∼16, and the discharged energy density is high to ∼8.7 J/cm3 at 360 kV/mm, and the breakdown strength is up to ∼408 kV/mm. The excellent performance of the composite broadens the application in the field of power electronics industry.

  3. Simultaneous achievement of high dielectric constant and low temperature dependence of capacitance in (111-oriented BaTiO3-Bi(Mg0.5Ti0.5O3-BiFeO3 solid solution thin films

    Directory of Open Access Journals (Sweden)

    Junichi Kimura

    2016-01-01

    Full Text Available The temperature dependence of the capacitance of (111c-oriented (0.90–xBaTiO3-0.10Bi(Mg0.5Ti0.5O3-xBiFeO3 solid solution films is investigated. These films are prepared on (111cSrRuO3/(111Pt/TiO2/SiO2/(100Si substrates by the chemical solution deposition technique. All the films have perovskite structures and the crystal symmetry at room temperature varies with increasing x ratio, from pseudocubic when x = 0–0.30 to rhombohedral when x = 0.50–0.90. The pseudocubic phase shows a high relative dielectric constant (εr (ranging between 400 and 560 at room temperature and an operating frequency of 100 kHz and a low temperature dependence of capacitance up to 400°C, while maintaining a dielectric loss (tan δ value of less than 0.2 at 100 kHz. In contrast, εr for the rhombohedral phase increases monotonically with increasing temperature up to 250°C, and increasingly high tan δ values are recorded at higher temperatures. These results indicate that pseudocubic (0.90–xBaTiO3-0.10Bi(Mg0.5Ti0.5O3-xBiFeO3 solid solution films with (111 orientation are suitable candidates for high-temperature capacitor applications.

  4. High temperature dielectric studies of indium-substituted NiCuZn nanoferrites

    Science.gov (United States)

    Hashim, Mohd.; Raghasudha, M.; Shah, Jyoti; Shirsath, Sagar E.; Ravinder, D.; Kumar, Shalendra; Meena, Sher Singh; Bhatt, Pramod; Alimuddin; Kumar, Ravi; Kotnala, R. K.

    2018-01-01

    In this study, indium (In3+)-substituted NiCuZn nanostructured ceramic ferrites with a chemical composition of Ni0.5Cu0.25Zn0.25Fe2-xInxO4 (0.0 ≤ x ≤ 0.5) were prepared by chemical synthesis involving sol-gel chemistry. Single phased cubic spinel structure materials were prepared successfully according to X-ray diffraction and transmission electron microscopy analyses. The dielectric properties of the prepared ferrites were measured using an LCR HiTester at temperatures ranging from room temperature to 300 °C at different frequencies from 102 Hz to 5 × 106 Hz. The variations in the dielectric parameters ε‧ and (tanδ) with temperature demonstrated the frequency- and temperature-dependent characteristics due to electron hopping between the ions. The materials had low dielectric loss values in the high frequency range at all temperatures, which makes them suitable for high frequency microwave applications. A qualitative explanation is provided for the dependences of the dielectric constant and dielectric loss tangent on the frequency, temperature, and composition. Mӧssbauer spectroscopy was employed at room temperature to characterize the magnetic behavior.

  5. Optical Properties of Al- and Sb-Doped CdTe Thin Films

    Directory of Open Access Journals (Sweden)

    A. A. J. Al-Douri

    2010-01-01

    Full Text Available Nondoped and (Al, Sb-doped CdTe thin films with 0.5, 1.5, and 2.5  wt.%, respectively, were deposited by thermal evaporation technique under vacuum onto Corning 7059 glass at substrate temperatures ( of room temperature (RT and 423 K. The optical properties of deposited CdTe films such as band gap, refractive index (n, extinction coefficient (, and dielectric coefficients were investigated as function of Al and Sb wt.% doping, respectively. The results showed that films have direct optical transition. Increasing and the wt.% of both types of dopant, the band gap decrease but the optical is constant as n, and real and imaginary parts of the dielectric coefficient increase.

  6. Crystallinity and electrical properties of neodymium-substituted bismuth titanate thin films

    International Nuclear Information System (INIS)

    Chen, Y.-C.; Hsiung, C.-P.; Chen, C.-Y.; Gan, J.-Y.; Sun, Y.-M.; Lin, C.-P.

    2006-01-01

    We report on the properties of Nd-substituted bismuth titanate Bi 4-x Nd x Ti 3 O 12 (BNdT) thin films for ferroelectric non-volatile memory applications. The Nd-substituted bismuth titanate thin films fabricated by modified chemical solution deposition technique showed much improved properties compared to pure bismuth titanate. A pyrochlore free crystalline phase was obtained at a low annealing temperature of 640 deg. C and grain size was found to be considerably increased as the annealing temperature increased. The film properties were found to be strongly dependent on the Nd content and annealing temperatures. The measured dielectric constant of BNdT thin films was in the range 172-130 for Bi 4-x Nd x Ti 3 O 12 with x 0.0-0.75. Ferroelectric properties of Nd-substituted bismuth titanate thin films were significantly improved compared to pure bismuth titanate. For example, the observed 2P r and E c for Bi 3.25 Nd 0.75 Ti 3 O 12 , annealed at 680 deg. C, were 38 μC/cm 2 and 98 kV/cm, respectively. The improved microstructural and ferroelectric properties of BNdT thin films suggest their suitability for high density ferroelectric random access memory applications

  7. Enhanced dielectric properties of thin Ta{sub 2}O{sub 5} films grown on 65 nm SiO{sub 2}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Kolkovsky, Vl.; Kurth, E.; Kunath, C. [IPMS Fraunhofer, Dresden, Maria-Reiche Str. 2, 01109 Dresden (Germany)

    2016-12-15

    The structural and electrical properties of Ta{sub 2}O{sub 5}/65 nm SiO{sub 2} structures with different thicknesses of Ta{sub 2}O{sub 5} varying in the range of 0-260 nm are investigated. We find that the stack structures grown by the magnetron sputtering technique and annealed at 1220 K in O and Ar atmosphere show one of the highest dielectric constant of Ta{sub 2}O{sub 5}(about 64) among those previously reported in the literature. The structure of the annealed polycrystalline Ta{sub 2}O{sub 5} films is orthorhombic, as obtained from X-ray diffraction measurements and we do not observe any preferential orientation of the annealed films. The Ta{sub 2}O{sub 5} films contain positively charged defects which become mobile at around 400 K and they are tentatively correlated with the oxygen vacancies. The leakage current in the stack structures is a factor of 20 higher compared to that in thin layers with 65 nm SiO{sub 2}. The conduction mechanism in the stack structures can be described by the Fowler-Nordheim model with a barrier height that decreases slightly (<10%) as a function of the thickness of the films. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Dielectric and AC Conductivity Studies in PPy-Ag Nanocomposites

    OpenAIRE

    Praveenkumar, K.; Sankarappa, T.; Ashwajeet, J. S.; Ramanna, R.

    2015-01-01

    Polypyrrole and silver nanoparticles have been synthesized at 277 K by chemical route. Nanoparticles of polypyrrole-silver (PPy-Ag) composites were prepared by mixing polypyrrole and silver nanoparticles in different weight percentages. Dielectric properties as a function of temperature in the range from 300 K to 550 K and frequency in the range from 50 Hz to 1 MHz have been measured. Dielectric constant decreased with increase in frequency and temperature. Dielectric loss decreased with incr...

  9. Laser Direct Writing Process for Making Electrodes and High-k Sol-Gel ZrO2 for Boosting Performances of MoS2 Transistors.

    Science.gov (United States)

    Kwon, Hyuk-Jun; Jang, Jaewon; Grigoropoulos, Costas P

    2016-04-13

    A series of two-dimensional (2D) transition metal dichalcogenides (TMDCs), including molybdenum disulfide (MoS2), can be attractive materials for photonic and electronic applications due to their exceptional properties. Among these unique properties, high mobility of 2D TMDCs enables realization of high-performance nanoelectronics based on a thin film transistor (TFT) platform. In this contribution, we report highly enhanced field effect mobility (μ(eff) = 50.1 cm(2)/(V s), ∼2.5 times) of MoS2 TFTs through the sol-gel processed high-k ZrO2 (∼22.0) insulator, compared to those of typical MoS2/SiO2/Si structures (μ(eff) = 19.4 cm(2)/(V s)) because a high-k dielectric layer can suppress Coulomb electron scattering and reduce interface trap concentration. Additionally, in order to avoid costly conventional mask based photolithography and define the patterns, we employ a simple laser direct writing (LDW) process. This process allows precise and flexible control with reasonable resolution (up to ∼10 nm), depending on the system, and enables fabrication of arbitrarily patterned devices. Taking advantage of continuing developments in laser technology offers a substantial cost decrease, and LDW may emerge as a promising technology.

  10. Broadening of Distribution of Trap States in PbS Quantum Dot Field-Effect Transistors with High-k Dielectrics.

    Science.gov (United States)

    Nugraha, Mohamad I; Häusermann, Roger; Watanabe, Shun; Matsui, Hiroyuki; Sytnyk, Mykhailo; Heiss, Wolfgang; Takeya, Jun; Loi, Maria A

    2017-02-08

    We perform a quantitative analysis of the trap density of states (trap DOS) in PbS quantum dot field-effect transistors (QD-FETs), which utilize several polymer gate insulators with a wide range of dielectric constants. With increasing gate dielectric constant, we observe increasing trap DOS close to the lowest unoccupied molecular orbital (LUMO) of the QDs. In addition, this increase is also consistently followed by broadening of the trap DOS. We rationalize that the increase and broadening of the spectral trap distribution originate from dipolar disorder as well as polaronic interactions, which are appearing at strong dielectric polarization. Interestingly, the increased polaron-induced traps do not show any negative effect on the charge carrier mobility in our QD devices at the highest applied gate voltage, giving the possibility to fabricate efficient low-voltage QD devices without suppressing carrier transport.

  11. Life estimation and analysis of dielectric strength, hydrocarbon backbone and oxidation of high voltage multi stressed EPDM composites

    Science.gov (United States)

    Khattak, Abraiz; Amin, Muhammad; Iqbal, Muhammad; Abbas, Naveed

    2018-02-01

    Micro and nanocomposites of ethylene propylene diene monomer (EPDM) are recently studied for different characteristics. Study on life estimation and effects of multiple stresses on its dielectric strength and backbone scission and oxidation is also vital for endorsement of these composites for high voltage insulation and other outdoor applications. In order to achieve these goals, unfilled EPDM and its micro and nanocomposites are prepared at 23 phr micro silica and 6 phr nanosilica loadings respectively. Prepared samples are energized at 2.5 kV AC voltage and subjected for a long time to heat, ultraviolet radiation, acid rain, humidity and salt fog in accelerated manner in laboratory. Dielectric strength, leakage current and intensity of saturated backbone and carbonyl group are periodically measured. Loss in dielectric strength, increase in leakage current and backbone degradation and oxidation were observed in all samples. These effects were least in the case of EPDM nanocomposite. The nanocomposite sample also demonstrated longest shelf life.

  12. Top-gate hybrid complementary inverters using pentacene and amorphous InGaZnO thin-film transistors with high operational stability

    Directory of Open Access Journals (Sweden)

    J. B. Kim

    2012-03-01

    Full Text Available We report on the operational stability of low-voltage hybrid organic-inorganic complementary inverters with a top-gate bottom source-drain geometry. The inverters are comprised of p-channel pentacene and n-channel amorphous InGaZnO thin-film transistors (TFTs with bi-layer gate dielectrics formed from an amorphous layer of a fluoropolymer (CYTOP and a high-k layer of Al2O3. The p- and n- channel TFTs show saturation mobility values of 0.1 ± 0.01 and 5.0 ± 0.5 cm2/Vs, respectively. The individual transistors show high electrical stability with less than 6% drain-to-source current variations after 1 h direct current (DC bias stress. Complementary inverters yield hysteresis-free voltage transfer characteristics for forward and reverse input biases with static DC gain values larger than 45 V/V at 8 V before and after being subjected to different conditions of electrical stress. Small and reversible variations of the switching threshold voltage of the inverters during these stress tests are compatible with the observed stability of the individual TFTs.

  13. PEALD grown high-k ZrO{sub 2} thin films on SiC group IV compound semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Khairnar, A. G., E-mail: agkhairnar@gmail.com; Patil, V. S.; Agrawal, K. S.; Salunke, R. S.; Mahajan, A. M., E-mail: ammahajan@nmu.ac.in [North Maharashtra University, Department of Electronics, School of Physical Sciences (India)

    2017-01-15

    The study of ZrO{sub 2} thin films on SiC group IV compound semiconductor has been studied as a high mobility substrates. The ZrO{sub 2} thin films were deposited using the Plasma Enhanced Atomic Layer Deposition System. The thickness of the thin films were measured using ellipsometer and found to be 5.47 nm. The deposited ZrO{sub 2} thin films were post deposition annealed in rapid thermal annealing chamber at temperature of 400°Ð¡. The atomic force microscopy and X-гау photoelectron spectroscopy has been carried out to study the surface topography, roughness and chemical composition of thin film, respectively.

  14. Development of optical thin film technology for lasers and synchrotron radiation

    International Nuclear Information System (INIS)

    Apparao, K.V.S.R.; Bagchi, T.C.; Sahoo, N.K.

    1985-01-01

    Dielectric multilayer optical thin film devices play an important role not only in the working of lasers but also in different front line research activities using high power lasers and high intensity synchrotron radiation sources. Facilities are set up recently in the Spectroscopy Division to develop the optical thin film design and fabrication technologies indigeneously. Using the facilities thin film devices for different laser applications working in the wavelength range from 300 nm to 1064 nm were developed. Different technical aspects involved in the technology development are briefly described. (author)

  15. Dielectric Wakefield Accelerator to drive the future FEL Light Source.

    Energy Technology Data Exchange (ETDEWEB)

    Jing, C.; Power, J.; Zholents, A. (Accelerator Systems Division (APS)); ( HEP); (LLC)

    2011-04-20

    X-ray free-electron lasers (FELs) are expensive instruments and a large part of the cost of the entire facility is driven by the accelerator. Using a high-energy gain dielectric wake-field accelerator (DWA) instead of the conventional accelerator may provide a significant cost saving and reduction of the facility size. In this article, we investigate using a collinear dielectric wakefield accelerator to provide a high repetition rate, high current, high energy beam to drive a future FEL x-ray light source. As an initial case study, a {approx}100 MV/m loaded gradient, 850 GHz quartz dielectric based 2-stage, wakefield accelerator is proposed to generate a main electron beam of 8 GeV, 50 pC/bunch, {approx}1.2 kA of peak current, 10 x 10 kHz (10 beamlines) in just 100 meters with the fill factor and beam loading considered. This scheme provides 10 parallel main beams with one 100 kHz drive beam. A drive-to-main beam efficiency {approx}38.5% can be achieved with an advanced transformer ratio enhancement technique. rf power dissipation in the structure is only 5 W/cm{sup 2} in the high repetition rate, high gradient operation mode, which is in the range of advanced water cooling capability. Details of study presented in the article include the overall layout, the transform ratio enhancement scheme used to increase the drive to main beam efficiency, main wakefield linac design, cooling of the structure, etc.

  16. Investigation of terbium scandate as an alternative gate dielectric in fully depleted transistors

    OpenAIRE

    Roeckerath, M.; Lopes, J. M. J.; Durgun Özben, E.; Urban, C.; Schubert, J.; Mantl, S.; Jia, Y.; Schlom, D.G.

    2010-01-01

    Terbium scandate thin films were deposited by e-gun evaporation on (100) silicon substrates. Rutherford backscattering spectrometry and x-ray diffraction studies revealed homogeneous chemical compositions of the films. A dielectric constant of 26 and CV-curves with small hystereses were measured as well as low leakage current densities of < 1 nA/cm(2). Fully depleted n-type field-effect transistors on thin silicon-on-insulator substrates with terbium scandate gate dielectrics were fabricated ...

  17. Solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a polymer dielectric on a flexible substrate

    International Nuclear Information System (INIS)

    Shin, Sang-Il; Kwon, Jae-Hong; Ju, Byeong-Kwon; Kang, Hochul

    2008-01-01

    The authors report the fabrication of solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a cross-linked poly-4-vinylphenol (PVP) dielectric on a polyethersulphone (PES) substrate. The device exhibited useful electrical characteristics, including a saturation field effect mobility of 2.08 × 10 −2 cm 2 V −1 s −1 , a current on/off ratio of 10 5 , a threshold voltage of −2 V and an excellent subthreshold slope of 0.86 V/dec. It was demonstrated that the significant improvement in the subthreshold slope of TIPS-pentacene TFTs could be attributed to a decreased carrier trap density at the PVP/TIPS-pentacene film interface. Furthermore, a 1,2,3,4-tetrahydronaphthalene (Tetralin) solvent used in this study had a high boiling point, which had a positive effect on the morphology and the molecular ordering of the TIPS-pentacene film

  18. Effect of dielectric layers on device stability of pentacene-based field-effect transistors.

    Science.gov (United States)

    Di, Chong-an; Yu, Gui; Liu, Yunqi; Guo, Yunlong; Sun, Xiangnan; Zheng, Jian; Wen, Yugeng; Wang, Ying; Wu, Weiping; Zhu, Daoben

    2009-09-07

    We report stable organic field-effect transistors (OFETs) based on pentacene. It was found that device stability strongly depends on the dielectric layer. Pentacene thin-film transistors based on the bare or polystyrene-modified SiO(2) gate dielectrics exhibit excellent electrical stabilities. In contrast, the devices with the octadecyltrichlorosilane (OTS)-treated SiO(2) dielectric layer showed the worst stabilities. The effects of the different dielectrics on the device stabilities were investigated. We found that the surface energy of the gate dielectric plays a crucial role in determining the stability of the pentacene thin film, device performance and degradation of electrical properties. Pentacene aggregation, phase transfer and film morphology are also important factors that influence the device stability of pentacene devices. As a result of the surface energy mismatch between the dielectric layer and organic semiconductor, the electronic performance was degraded. Moreover, when pentacene was deposited on the OTS-treated SiO(2) dielectric layer with very low surface energy, pentacene aggregation occurred and resulted in a dramatic decrease of device performance. These results demonstrated that the stable OFETs could be obtained by using pentacene as a semiconductor layer.

  19. Nanopolar reorientation in ferroelectric thin films

    International Nuclear Information System (INIS)

    Hubert, C.; Levy, J.; Rivkin, T. V.; Carlson, C.; Parilla, P. A.; Perkins, J. D.; Ginley, D. S.

    2001-01-01

    The influence of varying oxygen pressure P(O 2 ) during the growth of Ba 0.4 Sr 0.6 TiO 3 thin films is investigated using dielectric and local optical probes. A transition from in-plane to out-of-plane ferroelectricity is observed with increasing P(O 2 ). Signatures of in-plane and out-of-plane ferroelectricity are identified using dielectric response and time-resolved confocal scanning optical microscopy (TRCSOM). At the crossover pressure between in-plane and out-of-plane polarization (P c =85 mTorr), TRCSOM measurements reveal a soft, highly dispersive out-of-plane polarization that reorients in plane under modest applied electric fields. At higher deposition pressures, the out-of-plane polarization is hardened and is less dispersive at microwave frequencies, and the dielectric tuning is suppressed. Nanopolar reorientation is believed to be responsible for the marked increase in dielectric tuning at P(O 2 )=P c

  20. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A [Universite de Nantes - Institut des Materiaux Jean Rouxel, UMR CNRS 6502, 2, rue de la Houssiniere BP32229, 44322 Nantes Cedex (France); Borderon, C; Tacon, S Le; Averty, D; Gundel, H W [Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique, UPRES-EA 1770, IREENA, Universite de Nantes, 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex (France)], E-mail: Marie-Paule.Besland@cnrs-imn.fr

    2008-01-15

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}(BLT{sub 0,75}), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}. After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed.