WorldWideScience

Sample records for high-density helicon plasma

  1. Is the bulk mode conversion important in high density helicon plasma?

    Energy Technology Data Exchange (ETDEWEB)

    Isayama, Shogo; Hada, Tohru [Interdisciplinary Graduate School of Engineering Sciences, Kyushu University, 6-1 Kasuga-Kohen, Kasuga, Fukuoka 816-8580 (Japan); Shinohara, Shunjiro [Institute of Engineering, Tokyo University of Agriculture and Technology, 2-24-16, Naka-cho, Koganei, Tokyo 184-8588 (Japan); Tanikawa, Takao [Research Institute of Science and Technology, Tokai University 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan)

    2016-06-15

    In a high-density helicon plasma production process, a contribution of Trivelpiece-Gould (TG) wave for surface power deposition is widely accepted. The TG wave can be excited either due to an abrupt density gradient near the plasma edge (surface conversion) or due to linear mode conversion from the helicon wave in a density gradient in the bulk region (bulk mode conversion). By numerically solving the boundary value problem of linear coupling between the helicon and the TG waves in a background with density gradient, we show that the efficiency of the bulk mode conversion strongly depends on the dissipation included in the plasma, and the bulk mode conversion is important when the dissipation is small. Also, by performing FDTD simulation, we show the time evolution of energy flux associated with the helicon and the TG waves.

  2. Discharge regimes and density jumps in a helicon plasma source

    International Nuclear Information System (INIS)

    Shinohara, S.; Yonekura, K.

    1999-01-01

    A high density plasma source using a helicon wave is becoming very attractive in plasma processing and confinement devices. In the previous work, the characteristics of this wave and plasma performance with diameters of 5 and 45 cm have been studied, and the helicon wave was only observed after the density jump. Recently, density jumps from the low to high electron densities with a level of 10 13 cm -3 were investigated by changing the antenna wavenumber spectrum, and the obtained results were compared with the inductively coupled plasma (ICP). However, the mechanisms of density jumps and plasma production are still open questions to be answered. Here, the authors try to investigate the discharge regimes and density jumps in a helicon plasma source, by changing the antenna wavenumber spectrum. For he case of the parallel current directions in the antenna, where the low wavenumber spectrum part is large, the density jump was observed with the low RF input power of P in < 300 W regardless of the magnetic field. On the other hand, for the case of the opposite directions, where the low wavenumber spectrum part is small, the threshold power to obtain the jump became high with the increase in the magnetic field. This can be understood from the dispersion relation of the helicon wave. The wave structures and the dispersion relations in the discharge modes will be also shown

  3. Plasma characteristics of a high power helicon discharge

    International Nuclear Information System (INIS)

    Ziemba, T; Euripides, P; Slough, J; Winglee, R; Giersch, L; Carscadden, J; Schnackenberg, T; Isley, S

    2006-01-01

    A new high power helicon (HPH) plasma system has been designed to provide input powers of several tens of kilowatts to produce a large area (0.5 m 2 ) of uniform high-density, of at least 5 x 10 17 m -3 , plasma downstream from the helicon coil. Axial and radial plasma characteristics show that the plasma is to a lesser extent created in and near the helicon coil and then is accelerated into the axial and equatorial regions. The bulk acceleration of the plasma is believed to be due to a coupling of the bulk of the electrons to the helicon field, which in turn transfers energy to the ions via ambipolar diffusion. The plasma beta is near unity a few centimetres away from the HPH system and Bdot measurements show ΔB perturbations in the order of the vacuum magnetic field magnitude. In the equatorial region, a magnetic separatrix is seen to develop roughly at the mid-point between the helicon and chamber wall. The magnetic perturbation develops on the time scale of the plasma flow speed and upon the plasma reaching the chamber wall decays to the vacuum magnetic field configuration within 200 μs

  4. Plasma characteristics of a high power helicon discharge

    Energy Technology Data Exchange (ETDEWEB)

    Ziemba, T; Euripides, P; Slough, J; Winglee, R; Giersch, L; Carscadden, J; Schnackenberg, T; Isley, S [Box 351310, University of Washington, Seattle WA, 98195 (United States)

    2006-08-01

    A new high power helicon (HPH) plasma system has been designed to provide input powers of several tens of kilowatts to produce a large area (0.5 m{sup 2}) of uniform high-density, of at least 5 x 10{sup 17} m{sup -3}, plasma downstream from the helicon coil. Axial and radial plasma characteristics show that the plasma is to a lesser extent created in and near the helicon coil and then is accelerated into the axial and equatorial regions. The bulk acceleration of the plasma is believed to be due to a coupling of the bulk of the electrons to the helicon field, which in turn transfers energy to the ions via ambipolar diffusion. The plasma beta is near unity a few centimetres away from the HPH system and Bdot measurements show {delta}B perturbations in the order of the vacuum magnetic field magnitude. In the equatorial region, a magnetic separatrix is seen to develop roughly at the mid-point between the helicon and chamber wall. The magnetic perturbation develops on the time scale of the plasma flow speed and upon the plasma reaching the chamber wall decays to the vacuum magnetic field configuration within 200 {mu}s.

  5. Design of a novel high efficiency antenna for helicon plasma sources

    Science.gov (United States)

    Fazelpour, S.; Chakhmachi, A.; Iraji, D.

    2018-06-01

    A new configuration for an antenna, which increases the absorption power and plasma density, is proposed for helicon plasma sources. The influence of the electromagnetic wave pattern symmetry on the plasma density and absorption power in a helicon plasma source with a common antenna (Nagoya) is analysed by using the standard COMSOL Multiphysics 5.3 software. In contrast to the theoretical model prediction, the electromagnetic wave does not represent a symmetric pattern for the common Nagoya antenna. In this work, a new configuration for an antenna is proposed which refines the asymmetries of the wave pattern in helicon plasma sources. The plasma parameters such as plasma density and absorption rate for a common Nagoya antenna and our proposed antenna under the same conditions are studied using simulations. In addition, the plasma density of seven operational helicon plasma source devices, having a common Nagoya antenna, is compared with the simulation results of our proposed antenna and the common Nagoya antenna. The simulation results show that the density of the plasma, which is produced by using our proposed antenna, is approximately twice in comparison to the plasma density produced by using the common Nagoya antenna. In fact, the simulation results indicate that the electric and magnetic fields symmetry of the helicon wave plays a vital role in increasing wave-particle coupling. As a result, wave-particle energy exchange and the plasma density of helicon plasma sources will be increased.

  6. Operation of the ORNL High Particle Flux Helicon Plasma Source

    International Nuclear Information System (INIS)

    Goulding, R. H.; Biewer, T. M.; Caughman, J. B. O.; Chen, G. C.; Owen, L. W.; Sparks, D. O.

    2011-01-01

    A high power, high particle flux rf-based helicon plasma source has been constructed at ORNL and operated at power levels up to 30 kW. High-density hydrogen and helium plasmas have been produced. The source has been designed as the basis for a linear plasma materials interaction (PMI) test facility that will generate particle fluxes Γ p 10 23 m -3 s -1 , and utilize additional ion and electron cyclotron heating to produce high parallel (to the magnetic field) heat fluxes of ∼10 MW/m 2 . An rf-based source for PMI research is of interest because high plasma densities are generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. The ORNL helicon source has a diameter of 15 cm and to-date has operated at a frequency f = 13.56 MHz, with magnetic field strength |B| in the antenna region up to ∼0.15 T. Maximum densities of 3x10 19 m -3 in He and 2.5x10 19 m -3 in H have been achieved. Radial density profiles have been seen to be dependent on the axial |B| profile.

  7. Operation of the ORNL High Particle Flux Helicon Plasma Source

    International Nuclear Information System (INIS)

    Goulding, Richard Howell; Biewer, Theodore M.; Caughman, John B.; Chen, Guangye; Owen, Larry W.; Sparks, Dennis O.

    2011-01-01

    A high power, high particle flux rf-based helicon plasma source has been constructed at ORNL and operated at power levels up to 30 kW. High-density hydrogen and helium plasmas have been produced. The source has been designed as the basis for a linear plasma materials interaction (PMI) test facility that will generate particle fluxes Gamma(p) > 10(23) M-3 s(-1), and utilize additional ion and electron cyclotron heating to produce high parallel (to the magnetic field) heat fluxes of similar to 10 MW/m(2). An rf-based source for PMI research is of interest because high plasma densities are generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. The ORNL helicon source has a diameter of 15 cm and to-date has operated at a frequency f = 13.56 MHz, with magnetic field strength vertical bar B vertical bar in the antenna region up to similar to 0.15 T. Maximum densities of 3 x 10(19) M-3 in He and 2.5 x 10(19) m(-3) in H have been achieved. Radial density profiles have been seen to be dependent on the axial vertical bar B vertical bar profile.

  8. Coupling of RF antennas to large volume helicon plasma

    Directory of Open Access Journals (Sweden)

    Lei Chang

    2018-04-01

    Full Text Available Large volume helicon plasma sources are of particular interest for large scale semiconductor processing, high power plasma propulsion and recently plasma-material interaction under fusion conditions. This work is devoted to studying the coupling of four typical RF antennas to helicon plasma with infinite length and diameter of 0.5 m, and exploring its frequency dependence in the range of 13.56-70 MHz for coupling optimization. It is found that loop antenna is more efficient than half helix, Boswell and Nagoya III antennas for power absorption; radially parabolic density profile overwhelms Gaussian density profile in terms of antenna coupling for low-density plasma, but the superiority reverses for high-density plasma. Increasing the driving frequency results in power absorption more near plasma edge, but the overall power absorption increases with frequency. Perpendicular stream plots of wave magnetic field, wave electric field and perturbed current are also presented. This work can serve as an important reference for the experimental design of large volume helicon plasma source with high RF power.

  9. Development of 2D laser-induced fluorescence (LIF) system in high-density helicon plasma

    International Nuclear Information System (INIS)

    Teshigahara, Naoto; Shinohara, Shunjiro; Kuwahara, Daisuke; Watanabe, Masaki; Yamagata, Yukihiko

    2014-01-01

    Lifetimes of most electric propulsion devices are limited owing to electrode erosion and contamination by plasmas. To overcome this problem, a Helicon Electrodeless Advanced Thruster (HEAT) was proposed by our research team. This scheme employs a high-density (∼10 13 cm -3 ) helicon plasma accelerated by the Lorentz force, which is produced by various acceleration methods. For feasibility of this method, a Laser-Induced Fluorescence (LIF) system was developed. The LIF is a powerful tool for plasma diagnostics because it is a non-invasive method that allows high spatial resolution. Using the LIF, it is possible to deduce velocity distribution functions of different particles (ions, atoms, and molecules). In this paper, we report the details of our novel 2D LIF system as well as some preliminary experimental results. Argon ion velocity distributions at different axial and radial locations were obtained using the novel 2D system. Ion velocity was greatest (∼ 2.8 km/s) at z = -24 cm among all the points measured along the z-axis. Velocity values were approximately 2.7 and 3.2 km/s for radial positions of r = 0 and 3 cm, respectively. Ion temperature values were approximately 0.56 and 0.61 eV at r = 0 and 3 cm, respectively. (author)

  10. Development and application of helicon plasma sources. Evolution of extensive plasma science

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro

    2009-01-01

    Recent advances in plasma science are remarkable, and are deeply indebted to the development of sophisticated plasma sources. While numerous methods have been proposed for producing the plasma, helicon plasma sources, capable of generating high density (>10 13 cm -3 ) plasma with high ionization degree (>several ten percent) over a wide range of external control parameters, have been utilized in such broad areas as fundamental and processing plasmas, nuclear fusion, gas laser, modeling of space plasma, plasma acceleration/propulsion, among others. On the other hand, a number of important issues are left unsolved, in particular, those relevant to the wave phenomena and efficient plasma production. Solution to these issues are expected to play key roles in taking full advantage of the helicon plasma sources in the next generation. In this article, we overview our current understanding of the helicon plasma production and recent development of characteristic helicon plasma sources, and discuss possible future advancement of extensive plasma science utilizing them. (author)

  11. Resonant power absorption in helicon plasma sources

    International Nuclear Information System (INIS)

    Chen Guangye; Arefiev, Alexey V.; Bengtson, Roger D.; Breizman, Boris N.; Lee, Charles A.; Raja, Laxminarayan L.

    2006-01-01

    Helicon discharges produce plasmas with a density gradient across the confining magnetic field. Such plasmas can create a radial potential well for nonaxisymmetric whistlers, allowing radially localized helicon (RLH) waves. This work presents new evidence that RLH waves play a significant role in helicon plasma sources. An experimentally measured plasma density profile in an argon helicon discharge is used to calculate the rf field structure. The calculations are performed using a two-dimensional field solver under the assumption that the density profile is axisymmetric. It is found that RLH waves with an azimuthal wave number m=1 form a standing wave structure in the axial direction and that the frequency of the RLH eigenmode is close to the driving frequency of the rf antenna. The calculated resonant power absorption, associated with the RLH eigenmode, accounts for most of the rf power deposited into the plasma in the experiment

  12. Progress in the Development of a High Power Helicon Plasma Source for the Materials Plasma Exposure Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Goulding, Richard Howell [ORNL; Caughman, John B. [ORNL; Rapp, Juergen [ORNL; Biewer, Theodore M. [ORNL; Bigelow, Tim S. [ORNL; Campbell, Ian H. [ORNL; Caneses Marin, Juan F. [ORNL; Donovan, David C. [ORNL; Kafle, Nischal [ORNL; Martin, Elijah H. [ORNL; Ray, Holly B. [ORNL; Shaw, Guinevere C. [ORNL; Showers, Melissa A. [ORNL

    2017-09-01

    Proto-MPEX is a linear plasma device being used to study a novel RF source concept for the planned Material Plasma Exposure eXperiment (MPEX), which will address plasma-materials interaction (PMI) for nuclear fusion reactors. Plasmas are produced using a large diameter helicon source operating at a frequency of 13.56 MHz at power levels up to 120 kW. In recent experiments the helicon source has produced deuterium plasmas with densities up to ~6 × 1019 m–3 measured at a location 2 m downstream from the antenna and 0.4 m from the target. Previous plasma production experiments on Proto-MPEX have generated lower density plasmas with hollow electron temperature profiles and target power deposition peaked far off axis. The latest experiments have produced flat Te profiles with a large portion of the power deposited on the target near the axis. This and other evidence points to the excitation of a helicon mode in this case.

  13. High power light gas helicon plasma source for VASIMR

    International Nuclear Information System (INIS)

    Squire, Jared P.; Chang-Diaz, Franklin R.; Glover, Timothy W.; Jacobson, Verlin T.; McCaskill, Greg E.; Winter, D. Scott; Baity, F. Wally; Carter, Mark D.; Goulding, Richard H.

    2006-01-01

    In the Advanced Space Propulsion Laboratory (ASPL) helicon experiment (VX-10) we have measured a plasma flux to input gas rate ratio near 100% for both helium and deuterium at power levels up to 10 kW. Recent results at Oak Ridge National Laboratory (ORNL) show enhanced efficiency operation with a high power density, over 5 kW in a 5 cm diameter tube. Our helicon is presently 9 cm in diameter and operates up to 10 kW of input power. The data here uses a Boswell double-saddle antenna design with a magnetic cusp just upstream of the antenna. Similar to ORNL, for deuterium at near 10 kW, we find an enhanced performance of operation at magnetic fields above the lower hybrid matching condition

  14. Characteristics of a High Current Helicon Ion Source With High Monatomic Fraction

    International Nuclear Information System (INIS)

    Jung, Hwa-Dong; Chung, Kyoung-Jae; Hwang, Yong-Seok

    2006-01-01

    Applications of neutron need compact and high yield neutron sources as well as very intense neutron sources from giant devices such as accelerators. Ion source based neutron sources using nuclear fusion reactions such as D(d, 3He)n, D(t, 4He)n can meet the requirements. This type of neutron generators can be simply composed of an ion source and a target. High-performance neutron generators with high yield require ion sources with high beam current, high monatomic fraction and long lifetime. Helicon ion source can meet these requirements. To make high current ion source, characteristics of helicon plasma such as high plasma density can be utilized. Moreover, efficient plasma heating with RF power lead high fraction of monatomic ion beam. Here, Characteristics of helicon plasma sources are described. Design and its performances of a helicon ion source are presented

  15. Plasma production from helicon waves

    International Nuclear Information System (INIS)

    Degeling, A.W.; Jung, C.O.; Boswell, R.W.; Ellingboe, A.R.

    1996-01-01

    Experimental measurements taken in a large magnetoplasma show that a simple double half-turn antenna will excite m=1 helicon waves with wavelengths from 10 endash 60 cm. Increased ionization in the center of the downstream plasma is measured when the axial wavelength of the helicon wave becomes less than the characteristic length of the system, typically 50 endash 100 cm. A sharp maximum in the plasma density downstream from the source is measured for a magnetic field of 50 G, where the helicon wave phase velocity is about 3x10 8 cms -1 . Transport of energy away from the source to the downstream region must occur to create the hot electrons needed for the increased ionization. A simple model shows that electrons in a Maxwellian distribution most likely to ionize for these experimental conditions also have a velocity of around 3x10 8 cms -1 . This strong correlation suggests that the helicon wave is trapping electrons in the Maxwellian distribution with velocities somewhat slower than the wave and accelerating them into a quasibeam with velocity somewhat faster than the wave. The nonlinear increase in central density downstream as the power is increased for helicon waves with phase velocities close to the optimum electron velocity for ionization lends support to this idea. copyright 1996 American Institute of Physics

  16. Study of density jump in helicon-wave induced H2 plasma

    International Nuclear Information System (INIS)

    Jiang Fan; Cheng Xinlu; Xiong Zhenwei; Wu Weidong; Wang Yuying; Gao Yingxue; Dai Yang

    2012-01-01

    Hydrogen plasmas electron density and electron energy distribution function EEDF were studied with Langmuir probe. Two jumps were observed in the variation of the electron density with the radio frequency power. The relative intensity ratio of hydrogen plasmas spectrum line H α , H β and H γ validated this phenomenon. Two density jumps illuminated the transition of discharge mode,which labeled as capacitive, inductive and helicon-wave mode. In this work, the density jumps are explained from two sides, one is the interaction between electrons and hydrogen molecules, the other is Nagoya type III (N-type) antenna-plasma coupling. With the increase of radiofrequency power, the interaction between electron and hydrogen molecule has been enhanced which causes the electron density jumps. The antenna couples well to plasmas when transverse field E y is maximum, and the wave vector of k z locates at π/l a or 3π/l a , corresponding to the first and second density jump. (authors)

  17. The discharge characteristics in nitrogen helicon plasma

    Science.gov (United States)

    Zhao, Gao; Wang, Huihui; Si, Xinlu; Ouyang, Jiting; Chen, Qiang; Tan, Chang

    2017-12-01

    Discharge characteristics of helicon plasma in nitrogen and argon-nitrogen mixtures were investigated experimentally by using a Langmuir probe, a B-dot probe, and an optical emission spectrum. Helicon wave discharge is confirmed by the changes of electron density and electromagnetic signal amplitude with the increasing RF power, which shows three discharge stages in nitrogen, corresponding to E-mode, H-mode, and W-mode discharges in helicon plasma, respectively. Discharge images in the radial cross section at different discharge modes through an intensified charge coupled device (ICCD) show a rapid increase in luminous intensity along with the RF power. When the nitrogen discharge is in the W-mode, the images show that the strongest luminance locates near the plasma boundary and no blue core appears in the axial center of tube, which is always observed in argon W-mode discharge. The "big blue" or blue core is a special character in helicon plasma, but it has not been observed in nitrogen helicon plasma. In nitrogen-argon mixtures, a weak blue core is observed in ICCD images since the nitrogen content is increased. The electric field turns to the periphery in the distribution of the radial field and the electron temperature decreases with the increasing nitrogen content, especially when the blue core disappears. The different behaviors of the electron impact and the energy consumption in nitrogen helicon plasma are suggested to be responsible for the decrease in electron energy and the change in the electric field distribution.

  18. Design of a helicon plasma source for ion–ion plasma production

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, N., E-mail: narayan.sharma@cppipr.res.in; Chakraborty, M.; Neog, N.K.; Bandyopadhyay, M.

    2017-04-15

    Highlights: • Development of a helicon plasma system to carry out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. • Determination of initial parameters of helicon plasma source for ion–ion plasma by using dispersion relation of bounded helicon waves. • Design and development of solenoid with magnetic field strength production capability of ∼ 600 G along the axis of the chamber. • Optimization of the chamber parameters using Helic codes and estimation of optimum attainable density. • Estimation of RF power requirements for various gases. - Abstract: A helicon plasma system is being designed and developed at CPP-IPR. The design parameters of the system are deduced from the dispersion relation of bounded helicon waves and the required magnetic fields are simulated by using Poisson Superfish code. The Helic code is used to simulate the power deposition profile for various conditions and to investigate the optimum values of chamber parameters for effective coupling of radio frequency (RF) power to plasma. The helicon source system is aimed at carrying out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. The system mainly consists of a source chamber in which helicon plasma will be produced by injecting RF power at a frequency of 13.56 MHz through a right helical antenna in presence of a DC magnetic field followed by an expansion chamber in which it is expected to produce negative ions along with the positive ions. Installation of the various parts of the system is in progress. The details of the design and development of the system is presented in this article.

  19. Variations of helicon wave induced radial plasma transport in different experimental conditions

    International Nuclear Information System (INIS)

    Petrzilka, V.

    1993-08-01

    Variations of the helicon wave induced radial plasma transport are presented in dependence on values of the plasma radius, magnetostatic field, plasma density, frequency of the helicon wave and on the ion charge. 22 refs., 14 figs

  20. Role of ion magnetization in formation of radial density profile in magnetically expanding plasma produced by helicon antenna

    Science.gov (United States)

    Yadav, Sonu; Ghosh, Soumen; Bose, Sayak; Barada, Kshitish K.; Pal, Rabindranath; Chattopadhyay, Prabal K.

    2018-04-01

    Experimentally, the density profile in the magnetic nozzle of a helicon antenna based plasma device is seen to be modified from being centrally peaked to that of hollow nature as the external magnetic field is increased. It occurs above a characteristic field value when the ions become magnetized in the expansion chamber. The density profile in the source chamber behind the nozzle, however, remains peaked on-axis irrespective of the magnetic field. The electron temperature there is observed to be hollow and this nature is carried to the expansion chamber along the field line. In the electron energy distribution near the off axis peak location, a high energy tail exists. Rotation of these tail electrons in the azimuthal direction due to the gradient-B drift in the expansion chamber leads to an additional off-axis ionization and forms the hollow density profile. It seems that if the ions are not magnetized, then the off-axially produced additional plasma is not confined and the density profile retains the on-axis peak nature. The present experiment successfully demonstrates how the knowledge of the ion magnetization together with tail electrons significantly contributes to the design of an efficient helicon plasma based thruster.

  1. Helicon modes in uniform plasmas. III. Angular momentum

    International Nuclear Information System (INIS)

    Stenzel, R. L.; Urrutia, J. M.

    2015-01-01

    Helicons are electromagnetic waves with helical phase fronts propagating in the whistler mode in magnetized plasmas and solids. They have similar properties to electromagnetic waves with angular momentum in free space. Helicons are circularly polarized waves carrying spin angular momentum and orbital angular momentum due to their propagation around the ambient magnetic field B 0 . These properties have not been considered in the community of researchers working on helicon plasma sources, but are the topic of the present work. The present work focuses on the field topology of helicons in unbounded plasmas, not on helicon source physics. Helicons are excited in a large uniform laboratory plasma with a magnetic loop antenna whose dipole axis is aligned along or across B 0 . The wave fields are measured in orthogonal planes and extended to three dimensions (3D) by interpolation. Since density and B 0 are uniform, small amplitude waves from loops at different locations can be superimposed to generate complex antenna patterns. With a circular array of phase shifted loops, whistler modes with angular and axial wave propagation, i.e., helicons, are generated. Without boundaries radial propagation also arises. The azimuthal mode number m can be positive or negative while the field polarization remains right-hand circular. The conservation of energy and momentum implies that these field quantities are transferred to matter which causes damping or reflection. Wave-particle interactions with fast electrons are possible by Doppler shifted resonances. The transverse Doppler shift is demonstrated. Wave-wave interactions are also shown by showing collisions between different helicons. Whistler turbulence does not always have to be created by nonlinear wave-interactions but can also be a linear superposition of waves from random sources. In helicon collisions, the linear and/or orbital angular momenta can be canceled, which results in a great variety of field topologies. The work

  2. Development of very large helicon plasma source

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro; Tanikawa, Takao

    2004-01-01

    We have developed a very large volume, high-density helicon plasma source, 75 cm in diameter and 486 cm in axial length; full width at half maximum of the plasma density is up to ∼42 cm with good plasma uniformity along the z axis. By the use of a spiral antenna located just outside the end of the vacuum chamber through a quartz-glass window, plasma can be initiated with a very low value of radio frequency (rf) power ( 12 cm -3 is successfully produced with less than several hundred Watt; achieving excellent discharge efficiency. It is possible to control the radial density profile in this device by changing the magnetic field configurations near the antenna and/or the antenna radiation-field patterns

  3. RF compensation of single Langmuir probe in low density helicon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Ghosh, Soumen, E-mail: soumen@ipr.res.in; Chattopadhyay, Prabal K.; Ghosh, Joydeep; Bora, Dhiraj

    2016-11-15

    Highlights: • Appropriate density and temperature measurement with Langmuir probe in RF Eenvironment. • Necessity of large auxiliary electrode for RF compensation at low densities (∼10{sup 16} m{sup −3}). • Measured two temperature electrons in low pressure helicon antenna produced RF plasma. • Tail electrons are localized only at off-axis in our cylindrical plasma system. - Abstract: Interpretations of Single Langmuir probe measurements in electrode-less radio frequency (RF) plasmas are noteworthy tricky and require adequate compensation of RF. Conventional RF compensation technique is limited only at high density (>10{sup 17} m{sup −3}) RF plasmas. RF compensation of single Langmuir probe at low density RF plasmas (∼10{sup 16} m{sup −3}) is presented in this paper. In RF driven plasmas, where the RF voltage is high (∼50 V) and density is in the range (∼10{sup 16} m{sup −3}), the primary RF compensation condition (Z{sub ck} > >Z{sub sh}) is very difficult to fulfill, because of high sheath impedance (Z{sub sh}) at 13.56 MHz and the construction limitation of a self-resonant tiny chock (Z{sub ck}) with very high impedance. Introducing a large auxiliary electrode (A{sub x}), (A{sub x} >>> A{sub p}), close to the small Langmuir probe (A{sub p}) tip, connected in parallel with probe via a coupling capacitor (C{sub cp}), significantly reduces the effective sheath impedance (Z{sub sh}) and allows probe bias to follow the RF oscillation. Dimensional requirements of the auxiliary electrode and the role of suitable coupling capacitor are discussed in this paper. Observations show proper compensation leads to estimation of more positive floating potentials and lower electron temperatures compared to uncompensated probe. The electron energy probability function (EEPF) is also obtained by double differentiating the collected current with respect to the applied bias voltage using an active analog circuit.

  4. Plasma simulation in space propulsion : the helicon plasma thruster

    OpenAIRE

    Navarro Cavallé, Jaume

    2017-01-01

    The Helicon Plasma Thruster (HPT) is an electrodynamic rocket proposed in the early 2000s. It matches an Helicon Plasma Source (HPS), which ionizes the neutral gas and heats up the plasma, with aMagneticNozzle (MN),where the plasma is supersonically accelerated resulting in thrust. Although the core of this thruster inherits the knowledge on Helicon Plasma sources, dated from the seventies, the HPT technology is still not developed and remains below TRL 4. A deep review of the HPT State-of-ar...

  5. High-beta plasma effects in a low-pressure helicon plasma

    International Nuclear Information System (INIS)

    Corr, C. S.; Boswell, R. W.

    2007-01-01

    In this work, high-beta plasma effects are investigated in a low-pressure helicon plasma source attached to a large volume diffusion chamber. When operating above an input power of 900 W and a magnetic field of 30 G a narrow column of bright blue light (due to Ar II radiation) is observed along the axis of the diffusion chamber. With this blue mode, the plasma density is axially very uniform in the diffusion chamber; however, the radial profiles are not, suggesting that a large diamagnetic current might be induced. The diamagnetic behavior of the plasma has been investigated by measuring the temporal evolution of the magnetic field (B z ) and the plasma kinetic pressure when operating in a pulsed discharge mode. It is found that although the electron pressure can exceed the magnetic field pressure by a factor of 2, a complete expulsion of the magnetic field from the plasma interior is not observed. In fact, under our operating conditions with magnetized ions, the maximum diamagnetism observed is ∼2%. It is observed that the magnetic field displays the strongest change at the plasma centre, which corresponds to the maximum in the plasma kinetic pressure. These results suggest that the magnetic field diffuses into the plasma sufficiently quickly that on a long time scale only a slight perturbation of the magnetic field is ever observed

  6. Neutral-depletion-induced axially asymmetric density in a helicon source and imparted thrust

    Science.gov (United States)

    Takahashi, Kazunori; Takao, Yoshinori; Ando, Akira

    2016-02-01

    The high plasma density downstream of the source is observed to be sustained only for a few hundreds of microsecond at the initial phase of the discharge, when pulsing the radiofrequency power of a helicon plasma thruster. Measured relative density of argon neutrals inside the source implies that the neutrals are significantly depleted there. A position giving a maximum plasma density temporally moves to the upstream side of the source due to the neutral depletion and then the exhausted plasma density significantly decreases. The direct thrust measurement demonstrates that the higher thrust-to-power ratio is obtained by using only the initial phase of the high density plasma, compared with the steady-state operation.

  7. Helicon wave coupling to a chiral-plasma column

    International Nuclear Information System (INIS)

    Torres-Silva, H.; Reggiani, N.; Sakanaka, P.H.

    1995-01-01

    Inductive helicon wave coupling to a chiro-plasma column is studied numerically. In our theoretical model, the RF current distribution of the chiro-plasma is taken into account using the constitutive relations of a chiral-plasma. Computational results based on the data of present-day helicon devices are show. In particular, we discuss the role of magnetic-field-aligned electron landau damping for the helicon wave absorption. In many a see, the numerical findings can be understood reasonably in terms of the wavenumber spectra of the helicon wave dispersion relation for slow and fast wave of a chiral-plasma. In general however, the full electromagnetic treatment is necessary in order to describe and to understand the inductive coupling in the helicon wave regime. (author). 9 refs., 1 fig

  8. Ion energy characteristics downstream of a high power helicon

    International Nuclear Information System (INIS)

    Prager, James; Winglee, Robert; Ziemba, Tim; Roberson, B Race; Quetin, Gregory

    2008-01-01

    The High Power Helicon eXperiment operates at higher powers (37 kW) and lower background neutral pressure than other helicon experiments. The ion velocity distribution function (IVDF) has been measured at multiple locations downstream of the helicon source and a mach 3-6 flowing plasma was observed. The helicon antenna has a direct effect in accelerating the plasma downstream of the source. Also, the IVDF is affected by the cloud of neutrals from the initial gas puff, which keeps the plasma speed low at early times near the source.

  9. Ion energy characteristics downstream of a high power helicon

    Energy Technology Data Exchange (ETDEWEB)

    Prager, James; Winglee, Robert; Ziemba, Tim; Roberson, B Race; Quetin, Gregory [University of Washington, Johnson Hall 070, Box 351310, 4000 15th Avenue NE, Seattle, WA 98195-1310 (United States)], E-mail: jprager@u.washington.edu

    2008-05-01

    The High Power Helicon eXperiment operates at higher powers (37 kW) and lower background neutral pressure than other helicon experiments. The ion velocity distribution function (IVDF) has been measured at multiple locations downstream of the helicon source and a mach 3-6 flowing plasma was observed. The helicon antenna has a direct effect in accelerating the plasma downstream of the source. Also, the IVDF is affected by the cloud of neutrals from the initial gas puff, which keeps the plasma speed low at early times near the source.

  10. Three-dimensional modeling of the neutral gas depletion effect in a helicon discharge plasma

    Science.gov (United States)

    Kollasch, Jeffrey; Schmitz, Oliver; Norval, Ryan; Reiter, Detlev; Sovinec, Carl

    2016-10-01

    Helicon discharges provide an attractive radio-frequency driven regime for plasma, but neutral-particle dynamics present a challenge to extending performance. A neutral gas depletion effect occurs when neutrals in the plasma core are not replenished at a sufficient rate to sustain a higher plasma density. The Monte Carlo neutral particle tracking code EIRENE was setup for the MARIA helicon experiment at UW Madison to study its neutral particle dynamics. Prescribed plasma temperature and density profiles similar to those in the MARIA device are used in EIRENE to investigate the main causes of the neutral gas depletion effect. The most dominant plasma-neutral interactions are included so far, namely electron impact ionization of neutrals, charge exchange interactions of neutrals with plasma ions, and recycling at the wall. Parameter scans show how the neutral depletion effect depends on parameters such as Knudsen number, plasma density and temperature, and gas-surface interaction accommodation coefficients. Results are compared to similar analytic studies in the low Knudsen number limit. Plans to incorporate a similar Monte Carlo neutral model into a larger helicon modeling framework are discussed. This work is funded by the NSF CAREER Award PHY-1455210.

  11. Waves generated in the plasma plume of helicon magnetic nozzle

    International Nuclear Information System (INIS)

    Singh, Nagendra; Rao, Sathyanarayan; Ranganath, Praveen

    2013-01-01

    Experimental measurements have shown that the plasma plume created in a helicon plasma device contains a conical structure in the plasma density and a U-shaped double layer (US-DL) tightly confined near the throat where plasma begins to expand from the source. Recently reported two-dimensional particle-in-cell simulations verified these density and US-DL features of the plasma plume. Simulations also showed that the plasma in the plume develops non-thermal feature consisting of radial ion beams with large densities near the conical surface of the density structure. The plasma waves that are generated by the radial ion beams affecting the structure of the plasma plume are studied here. We find that most intense waves persist in the high-density regions of the conical density structure, where the transversely accelerated ions in the radial electric fields in the plume are reflected setting up counter-streaming. The waves generated are primarily ion Bernstein modes. The nonlinear evolution of the waves leads to magnetic field-aligned striations in the fields and the plasma near the conical surface of the density structure.

  12. Waves generated in the plasma plume of helicon magnetic nozzle

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Nagendra; Rao, Sathyanarayan; Ranganath, Praveen [Department of Electrical and Computer Engineering, University of Alabama, Huntsville, Alabama 35899 (United States)

    2013-03-15

    Experimental measurements have shown that the plasma plume created in a helicon plasma device contains a conical structure in the plasma density and a U-shaped double layer (US-DL) tightly confined near the throat where plasma begins to expand from the source. Recently reported two-dimensional particle-in-cell simulations verified these density and US-DL features of the plasma plume. Simulations also showed that the plasma in the plume develops non-thermal feature consisting of radial ion beams with large densities near the conical surface of the density structure. The plasma waves that are generated by the radial ion beams affecting the structure of the plasma plume are studied here. We find that most intense waves persist in the high-density regions of the conical density structure, where the transversely accelerated ions in the radial electric fields in the plume are reflected setting up counter-streaming. The waves generated are primarily ion Bernstein modes. The nonlinear evolution of the waves leads to magnetic field-aligned striations in the fields and the plasma near the conical surface of the density structure.

  13. On helicon wave induced radial plasma transport

    International Nuclear Information System (INIS)

    Petrzilka, V.

    1993-04-01

    Estimates of helicon wave induced radial plasma transport are presented. The wave induced transport grows or decreases in dependence on the sign of the azimuthal wave number; these changes in transport may play an important role in helicon wave plasma sources. (author) 5 figs., 18 refs

  14. Helicon normal modes in Proto-MPEX

    Science.gov (United States)

    Piotrowicz, P. A.; Caneses, J. F.; Green, D. L.; Goulding, R. H.; Lau, C.; Caughman, J. B. O.; Rapp, J.; Ruzic, D. N.

    2018-05-01

    The Proto-MPEX helicon source has been operating in a high electron densityhelicon-mode’. Establishing plasma densities and magnetic field strengths under the antenna that allow for the formation of normal modes of the fast-wave are believed to be responsible for the ‘helicon-mode’. A 2D finite-element full-wave model of the helicon antenna on Proto-MPEX is used to identify the fast-wave normal modes responsible for the steady-state electron density profile produced by the source. We also show through the simulation that in the regions of operation in which core power deposition is maximum the slow-wave does not deposit significant power besides directly under the antenna. In the case of a simulation where a normal mode is not excited significant edge power is deposited in the mirror region. ).

  15. Experimental measurements of Helicon wave coupling in KSTAR plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kim, H. J.; Wi, H. H.; Wang, S. J.; Park, S. Y.; Jeong, J. H.; Han, J. W.; Kwak, J. G.; Oh, Y. K. [National Fusion Research Institute, Daejeon (Korea, Republic of); Chun, M. H.; Yu, I. H. [Pohang Accelerator Laboratory, Pohang (Korea, Republic of)

    2016-05-15

    KSTAR tokamak can be a good platform to test this current drive concept because it has adequate machine parameters. Furthermore, KSTAR will have high electron beta plasmas in near future with additional ECH power. In 2015 KSTAR experiments, low-power traveling wave antenna has been designed, fabricated and installed for helicon wave coupling tests in KSTAT plasmas. In 2016 KSTAR campaign, 200 kW klystron power will be combined using three coaxial hybrid couplers and three dummy loads. High power RF will be fed into the traveling wave antenna with two coaxial feeders through two dual disk windows and 6 inch coaxial transmission line system. Current status and plan for high power helicon wave current drive system in KSTAR will be presented. Mock-up TWA antenna installed at the KSTAR reveals high couplings in both L- and H-mode plasmas. The coupling can be easily controlled by radial outer gap without degradation of plasma confinement or local gas puffing with slight decrease of plasma confinement.

  16. Analysis of the Plasma Properties Affected by Magnetic Confinement with Special Emphasis on Helicon Discharges

    International Nuclear Information System (INIS)

    Cheng Yuguo; Cheng Mousen; Wang Moge; Yang Xiong; Li Xiaokang

    2014-01-01

    A one-dimensional radial non-uniform fluid model is employed to study plasma behaviors with special emphasis laid on helicon discharges. The plasma density n e , electron temperature T e , electron azimuthal and radial drift velocities are investigated in terms of the plasma radius r p , magnetic field intensity B 0 and gas pressure p 0 , by assuming radial ambipolar diffusion and negligible ion cyclotron movement. The results show that the magnetic confinement plays an important role in the discharge equilibrium, especially at low pressure, which significantly reduces T e compared with the case of a negligible magnetic field effect, and higher B 0 leads to a greater average plasma density. T e shows little variations in the plasma density range of 10 11 cm −3 –10 13 cm −3 for p 0 < 3.0 mTorr. Comparison of the simulation results with experiments suggests that the model can make reasonable predictions of T e in low pressure helicon discharges. (low temperature plasma)

  17. Electron temperature measurement by a helium line intensity ratio method in helicon plasmas

    International Nuclear Information System (INIS)

    Boivin, R.F.; Kline, J.L.; Scime, E.E.

    2001-01-01

    Electron temperature measurements in helicon plasmas are difficult. The presence of intense rf fields in the plasma complicates the interpretation of Langmuir probe measurements. Furthermore, the non-negligible ion temperature in the plasma considerably shortens the lifetime of conventional Langmuir probes. A spectroscopic technique based on the relative intensities of neutral helium lines is used to measure the electron temperature in the HELIX (Hot hELicon eXperiment) plasma [P. A. Keiter et al., Phys. Plasmas 4, 2741 (1997)]. This nonintrusive diagnostic is based on the fact that electron impact excitation rate coefficients for helium singlet and triplet states differ as a function of the electron temperature. The different aspects related to the validity of this technique to measure the electron temperature in rf generated plasmas are discussed in this paper. At low plasma density (n e ≤10 11 cm -3 ), this diagnostic is believed to be very reliable since the population of the emitting level can be easily estimated with reasonable accuracy by assuming that all excitation originates from the ground state (steady-state corona model). At higher density, secondary processes (excitation transfer, excitation from metastable, cascading) become more important and a more complex collisional radiative model must be used to predict the electron temperature. In this work, different helium transitions are examined and a suitable transition pair is identified. For an electron temperature of 10 eV, the line ratio is measured as a function of plasma density and compared to values predicted by models. The measured line ratio function is in good agreement with theory and the data suggest that the excitation transfer is the dominant secondary process in high-density plasmas

  18. Reduction of plasma density in the Helicity Injected Torus with Steady Inductance experiment by using a helicon pre-ionization source

    International Nuclear Information System (INIS)

    Hossack, Aaron C.; Jarboe, Thomas R.; Victor, Brian S.; Firman, Taylor; Prager, James R.; Ziemba, Timothy; Wrobel, Jonathan S.

    2013-01-01

    A helicon based pre-ionization source has been developed and installed on the Helicity Injected Torus with Steady Inductance (HIT-SI) spheromak. The source initiates plasma breakdown by injecting impurity-free, unmagnetized plasma into the HIT-SI confinement volume. Typical helium spheromaks have electron density reduced from (2–3) × 10 19 m −3 to 1 × 10 19 m −3 . Deuterium spheromak formation is possible with density as low as 2 × 10 18 m −3 . The source also enables HIT-SI to be operated with only one helicity injector at injector frequencies above 14.5 kHz. A theory explaining the physical mechanism driving the reduction of breakdown density is presented

  19. Injection of a coaxial-gun-produced magnetized plasma into a background helicon plasma

    Science.gov (United States)

    Zhang, Yue; Lynn, Alan; Gilmore, Mark; Hsu, Scott

    2014-10-01

    A compact coaxial plasma gun is employed for experimental investigation of plasma bubble relaxation into a lower density background plasma. Experiments are being conducted in the linear device HelCat at UNM. The gun is powered by a 120-uF ignitron-switched capacitor bank, which is operated in a range of 5 to 10 kV and 100 kA. Multiple diagnostics are employed to investigate the plasma relaxation process. Magnetized argon plasma bubbles with velocities 1.2Cs, densities 1020 m-3 and electron temperature 13eV have been achieved. The background helicon plasma has density 1013 m-3, magnetic field from 200 to 500 Gauss and electron temperature 1eV. Several distinct operational regimes with qualitatively different dynamics are identified by fast CCD camera images. Additionally a B-dot probe array has been employed to measure the spatial toroidal and poloidal magnetic flux evolution to identify plasma bubble configurations. Experimental data and analysis will be presented.

  20. Helicon plasma generator-assisted surface conversion ion source for the production of H(-) ion beams at the Los Alamos Neutron Science Center.

    Science.gov (United States)

    Tarvainen, O; Rouleau, G; Keller, R; Geros, E; Stelzer, J; Ferris, J

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H(-) ion beams in a filament-driven discharge. In this kind of an ion source the extracted H(-) beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H(-) converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H(-) ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H(-) ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H(-) production (main discharge) in order to further improve the brightness of extracted H(-) ion beams.

  1. Helicon plasma generator-assisted surface conversion ion source for the production of H- ion beams at the Los Alamos Neutron Science Centera)

    Science.gov (United States)

    Tarvainen, O.; Rouleau, G.; Keller, R.; Geros, E.; Stelzer, J.; Ferris, J.

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H- ion beams in a filament-driven discharge. In this kind of an ion source the extracted H- beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H- converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H- ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H- ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H- production (main discharge) in order to further improve the brightness of extracted H- ion beams.

  2. Helicon plasma generator-assisted surface conversion ion source for the production of H- ion beams at the Los Alamos Neutron Science Center

    International Nuclear Information System (INIS)

    Tarvainen, O.; Rouleau, G.; Keller, R.; Geros, E.; Stelzer, J.; Ferris, J.

    2008-01-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H - ion beams in a filament-driven discharge. In this kind of an ion source the extracted H - beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H - converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H - ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H - ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H - production (main discharge) in order to further improve the brightness of extracted H - ion beams

  3. Helicon wave field measurements in Proto-MPEX

    Science.gov (United States)

    Caneses, Juan Francisco; Piotrowicz, Pawel; Goulding, Richard; Caughman, John; Showers, Missy; Kafle, Nischal; Rapp, Juergen; Campbell, Ian; Proto-MPEX Team

    2016-10-01

    A high density Deuterium discharge (ne 5e19 m-3, Te 4 eV) has been recently observed in ProtoMPEX (Prototype Material Plasma Exposure eXperiment). The discharge (100 kW, 13.56 MHz, D2, 700 G at the source, 1e4 G at the Target) begins with a low density plasma with hollow Te profile and transitions in about 100 ms to a high density mode with flat Te profile. It is believed that the transition to the high density mode is produced by a ``helicon resonance'' as evidenced by the centrally-peaked power deposition profile observed with IR imaging on a 2 mm thick metallic target plate. In this work, we present b-dot probe measurements of the radial helicon wavefields 30 cm downstream of the antenna during both the low and high density modes. In addition, we compare the experimental results with full wave simulations. This manuscript has been authored by UT-Battelle, LLC, under Contract No. DE-AC05-00OR22725 with the U.S. Department of Energy.

  4. Reduction of plasma density in the Helicity Injected Torus with Steady Inductance experiment by using a helicon pre-ionization source

    Energy Technology Data Exchange (ETDEWEB)

    Hossack, Aaron C.; Jarboe, Thomas R.; Victor, Brian S. [Department of Aeronautics and Astronautics, University of Washington, Seattle, Washington 98195 (United States); Firman, Taylor; Prager, James R.; Ziemba, Timothy [Eagle Harbor Technologies, Inc., 119 W. Denny Way, Suite 210, Seattle, Washington 98119 (United States); Wrobel, Jonathan S. [979B West Moorhead Circle, Boulder, Colorado 80305 (United States)

    2013-10-15

    A helicon based pre-ionization source has been developed and installed on the Helicity Injected Torus with Steady Inductance (HIT-SI) spheromak. The source initiates plasma breakdown by injecting impurity-free, unmagnetized plasma into the HIT-SI confinement volume. Typical helium spheromaks have electron density reduced from (2–3) × 10{sup 19} m{sup −3} to 1 × 10{sup 19} m{sup −3}. Deuterium spheromak formation is possible with density as low as 2 × 10{sup 18} m{sup −3}. The source also enables HIT-SI to be operated with only one helicity injector at injector frequencies above 14.5 kHz. A theory explaining the physical mechanism driving the reduction of breakdown density is presented.

  5. Performance of a permanent-magnet helicon source at 27 and 13 MHz

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Francis F. [Electrical Engineering Department, University of California, Los Angeles, California 90095 (United States)

    2012-09-15

    A small helicon source is used to create dense plasma and inject it into a large chamber. A permanent magnet is used for the dc magnetic field (B-field), making the system very simple and compact. Though theory predicts that better antenna coupling will occur at 27.12 MHz, it was found that 13.56 MHz surprisingly gives even higher density due to practical effects not included in theory. Complete density n and electron temperature T{sub e} profiles are measured at three distances below the source. The plasma inside the source is also measured with a special probe, even under the antenna. The density there is lower than expected because the plasma created is immediately ejected, filling the experimental chamber. The advantage of helicons over inductively coupled plasmas (with no B-field) increases with RF power. At high B-fields, edge ionization by the Trivelpiece-Gould mode can be seen. These results are useful for design of multiple-tube, large-area helicon sources for plasma etching and deposition because problems are encountered which cannot be foreseen by theory alone.

  6. Performance evaluation of a permanent ring magnet based helicon plasma source for negative ion source research

    Science.gov (United States)

    Pandey, Arun; Bandyopadhyay, M.; Sudhir, Dass; Chakraborty, A.

    2017-10-01

    Helicon wave heated plasmas are much more efficient in terms of ionization per unit power consumed. A permanent magnet based compact helicon wave heated plasma source is developed in the Institute for Plasma Research, after carefully optimizing the geometry, the frequency of the RF power, and the magnetic field conditions. The HELicon Experiment for Negative ion-I source is the single driver helicon plasma source that is being studied for the development of a large sized, multi-driver negative hydrogen ion source. In this paper, the details about the single driver machine and the results from the characterization of the device are presented. A parametric study at different pressures and magnetic field values using a 13.56 MHz RF source has been carried out in argon plasma, as an initial step towards source characterization. A theoretical model is also presented for the particle and power balance in the plasma. The ambipolar diffusion process taking place in a magnetized helicon plasma is also discussed.

  7. Helicon plasma ion temperature measurements and observed ion cyclotron heating in proto-MPEX

    Science.gov (United States)

    Beers, C. J.; Goulding, R. H.; Isler, R. C.; Martin, E. H.; Biewer, T. M.; Caneses, J. F.; Caughman, J. B. O.; Kafle, N.; Rapp, J.

    2018-01-01

    The Prototype-Material Plasma Exposure eXperiment (Proto-MPEX) linear plasma device is a test bed for exploring and developing plasma source concepts to be employed in the future steady-state linear device Material Plasma Exposure eXperiment (MPEX) that will study plasma-material interactions for the nuclear fusion program. The concept foresees using a helicon plasma source supplemented with electron and ion heating systems to reach necessary plasma conditions. In this paper, we discuss ion temperature measurements obtained from Doppler broadening of spectral lines from argon ion test particles. Plasmas produced with helicon heating alone have average ion temperatures downstream of the Helicon antenna in the range of 3 ± 1 eV; ion temperature increases to 10 ± 3 eV are observed with the addition of ion cyclotron heating (ICH). The temperatures are higher at the edge than the center of the plasma either with or without ICH. This type of profile is observed with electrons as well. A one-dimensional RF antenna model is used to show where heating of the plasma is expected.

  8. Conceptual design of a permanent ring magnet based helicon plasma source module intended to be used in a large size fusion grade ion source

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, Arun; Sudhir, Dass; Bandyopadhyay, M., E-mail: mainak@iter-india.org; Chakraborty, A.

    2016-02-15

    A conceptual design of a permanent magnet based single driver helicon plasma source module along with its design approach is described in this paper. The module unit is intended to be used in a large size ion source. The conceptual design of the helicon source module has been carried out using a computer code, HELIC. The magnetic field topology for the ring magnet is simulated with another code, BFieldM and the magnetic field values obtained from the calculation are further used as input in HELIC calculation for the conceptual design. The module is conceptualized based on a cylindrical glass vessel to produce plasma of diameter ∼50 mm, height ∼50 mm. The inner diameter of the permanent ring magnets is also of the same dimension with thickness ∼10 mm each, placed slightly above the backplate to maintain the required magnetic field. The simulated results show that for hydrogen gas, expected plasma density can be achieved as high as ∼10{sup 12}–10{sup 13} cm{sup −3} in the proposed helicon source configuration using 1 kW 13.56 MHz RF generator. An experimental setup to characterize a Helicon source module unit, consisting of a cylindrical glass (plasma) chamber along with the vacuum system, RF power supplies, probes and data acquisition system is being installed.

  9. Development of a compact permanent magnet helicon plasma source for ion beam bioengineering

    Energy Technology Data Exchange (ETDEWEB)

    Kerdtongmee, P.; Srinoum, D.; Nisoa, M. [Plasma Technology for Agricultural Applications Research Laboratory, School of Science, Walailak University, Nakhon Si Thammarat 80161 (Thailand); ThEP Center, CHE, 328 Si Ayutthaya Rd., Bangkok 10400 (Thailand)

    2011-10-15

    A compact helicon plasma source was developed as a millimeter-sized ion source for ion beam bioengineering. By employing a stacked arrangement of annular-shaped permanent magnets, a uniform axial magnetic flux density up to 2.8 kG was obtained. A cost effective 118 MHz RF generator was built for adjusting forward output power from 0 to 40 W. The load impedance and matching network were then analyzed. A single loop antenna and circuit matching elements were placed on a compact printed circuit board for 50 {Omega} impedance matching. A plasma density up to 1.1 x 10{sup 12} cm{sup -3} in the 10 mm diameter tube under the magnetic flux density was achieved with 35 W applied RF power.

  10. Development of a compact permanent magnet helicon plasma source for ion beam bioengineering.

    Science.gov (United States)

    Kerdtongmee, P; Srinoum, D; Nisoa, M

    2011-10-01

    A compact helicon plasma source was developed as a millimeter-sized ion source for ion beam bioengineering. By employing a stacked arrangement of annular-shaped permanent magnets, a uniform axial magnetic flux density up to 2.8 kG was obtained. A cost effective 118 MHz RF generator was built for adjusting forward output power from 0 to 40 W. The load impedance and matching network were then analyzed. A single loop antenna and circuit matching elements were placed on a compact printed circuit board for 50 Ω impedance matching. A plasma density up to 1.1 × 10(12) cm(-3) in the 10 mm diameter tube under the magnetic flux density was achieved with 35 W applied RF power.

  11. Helicon plasma with additional immersed antenna

    International Nuclear Information System (INIS)

    Aanesland, A; Charles, C; Boswell, R W; Fredriksen, A

    2004-01-01

    A 'primary' RF power (H-power) at 13.56 MHz is coupled to a plasma source excited by an external double saddle field Helicon antenna. A 'secondary' RF power (S-power), also at 13.56 MHz but with variable phase, is additionally coupled by inserting a second antenna in contact with the plasma through one end of the source. The immersed antenna can be grounded or floating, allowing a self-bias to form in the latter case. Changes in the plasma density and electron temperature are measured in both cases with varying power on the immersed antenna. The plasma potential increases dramatically with S-power in the grounded case, and is found to be similar in size to the sum of the plasma potential and the self-bias formed in the floating case for all powers. Hence, the sheath between the immersed antenna and the plasma is shown to be equal in both the grounded and floating cases. Although the power efficiency does not vary significantly as a function of the S-power, it is consistently lower for the grounded case possibly as a result of a dc current to ground. The plasma parameters are drastically changed as the phase between the two antennae are varied (floating case), and a sinusoidal function was fitted to the plasma parameters as a function of the phase shift. The calculated power loss to the antenna indicates that the power efficiency of the immersed antenna, as the phase is changed, is altered from 80% to 10%

  12. Numerical simulation of current-free double layers created in a helicon plasma device

    Science.gov (United States)

    Rao, Sathyanarayan; Singh, Nagendra

    2012-09-01

    Two-dimensional simulations reveal that when radially confined source plasma with magnetized electrons and unmagnetized ions expands into diverging magnetic field B, a current-free double layer (CFDL) embedded in a conical density structure forms, as experimentally measured in the Australian helicon plasma device (HPD). The magnetized electrons follow the diverging B while the unmagnetized ions tend to flow directly downstream of the source, resulting in a radial electric field (E⊥) structure, which couples the ion and electron flows. Ions are transversely (radially) accelerated by E⊥ on the high potential side of the double layer in the CFDL. The accelerated ions are trapped near the conical surface, where E⊥ reverses direction. The potential structure of the CFDL is U-shaped and the plasma density is enhanced on the conical surface. The plasma density is severely depleted downstream of the parallel potential drop (φ||o) in the CFDL; the density depletion and the potential drop are related by quasi-neutrality condition, including the divergence in the magnetic field and in the plasma flow in the conical structure. The potential and density structures, the CFDL spatial size, its electric field strengths and the electron and ion velocities and energy distributions in the CFDL are found to be in good agreements with those measured in the Australian experiment. The applicability of our results to measured axial potential profiles in magnetic nozzle experiments in HPDs is discussed.

  13. Radially sheared azimuthal flows and turbulent transport in a cylindrical helicon plasma device

    International Nuclear Information System (INIS)

    Tynan, G R; Burin, M J; Holland, C; Antar, G; Diamond, P H

    2004-01-01

    A radially sheared azimuthal flow is observed in a cylindrical helicon plasma device. The shear flow is roughly azimuthally symmetric and contains both time-stationary and slowly varying components. The turbulent radial particle flux is found to peak near the density gradient maximum and vanishes at the shear layer location. The shape of the radial plasma potential profile associated with the azimuthal E x B flow is predicted accurately by theory. The existence of the mean shear flow in a plasma with finite flow damping from ion-neutral collisions and no external momentum input implies the existence of radial angular momentum transport from the turbulent Reynolds-stress

  14. Excitation and absorption of electromagnetic waves in helicon discharges by plasma immersed antennas

    International Nuclear Information System (INIS)

    Cho, S.

    1998-01-01

    Excitation and absorption of electromagnetic waves are numerically studied for helicon discharges driven by antennas immersed in the plasma. The Maxwell equations are reduced to a set of ordinary differential equations, which are solved for radially inhomogeneous plasmas by using the shooting method. Numerical results show that the plasma resistance is much larger and its peaks due to eigenmode resonance appear at higher densities for the immersed antenna case than for the case of the antenna located outside the plasma under otherwise same conditions. It is also found that the m=-1 mode can be excited in the nonuniform plasma with an inner antenna, while it can be hardly excited when the plasma is driven by an outer antenna. In addition, the fast wave approximation neglecting the electron inertia is discussed. (author)

  15. Investigation of the helicon discharge plasma parameters in a hybrid RF plasma system

    International Nuclear Information System (INIS)

    Aleksandrov, A. F.; Petrov, A. K.; Vavilin, K. V.; Kralkina, E. A.; Neklyudova, P. A.; Nikonov, A. M.; Pavlov, V. B.; Ayrapetov, A. A.; Odinokov, V. V.; Sologub, V. A.; Pavlov, G. Ya.

    2016-01-01

    Results of an experimental study of the helicon discharge plasma parameters in a prototype of a hybrid RF plasma system equipped with a solenoidal antenna are described. It is shown that an increase in the external magnetic field leads to the formation of a plasma column and a shift of the maximum ion current along the discharge axis toward the bottom flange of the system. The shape of the plasma column can be controlled via varying the configuration of the magnetic field.

  16. Investigation of the helicon discharge plasma parameters in a hybrid RF plasma system

    Energy Technology Data Exchange (ETDEWEB)

    Aleksandrov, A. F.; Petrov, A. K., E-mail: alpetrov57@gmail.com; Vavilin, K. V.; Kralkina, E. A.; Neklyudova, P. A.; Nikonov, A. M.; Pavlov, V. B. [Moscow State University, Faculty of Physics (Russian Federation); Ayrapetov, A. A.; Odinokov, V. V.; Sologub, V. A.; Pavlov, G. Ya. [Research Institute of Precision Engineering (Russian Federation)

    2016-03-15

    Results of an experimental study of the helicon discharge plasma parameters in a prototype of a hybrid RF plasma system equipped with a solenoidal antenna are described. It is shown that an increase in the external magnetic field leads to the formation of a plasma column and a shift of the maximum ion current along the discharge axis toward the bottom flange of the system. The shape of the plasma column can be controlled via varying the configuration of the magnetic field.

  17. Facility Effects on a Helicon Plasma Source with a Magnetic Nozzle

    Data.gov (United States)

    National Aeronautics and Space Administration — Proposed here is an analysis of facility effects on a small helicon plasma source with a magnetic nozzle. Backpressure effects will first be recorded and analyzed....

  18. Spectrometer Development in Support of Thomson Scattering Investigations for the Helicon Plasma Experiment (HPX)

    Science.gov (United States)

    Sandri, Eva; Davies, Richard; Azzari, Phil; Frank, John; Frank, Jackson; James, Royce; Hopson, Jordon; Duke-Tinson, Omar; Paolino, Richard; Sherman, Justin; Wright, Erin; Turk, Jeremy

    2016-10-01

    Now that reproducible plasmas have been created on the Helicon Plasma Experiment (HPX) at the Coast Guard Academy Plasma Laboratory (CGAPL), a high-performance spectrometer utilizing volume-phase-holographic (VPH) grating and a charge coupled device (CCD) camera with a range of 380-1090 nm and resolution of 1024x1024 is being assembled. This spectrometer will collect doppler shifted photons created by exciting the plasma with the first harmonic of a 2.5 J Nd:YAG laser at a wavelength of 1064 nm. Direct measurements of the plasma's temperature and density will be determined using HPX's Thomson Scattering (TS) system as a single spatial point diagnostic. TS has the capability of determining plasma properties on short time scales and will be used to create a robust picture of the internal plasma parameters. A prototype spectrometer has been constructed to explore the Andor CCD camera's resolution and sensitivity. Concurrently, through intensive study of the high energy TS system, safety protocols and standard operation procedures (SOP) for the Coast Guard's largest and most powerful Laser have been developed. The current status of the TS SOP, diagnostic development, and the collection optic's spectrometer will be reported. Supported by U.S. DEPS Grant [HEL-JTO] PRWJFY15-16.

  19. Helicons in uniform fields. I. Wave diagnostics with hodograms

    Science.gov (United States)

    Urrutia, J. M.; Stenzel, R. L.

    2018-03-01

    The wave equation for whistler waves is well known and has been solved in Cartesian and cylindrical coordinates, yielding plane waves and cylindrical waves. In space plasmas, waves are usually assumed to be plane waves; in small laboratory plasmas, they are often assumed to be cylindrical "helicon" eigenmodes. Experimental observations fall in between both models. Real waves are usually bounded and may rotate like helicons. Such helicons are studied experimentally in a large laboratory plasma which is essentially a uniform, unbounded plasma. The waves are excited by loop antennas whose properties determine the field rotation and transverse dimensions. Both m = 0 and m = 1 helicon modes are produced and analyzed by measuring the wave magnetic field in three dimensional space and time. From Ampère's law and Ohm's law, the current density and electric field vectors are obtained. Hodograms for these vectors are produced. The sign ambiguity of the hodogram normal with respect to the direction of wave propagation is demonstrated. In general, electric and magnetic hodograms differ but both together yield the wave vector direction unambiguously. Vector fields of the hodogram normal yield the phase flow including phase rotation for helicons. Some helicons can have locally a linear polarization which is identified by the hodogram ellipticity. Alternatively the amplitude oscillation in time yields a measure for the wave polarization. It is shown that wave interference produces linear polarization. These observations emphasize that single point hodogram measurements are inadequate to determine the wave topology unless assuming plane waves. Observations of linear polarization indicate wave packets but not plane waves. A simple qualitative diagnostics for the wave polarization is the measurement of the magnetic field magnitude in time. Circular polarization has a constant amplitude; linear polarization results in amplitude modulations.

  20. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  1. Development of a helicon ion source: Simulations and preliminary experiments

    Science.gov (United States)

    Afsharmanesh, M.; Habibi, M.

    2018-03-01

    In the present context, the extraction system of a helicon ion source has been simulated and constructed. Results of the ion source commissioning at up to 20 kV are presented as well as simulations of an ion beam extraction system. Argon current of more than 200 μA at up to 20 kV is extracted and is characterized with a Faraday cup and beam profile monitoring grid. By changing different ion source parameters such as RF power, extraction voltage, and working pressure, an ion beam with current distribution exhibiting a central core has been detected. Jump transition of ion beam current emerges at the RF power near to 700 W, which reveals that the helicon mode excitation has reached this power. Furthermore, measuring the emission line intensity of Ar ii at 434.8 nm is the other way we have used for demonstrating the mode transition from inductively coupled plasma to helicon. Due to asymmetrical longitudinal power absorption of a half-helix helicon antenna, it is used for the ion source development. The modeling of the plasma part of the ion source has been carried out using a code, HELIC. Simulations are carried out by taking into account a Gaussian radial plasma density profile and for plasma densities in range of 1018-1019 m-3. Power absorption spectrum and the excited helicon mode number are obtained. Longitudinal RF power absorption for two different antenna positions is compared. Our results indicate that positioning the antenna near to the plasma electrode is desirable for the ion beam extraction. The simulation of the extraction system was performed with the ion optical code IBSimu, making it the first helicon ion source extraction designed with the code. Ion beam emittance and Twiss parameters of the ellipse emittance are calculated at different iterations and mesh sizes, and the best values of the mesh size and iteration number have been obtained for the calculations. The simulated ion beam extraction system has been evaluated using optimized parameters such

  2. The effect of radio-frequency self bias on ion acceleration in expanding argon plasmas in helicon sources

    Science.gov (United States)

    Wiebold, Matthew D.

    Time-averaged plasma potential differences up to ˜ 165 V over several hundred Debye lengths are observed in low pressure (pn floating potential for argon (Vp ≈ 5kTe/e). In the capacitive mode, the ion acceleration is not well described by an ambipolar relation. The accelerated population decay is consistent with that predicted by charge-exchange collisions. Grounding the upstream endplate increases the self-bias voltage compared to a floating endplate. In the inductive and helicon modes, the ion acceleration more closely follows an ambipolar relation, a result of decreased capacitive coupling due to the decreased RF skin depth. The scaling of the potential gradient with the argon flow rate, magnetic field and RF power are investigated, with the highest potential gradients observed for the lowest flow rates in the capacitive mode. The magnitude of the self-bias voltage agrees well with that predicted for RF sheaths. Use of the self-bias effect in a plasma thruster is explored, possibly for a low thrust, high specific impulse mode in a multi-mode helicon thruster. This work could also explain similar potential gradients in expanding helicon plasmas that are ascribed to double layer formation in the literature.

  3. Atomic and molecular hydrogen gas temperatures in a low-pressure helicon plasma

    Science.gov (United States)

    Samuell, Cameron M.; Corr, Cormac S.

    2015-08-01

    Neutral gas temperatures in hydrogen plasmas are important for experimental and modelling efforts in fusion technology, plasma processing, and surface modification applications. To provide values relevant to these application areas, neutral gas temperatures were measured in a low pressure (radiofrequency helicon discharge using spectroscopic techniques. The atomic and molecular species were not found to be in thermal equilibrium with the atomic temperature being mostly larger then the molecular temperature. In low power operation (measurements near a graphite target demonstrated localised cooling near the sample surface. The temporal evolution of the molecular gas temperature during a high power 1.1 ms plasma pulse was also investigated and found to vary considerably as a function of pressure.

  4. Spatial mode structures of electrostatic drift waves in a collisional cylindrical helicon plasma

    DEFF Research Database (Denmark)

    Schröder, C.; Grulke, O.; Klinger, T.

    2004-01-01

    In a cylindrical helicon plasma, mode structures of coherent drift waves are studied in the poloidal plane, the plane perpendicular to the ambient magnetic field. The mode structures rotate with a constant angular velocity in the direction of the electron diamagnetic drift and show significant...... radial bending. The experimental observations are compared with numerical solutions of a linear nonlocal cylindrical model for drift waves [ Ellis , Plasma Phys. 22, 113 (1980) ]. In the numerical model, a transition to bended mode structures is found if the plasma collisionality is increased....... This finding proves that the experimentally observed bended mode structures are the result of high electron collisionality. (C) 2004 American Institute of Physics....

  5. Plasma flow measurements in the Prototype-Material Plasma Exposure eXperiment (Proto-MPEX) and comparison with B2.5-Eirene modeling

    Science.gov (United States)

    Kafle, N.; Owen, L. W.; Caneses, J. F.; Biewer, T. M.; Caughman, J. B. O.; Donovan, D. C.; Goulding, R. H.; Rapp, J.

    2018-05-01

    The Prototype Material Plasma Exposure eXperiment (Proto-MPEX) at Oak Ridge National Laboratory is a linear plasma device that combines a helicon plasma source with additional microwave and radio frequency heating to deliver high plasma heat and particle fluxes to a target. Double Langmuir probes and Thomson scattering are being used to measure local electron temperature and density at various radial and axial locations. A recently constructed Mach-double probe provides the added capability of simultaneously measuring electron temperatures ( T e), electron densities ( n e), and Mach numbers (M). With this diagnostic, it is possible to infer the plasma flow, particle flux, and heat flux at different locations along the plasma column in Proto-MPEX. Preliminary results show Mach numbers of 0.5 (towards the dump plate) and 1.0 (towards the target plate) downstream from the helicon source, and a stagnation point (no flow) near the source for the case where the peak magnetic field was 1.3 T. Measurements of particle flow and ne and Te profiles are discussed. The extensive coverage provided by these diagnostics permits data-constrained B2.5-Eirene modeling of the entire plasma column, and comparison with results of modeling in the high-density helicon plasmas will be presented.

  6. Experimental study of a RF plasma source with helicon configuration in the mix Ar/H_2. Application to the chemical etching of carbon materials surfaces in the framework of the plasma-wall interactions studies of ITER's divertor

    International Nuclear Information System (INIS)

    Bieber, T.

    2012-01-01

    The issue of the interaction wall-plasma is important in thermonuclear devices. The purpose of this work is to design a very low pressure atomic plasma source in order to study chemical etching of carbon surfaces in the same conditions as edge plasma in tokamaks. The experimental work has consisted in 2 stages: first, the characterisation of the new helicon configuration reactor developed for this research and secondly the atomic hydrogen source used for the chemical etching. The first chapter recalls what thermonuclear fusion is. The helicon configuration reactor as well as its diagnostics (optical emission spectroscopy, laser induced fluorescence - LIF, and Langmuir probe) are described in the second chapter. The third chapter deals with the different coupling modes (RF power and plasma) identified in pure argon plasmas and how they are obtained by setting experimental parameters such as injected RF power, magnetic fields or pressure. The fourth chapter is dedicated to the study of the difference in behavior between the electronic density and the relative density of metastable Ar"+ ions. The last chapter presents the results in terms of mass losses of the carbon material surfaces obtained with the atomic hydrogen source. (A.C.)

  7. A comparison of ion beam measurements by retarding field energy analyzer and laser induced fluorescence in helicon plasma devices

    Energy Technology Data Exchange (ETDEWEB)

    Gulbrandsen, N., E-mail: njal.gulbrandsen@uit.no; Fredriksen, Å. [Department of Physics and Technology, UiT The Arctic University of Norway, 9037 Tromsø (Norway); Carr, J. [Department of Physics and Astronomy, West Virginia University, Morgantown, West Virginia 26506 (United States); Department of Physics, Texas Lutheran University, Seguin, Texas 78155 (United States); Scime, E. [Department of Physics and Astronomy, West Virginia University, Morgantown, West Virginia 26506 (United States)

    2015-03-15

    Both Laser-Induced Fluorescence (LIF) and Retarding Field Energy Analyzers (RFEA) have been applied to the investigation of beams formed in inductively coupled helicon plasmas. While the LIF technique provides a direct measurement of the velocity distribution in the plasma, the RFEA measures ion flux as a function of a retarding potential. In this paper, we present a method to compare the two techniques, by converting the LIF velocity distribution to an equivalent of a RFEA measurement. We applied this method to compare new LIF and RFEA measurements in two different experiments; the Hot Helicon Experiment (HELIX) - Large Experiment on Instabilities and Anisotropies (LEIA) at West Virginia University and Njord at University of Tromsø. We find good agreement between beam energies of the two methods. In agreement with earlier observations, the RFEA is found to measure ion beams with densities too low for the LIF to resolve. In addition, we present measurements of the axial development of the ion beam in both experiments. Beam densities drop exponentially with distance from the source, both in LIF and RFEA measurements. The effective quenching cross section from LIF in LEIA is found to be σ{sub b,*}=4×10{sup −19} m{sup 2}, and the effective beam collisional cross sections by RFEA in Njord to be σ{sub b}=1.7×10{sup −18} m{sup 2}.

  8. Research on high energy density plasmas and applications

    International Nuclear Information System (INIS)

    1999-01-01

    Recently, technologies on lasers, accelerators, and pulse power machines have been significantly advanced and input power density covers the intensity range from 10 10 W/cm 2 to higher than 10 20 W/cm 2 . As the results, high pressure gas and solid targets can be heated up to very high temperature to create hot dense plasmas which have never appeared on the earth. The high energy density plasmas opened up new research fields such as inertial confinement fusion, high brightness X-ray radiation sources, interiors of galactic nucleus,supernova, stars and planets, ultra high pressure condensed matter physics, plasma particle accelerator, X-ray laser, and so on. Furthermore, since these fields are intimately connected with various industrial sciences and technologies, the high energy density plasma is now studied in industries, government institutions, and so on. This special issue of the Journal of Plasma Physics and Nuclear Fusion Research reviews the high energy density plasma science for the comprehensive understanding of such new fields. In May, 1998, the review committee for investigating the present status and the future prospects of high energy density plasma science was established in the Japan Society of Plasma Science and Nuclear Fusion Research. We held three committee meetings to discuss present status and critical issues of research items related to high energy density plasmas. This special issue summarizes the understandings of the committee. This special issue consists of four chapters: They are Chapter 1: Physics important in the high energy density plasmas, Chapter 2: Technologies related to the plasma generation; drivers such as lasers, pulse power machines, particle beams and fabrication of various targets, Chapter 3: Plasma diagnostics important in high energy density plasma experiments, Chapter 4: A variety of applications of high energy density plasmas; X-ray radiation, particle acceleration, inertial confinement fusion, laboratory astrophysics

  9. Excitation of short-scale fluctuations by parametric decay of helicon waves into ion-sound and Trivelpiece-Gould waves

    International Nuclear Information System (INIS)

    Lorenz, B; Kraemer, M; Selenin, V L; Aliev, Yu M

    2005-01-01

    The helicon wave field and the excitation of short-scale electrostatic fluctuations in a helicon-produced plasma are closely related as both the helicon wave damping and the fluctuation level are shown to increase with the launched rf power. Correlation methods using electrostatic probes as well as microwave back-scattering at the upper-hybrid resonance are applied to obtain the dispersion relations of the fluctuations in the low-frequency and high-frequency ranges. The frequency and wavenumber spectra measured for all components of the wave vector allow us to identify the fluctuations as ion-sound and Trivelpiece-Gould waves that originate from parametric decay of the helicon pump wave. The growth rates and thresholds inferred from the evolution of the fluctuations in a wide range of helicon plasma parameters are in good agreement with predictions for the parametric decay instability that takes into account realistic damping rates for the decay waves as well as non-vanishing parallel wavenumber of the helicon pump

  10. Laser Induced Fluorescence of Helium Ions in a Helicon Plasma

    Science.gov (United States)

    Compton, C. S.; Biloui, C.; Hardin, R. A.; Keesee, A. M.; Scime, E. E.; Boivin, R.

    2003-10-01

    The lack of a suitable Laser Induced Fluorescence (LIF) scheme for helium ions at visible wavelengths has prevented LIF from being employed in helium plasmas for measurements of ion temperature and bulk ion flow speeds. In this work, we will discuss our attempts to perform LIF of helium ions in a helicon source plasma using an infrared, tunable diode laser operating at 1012.36 nm. The infrared transition corresponds to excitation from the n = 4 level (4f ^2F) to the n = 5 (5g ^2G) level of singly ionized helium and therefore requires substantial electron temperatures (> 10 eV) to maintain an adequate ion population in the n = 4 state. Calculations using a steady state coronal model predict that the n = 4 state population will be 25% larger than the n = 5 population for our experimental conditions. The fluorescence decay from the n = 5 (5f ^2F) level of singly ionized helium level to the n = 3 (3d ^2D) level at 320.31 nm is monitored as the diode laser is swept through 10 GHz around the 1012.36 nm line. Note that the fluorescence emission requires a collisionally coupled transition between two different n = 5 quantum states. We will also present measurements of the emission intensities of both the 1012.36 nm and the 320.31 nm lines as a function of source neutral pressure, rf power, and plasma density. This work supported by the U.S. DoE EPSCoR Lab Partnership Program.

  11. Using AORSA to simulate helicon waves in DIII-D

    International Nuclear Information System (INIS)

    Lau, C.; Blazevski, D.; Green, D. L.; Murakami, M.; Park, J. M.; Jaeger, E. F.; Berry, L. A.; Bertelli, N.; Pinsker, R. I.; Prater, R.

    2015-01-01

    Recent efforts have shown that helicon waves (fast waves at > 20ω ci ) may be an attractive option for driving efficient off-axis current drive during non-inductive tokamak operation for DIII-D, ITER and DEMO. For DIII-D scenarios, the ray tracing code, GENRAY, has been extensively used to study helicon current drive efficiency and location as a function of many plasma parameters. The full wave code, AORSA, which is applicable to arbitrary Larmor radius and can resolve arbitrary ion cyclotron harmonic order, has been recently used to validate the ray tracing technique at these high cyclotron harmonics. If the SOL is ignored, it will be shown that the GENRAY and AORSA calculated current drive profiles are comparable for the envisioned high beta advanced scenarios for DIII-D, where there is high single pass absorption due to electron Landau damping and minimal ion damping. AORSA is also been used to estimate possible SOL effects on helicon current drive coupling and SOL absorption due to collisional and slow wave effects

  12. Using AORSA to simulate helicon waves in DIII-D

    Energy Technology Data Exchange (ETDEWEB)

    Lau, C., E-mail: lauch@ornl.gov; Blazevski, D.; Green, D. L.; Murakami, M.; Park, J. M. [Oak Ridge National Laboratory, P.O. Box 2008, Oak Ridge, TN (United States); Jaeger, E. F.; Berry, L. A. [XCEL Engineering, Inc., 1066 Commerce Park Dr., Oak Ridge, TN (United States); Bertelli, N. [Princeton Plasma Physics Laboratory, Princeton, NJ (United States); Pinsker, R. I.; Prater, R. [General Atomics, San Diego, CA (United States)

    2015-12-10

    Recent efforts have shown that helicon waves (fast waves at > 20ω{sub ci}) may be an attractive option for driving efficient off-axis current drive during non-inductive tokamak operation for DIII-D, ITER and DEMO. For DIII-D scenarios, the ray tracing code, GENRAY, has been extensively used to study helicon current drive efficiency and location as a function of many plasma parameters. The full wave code, AORSA, which is applicable to arbitrary Larmor radius and can resolve arbitrary ion cyclotron harmonic order, has been recently used to validate the ray tracing technique at these high cyclotron harmonics. If the SOL is ignored, it will be shown that the GENRAY and AORSA calculated current drive profiles are comparable for the envisioned high beta advanced scenarios for DIII-D, where there is high single pass absorption due to electron Landau damping and minimal ion damping. AORSA is also been used to estimate possible SOL effects on helicon current drive coupling and SOL absorption due to collisional and slow wave effects.

  13. Transport modeling of convection dominated helicon discharges in Proto-MPEX with the B2.5-Eirene code

    Science.gov (United States)

    Owen, L. W.; Rapp, J.; Canik, J.; Lore, J. D.

    2017-11-01

    Data-constrained interpretative analyses of plasma transport in convection dominated helicon discharges in the Proto-MPEX linear device, and predictive calculations with additional Electron Cyclotron Heating/Electron Bernstein Wave (ECH/EBW) heating, are reported. The B2.5-Eirene code, in which the multi-fluid plasma code B2.5 is coupled to the kinetic Monte Carlo neutrals code Eirene, is used to fit double Langmuir probe measurements and fast camera data in front of a stainless-steel target. The absorbed helicon and ECH power (11 kW) and spatially constant anomalous transport coefficients that are deduced from fitting of the probe and optical data are additionally used for predictive simulations of complete axial distributions of the densities, temperatures, plasma flow velocities, particle and energy fluxes, and possible effects of alternate fueling and pumping scenarios. The somewhat hollow electron density and temperature radial profiles from the probe data suggest that Trivelpiece-Gould wave absorption is the dominant helicon electron heating source in the discharges analyzed here. There is no external ion heating, but the corresponding calculated ion temperature radial profile is not hollow. Rather it reflects ion heating by the electron-ion equilibration terms in the energy balance equations and ion radial transport resulting from the hollow density profile. With the absorbed power and the transport model deduced from fitting the sheath limited discharge data, calculated conduction limited higher recycling conditions were produced by reducing the pumping and increasing the gas fueling rate, resulting in an approximate doubling of the target ion flux and reduction of the target heat flux.

  14. Plasma Diagnostics in High Density Reactors

    International Nuclear Information System (INIS)

    Daltrini, A. M.; Moshkalyov, S.; Monteiro, M. J. R.; Machida, M.; Kostryukov, A.; Besseler, E.; Biasotto, C.; Diniz, J. A.

    2006-01-01

    Langmuir electric probes and optical emission spectroscopy diagnostics were developed for applications in high density plasmas. These diagnostics were employed in two plasma sources: an electron cyclotron resonance (ECR) plasma and an RF driven inductively coupled plasma (ICP) plasma. Langmuir probes were tested using a number of probing dimensions, probe tip materials, circuits for probe bias and filters. Then, the results were compared with the optical spectroscopy measurements. With these diagnostics, analyses of various plasma processes were performed in both reactors. For example, it has been shown that species like NH radicals generated in gas phase can have critical impact on films deposited by ECR plasmas. In the ICP source, plasmas in atomic and molecular gases were shown to have different spatial distributions, likely due to nonlocal electron heating. The low-to-high density transitions in the ICP plasma were also studied. The role of metastables is shown to be significant in Ar plasmas, in contrast to plasmas with additions of molecular gases

  15. Current generation by helicons and LH waves in modern tokamaks and reactors FNSF-AT, ITER and DEMO. Scenarios, modeling and antennae

    Science.gov (United States)

    Vdovin, V.

    2014-02-01

    The Innovative concept and 3D full wave code modeling Off-axis current drive by RF waves in large scale tokamaks, reactors FNSF-AT, ITER and DEMO for steady state operation with high efficiency was proposed [1] to overcome problems well known for LH method [2]. The scheme uses the helicons radiation (fast magnetosonic waves at high (20-40) IC frequency harmonics) at frequencies of 500-1000 MHz, propagating in the outer regions of the plasmas with a rotational transform. It is expected that the current generated by Helicons will help to have regimes with negative magnetic shear and internal transport barrier to ensure stability at high normalized plasma pressure βN > 3 (the so-called Advanced scenarios) of interest for FNSF and the commercial reactor. Modeling with full wave three-dimensional codes PSTELION and STELEC2 showed flexible control of the current profile in the reactor plasmas of ITER, FNSF-AT and DEMO [2,3], using multiple frequencies, the positions of the antennae and toroidal waves slow down. Also presented are the results of simulations of current generation by helicons in tokamaks DIII-D, T-15MD and JT-60SA [3]. In DEMO and Power Plant antenna is strongly simplified, being some analoge of mirrors based ECRF launcher, as will be shown. For spherical tokamaks the Helicons excitation scheme does not provide efficient Off-axis CD profile flexibility due to strong coupling of helicons with O-mode, also through the boundary conditions in low aspect machines, and intrinsic large amount of trapped electrons, as is shown by STELION modeling for the NSTX tokamak. Brief history of Helicons experimental and modeling exploration in straight plasmas, tokamaks and tokamak based fusion Reactors projects is given, including planned joint DIII-D - Kurchatov Institute experiment on helicons CD [1].

  16. Current generation by helicons and LH waves in modern tokamaks and reactors FNSF-AT, ITER and DEMO. Scenarios, modeling and antennae

    Energy Technology Data Exchange (ETDEWEB)

    Vdovin, V. [NRC Kurchatov Institute Tokamak Physics Institute, Moscow (Russian Federation)

    2014-02-12

    The Innovative concept and 3D full wave code modeling Off-axis current drive by RF waves in large scale tokamaks, reactors FNSF-AT, ITER and DEMO for steady state operation with high efficiency was proposed [1] to overcome problems well known for LH method [2]. The scheme uses the helicons radiation (fast magnetosonic waves at high (20–40) IC frequency harmonics) at frequencies of 500–1000 MHz, propagating in the outer regions of the plasmas with a rotational transform. It is expected that the current generated by Helicons will help to have regimes with negative magnetic shear and internal transport barrier to ensure stability at high normalized plasma pressure β{sub N} > 3 (the so-called Advanced scenarios) of interest for FNSF and the commercial reactor. Modeling with full wave three-dimensional codes PSTELION and STELEC2 showed flexible control of the current profile in the reactor plasmas of ITER, FNSF-AT and DEMO [2,3], using multiple frequencies, the positions of the antennae and toroidal waves slow down. Also presented are the results of simulations of current generation by helicons in tokamaks DIII-D, T-15MD and JT-60SA [3]. In DEMO and Power Plant antenna is strongly simplified, being some analoge of mirrors based ECRF launcher, as will be shown. For spherical tokamaks the Helicons excitation scheme does not provide efficient Off-axis CD profile flexibility due to strong coupling of helicons with O-mode, also through the boundary conditions in low aspect machines, and intrinsic large amount of trapped electrons, as is shown by STELION modeling for the NSTX tokamak. Brief history of Helicons experimental and modeling exploration in straight plasmas, tokamaks and tokamak based fusion Reactors projects is given, including planned joint DIII-D – Kurchatov Institute experiment on helicons CD [1].

  17. Dynamics of Turbulence Suppression in a Helicon Plasma

    Science.gov (United States)

    Hayes, Tiffany; Gilmore, Mark

    2012-10-01

    Experiments are currently being conducted in the the Helicon-Cathode Device (HelCat) at the University of New Mexico. The goal is to the study in detail the transition from a turbulent to a non-turbulent state in the presence of flow shear. HelCat has intrinsic fluctuations that have been identified as drift-waves. Using simple electrode biasing, it has been found that these fluctuations can be completely suppressed. In some extreme cases, a different instability, possibly the Kelvin-Helmholtz instability, can be excited. Detailed studies are underway in order to understand the characteristics of each mode, and to elucidate the underlying physics that cause the change between an unstable plasma, and an instability-free plasma. Dynamics being observed include changes in flow profiles, both azimuthal and parallel, as well as changes in potential and temperature gradients. Further understanding is being sought using several computer codes developed at EPFL: a linear stability solver (LSS,footnotetextP. Ricci and B.N. Rogers (2009). Phys Plasmas 16, 062303. a one-dimensional PIC code/sheath solver, ODISEE,footnotetextJ. Loizu, P. Ricci, and C. Theiler (2011). Phys Rev E 83, 016406 and a global, 3D Braginski code, GBS.footnotetextRicci, Rogers (2009) A basic overview of results will be presented.

  18. Plasma Photonic Devices for High Energy Density Science

    International Nuclear Information System (INIS)

    Kodama, R.

    2005-01-01

    High power laser technologies are opening a variety of attractive fields of science and technology using high energy density plasmas such as plasma physics, laboratory astrophysics, material science, nuclear science including medical applications and laser fusion. The critical issues in the applications are attributed to the control of intense light and enormous density of charged particles including efficient generation of the particles such as MeV electrons and protons with a current density of TA/cm2. Now these application possibilities are limited only by the laser technology. These applications have been limited in the control of the high power laser technologies and their optics. However, if we have another device consisted of the 4th material, i.e. plasma, we will obtain a higher energy density condition and explore the application possibilities, which could be called high energy plasma device. One of the most attractive devices has been demonstrated in the fast ignition scheme of the laser fusion, which is cone-guiding of ultra-intense laser light in to high density regions1. This is one of the applications of the plasma device to control the ultra-intense laser light. The other role of the devices consisted of transient plasmas is control of enormous energy-density particles in a fashion analogous to light control with a conventional optical device. A plasma fibre (5?m/1mm), as one example of the devices, has guided and deflected the high-density MeV electrons generated by ultra-intense laser light 2. The electrons have been well collimated with either a lens-like plasma device or a fibre-like plasma, resulting in isochoric heating and creation of ultra-high pressures such as Giga bar with an order of 100J. Plasmas would be uniquely a device to easily control the higher energy density particles like a conventional optical device as well as the ultra-intense laser light, which could be called plasma photonic device. (Author)

  19. Wave propagation downstream of a high power helicon in a dipolelike magnetic field

    International Nuclear Information System (INIS)

    Prager, James; Winglee, Robert; Roberson, B. Race; Ziemba, Timothy

    2010-01-01

    The wave propagating downstream of a high power helicon source in a diverging magnetic field was investigated experimentally. The magnetic field of the wave has been measured both axially and radially. The three-dimensional structure of the propagating wave is observed and its wavelength and phase velocity are determined. The measurements are compared to predictions from helicon theory and that of a freely propagating whistler wave. The implications of this work on the helicon as a thruster are also discussed.

  20. Effect of plasma distribution on propulsion performance in electrodeless plasma thrusters

    Science.gov (United States)

    Takao, Yoshinori; Takase, Kazuki; Takahashi, Kazunori

    2016-09-01

    A helicon plasma thruster consisting of a helicon plasma source and a magnetic nozzle is one of the candidates for long-lifetime thrusters because no electrodes are employed to generate or accelerate plasma. A recent experiment, however, detected the non-negligible axial momentum lost to the lateral wall boundary, which degrades thruster performance, when the source was operated with highly ionized gases. To investigate this mechanism, we have conducted two-dimensional axisymmetric particle-in-cell (PIC) simulations with the neutral distribution obtained by Direct Simulation Monte Carlo (DSMC) method. The numerical results have indicated that the axially asymmetric profiles of the plasma density and potential are obtained when the strong decay of neutrals occurs at the source downstream. This asymmetric potential profile leads to the accelerated ion towards the lateral wall, leading to the non-negligible net axial force in the opposite direction of the thrust. Hence, to reduce this asymmetric profile by increasing the neutral density at downstream and/or by confining plasma with external magnetic field would result in improvement of the propulsion performance. These effects are also analyzed by PIC/DSMC simulations.

  1. Helicon plasma potential measurements using a heavy ion beam probe

    International Nuclear Information System (INIS)

    P. Schoch; K. Connor; J. Si

    2005-01-01

    A Heavy Ion Beam Probe, HIBP, has been installed on a helicon plasma device. The objective was to measure plasma fluctuations at the 13.55MHz RF frequency. This offers a unique challenge for the HIBP, because the transit time of the probing ion is long compared to the fluctuations of interest. For previous HIBPs, the transit time has been short compared to the period of the fluctuations which permits one to assume that the magnetic and electric fields are static. Modeling has shown that the diagnostic will still accurately measure the average potential. The fluctuating potential was to be detected but the absolute magnitude is difficult to determine with signal from a single point. However, modeling indicates multipoint measurements will allow one to resolve the absolute fluctuation magnitude. Work supported by DOE Grant No. DE-FG02-99ER5452985 During the funding of this grant, a helicon plasma discharge device was built and operated. A Heavy Ion Beam Probe primary system was installed and operated. A primary beam detector was installed and primary beam was detected both with and without plasma. Attempts were made to detect secondary ions using the primary beam detector, without success. Given the lack of a detectable signal, the energy analyzer of the HIBP system was never installed. It is available for installation if there is a reason to do so in the future. Analysis of the system indicated that the plasma electron temperature, estimated to be a few eV, was the likely reason for the lack of detectable secondary ions. A change of ion species to either Boron or Magnesium would greatly increase the signal, but neither of these ions have been used in a HIBP system. The ion source used in this system is made by using a charge exchange process to create a zeolite loaded with the desired ion. Attempts were made to use charge exchange to load Magnesium into a zeolite, and were not successful. It is felt that Magnesium and/or Boron zeolite sources could be created, but

  2. Direct measurement of the transition from edge to core power coupling in a light-ion helicon source

    Science.gov (United States)

    Piotrowicz, P. A.; Caneses, J. F.; Showers, M. A.; Green, D. L.; Goulding, R. H.; Caughman, J. B. O.; Biewer, T. M.; Rapp, J.; Ruzic, D. N.

    2018-05-01

    We present time-resolved measurements of an edge-to-core power transition in a light-ion (deuterium) helicon discharge in the form of infra-red camera imaging of a thin stainless steel target plate on the Proto-Material Exposure eXperiment device. The time-resolved images measure the two-dimensional distribution of power deposition in the helicon discharge. The discharge displays a mode transition characterized by a significant increase in the on-axis electron density and core power coupling, suppression of edge power coupling, and the formation of a fast-wave radial eigenmode. Although the self-consistent mechanism that drives this transition is not yet understood, the edge-to-core power transition displays characteristics that are consistent with the discharge entering a slow-wave anti-resonant regime. RF magnetic field measurements made across the plasma column, together with the power deposition results, provide direct evidence to support the suppression of the slow-wave in favor of core plasma production by the fast-wave in a light-ion helicon source.

  3. Ion acceleration in a helicon source due to the self-bias effect

    Energy Technology Data Exchange (ETDEWEB)

    Wiebold, Matt; Sung, Yung-Ta; Scharer, John E. [University of Wisconsin-Madison, Electrical and Computer Engineering, Madison, Wisconsin 53706 (United States)

    2012-05-15

    Time-averaged plasma potential differences up to 165 V over several hundred Debye lengths are observed in low pressure (p{sub n} < 1 mTorr) expanding argon plasmas in the Madison Helicon eXperiment (MadHeX). The potential gradient leads to ion acceleration greater than that predicted by ambipolar expansion, exceeding E{sub i} Almost-Equal-To 7 kT{sub e} in some cases. RF power up to 500 W at 13.56 MHz is supplied to a half-turn, double-helix antenna in the presence of a nozzle magnetic field, adjustable up to 1 kG. A retarding potential analyzer (RPA) measures the ion energy distribution function (IEDF) and a swept emissive probe measures the plasma potential. Single and double probes measure the electron density and temperature. Two distinct mode hops, the capacitive-inductive (E-H) and inductive-helicon (H-W) transitions, are identified by jumps in density as RF power is increased. In the capacitive (E) mode, large fluctuations of the plasma potential (V{sub p-p} Greater-Than-Or-Equivalent-To 140V, V{sub p-p}/V{sub p} Almost-Equal-To 150%) exist at the RF frequency and its harmonics. The more mobile electrons can easily respond to RF-timescale gradients in the plasma potential whereas the inertially constrained ions cannot, leading to an initial flux imbalance and formation of a self-bias voltage between the source and expansion chambers. In the capacitive mode, the ion acceleration is not well described by an ambipolar relation, while in the inductive and helicon modes the ion acceleration more closely follows an ambipolar relation. The scaling of the potential gradient with the argon flow rate and RF power are investigated, with the largest potential gradients observed for the lowest flow rates in the capacitive mode. The magnitude of the self-bias voltage agrees with that predicted for RF self-bias at a wall. Rapid fluctuations in the plasma potential result in a time-dependent axial electron flux that acts to 'neutralize' the accelerated ion

  4. Design and RF test result of High Power Hybrid Combiner for Helicon Wave Current Drive in KSTAR Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Park, S. Y.; Kim, H. J.; Wi, H. H.; Wang, S. J.; Kwak, J. G. [NFRI, Daejeon (Korea, Republic of)

    2016-05-15

    200 kW RF power will be injected to plasmas through the traveling wave antenna after combining four klystrons output powers using three hybrid combiners. Each klystron produces 60 kW output at the frequency of 500 MHz. RF power combiners commonly used to divide or combine output powers for various rf and microwave applications. It is divided into several types according to the design type such as Wilkinson combiner, radial and quadrature hybrid combiner. We designed high power hybrid combiners using 6-1/8 inch coaxial line. The power combiner has many advantages such as high isolation, low insertion loss and high power handling capability. In this paper design and rf test results of high power combiners will be described. High power combiners using three coaxial hybrid couplers will be utilized for effectively combining of 500 MHz, 200 kW output powers generated by four klystrons. We have designed, fabricated, and tested a 6-1/8 inch coaxial hybrid combiners at 500 MHz for efficiently off-axis Helicon wave current drive in KSTAR. Simulation and test results of high power coaxial hybrid combiners are good agreement.

  5. Improved GAMMA 10 tandem mirror confinement in high density plasma

    International Nuclear Information System (INIS)

    Yatsu, K.; Cho, T.; Higaki, H.; Hirata, M.; Hojo, H.; Ichimura, M.; Ishii, K.; Ishimoto, Y.; Itakura, A.; Katanuma, I.; Kohagura, J.; Minami, R.; Nakashima, Y.; Numakura, T.; Saito, T.; Saosaki, S.; Takemura, Y.; Tatematsu, Y.; Yoshida, M.; Yoshikawa, M.

    2003-01-01

    GAMMA 10 experiments have advanced in high density experiments after the last IAEA fusion energy conference in 2000 where we reported the production of the high density plasma through use of ion cyclotron range of frequency heating at a high harmonic frequency and neutral beam injection in the anchor cells. However, the diamagnetic signal of the plasma decreased when electron cyclotron resonance heating was applied for the potential formation. Recently a high density plasma has been obtained without degradation of the diamagnetic signal and with much improved reproducibility than before. The high density plasma was attained through adjustment of the spacing of the conducting plates installed in the anchor transition regions. The potential confinement of the plasma has been extensively studied. Dependences of the ion confinement time, ion-energy confinement time and plasma confining potential on plasma density were obtained for the first time in the high density region up to a density of 4x10 18 m -3 . (author)

  6. New aspects of high energy density plasma

    International Nuclear Information System (INIS)

    Hotta, Eiki

    2005-10-01

    The papers presented at the symposium on 'New aspects of high energy density plasma' held at National Institute for Fusion Science are collected in this proceedings. The papers reflect the present status and recent progress in the experiments and theoretical works on high energy density plasma produced by pulsed power technology. The 13 of the presented papers are indexed individually. (J.P.N.)

  7. Developing the science and technology for the Material Plasma Exposure eXperiment

    Science.gov (United States)

    Rapp, J.; Biewer, T. M.; Bigelow, T. S.; Caneses, J. F.; Caughman, J. B. O.; Diem, S. J.; Goulding, R. H.; Isler, R. C.; Lumsdaine, A.; Beers, C. J.; Bjorholm, T.; Bradley, C.; Canik, J. M.; Donovan, D.; Duckworth, R. C.; Ellis, R. J.; Graves, V.; Giuliano, D.; Green, D. L.; Hillis, D. L.; Howard, R. H.; Kafle, N.; Katoh, Y.; Lasa, A.; Lessard, T.; Martin, E. H.; Meitner, S. J.; Luo, G.-N.; McGinnis, W. D.; Owen, L. W.; Ray, H. B.; Shaw, G. C.; Showers, M.; Varma, V.; the MPEX Team

    2017-11-01

    Linear plasma generators are cost effective facilities to simulate divertor plasma conditions of present and future fusion reactors. They are used to address important R&D gaps in the science of plasma material interactions and towards viable plasma facing components for fusion reactors. Next generation plasma generators have to be able to access the plasma conditions expected on the divertor targets in ITER and future devices. The steady-state linear plasma device MPEX will address this regime with electron temperatures of 1-10 eV and electron densities of 1021{\\text{}}-1020 m-3 . The resulting heat fluxes are about 10 MW m-2 . MPEX is designed to deliver those plasma conditions with a novel Radio Frequency plasma source able to produce high density plasmas and heat electron and ions separately with electron Bernstein wave (EBW) heating and ion cyclotron resonance heating with a total installed power of 800 kW. The linear device Proto-MPEX, forerunner of MPEX consisting of 12 water-cooled copper coils, has been operational since May 2014. Its helicon antenna (100 kW, 13.56 MHz) and EC heating systems (200 kW, 28 GHz) have been commissioned and 14 MW m-2 was delivered on target. Furthermore, electron temperatures of about 20 eV have been achieved in combined helicon and ECH heating schemes at low electron densities. Overdense heating with EBW was achieved at low heating powers. The operational space of the density production by the helicon antenna was pushed up to 1.1 × 1020 m-3 at high magnetic fields of 1.0 T at the target. The experimental results from Proto-MPEX will be used for code validation to enable predictions of the source and heating performance for MPEX. MPEX, in its last phase, will be capable to expose neutron-irradiated samples. In this concept, targets will be irradiated in ORNL’s High Flux Isotope Reactor and then subsequently exposed to fusion reactor relevant plasmas in MPEX.

  8. AORSA full wave calculations of helicon waves in DIII-D and ITER

    Science.gov (United States)

    Lau, C.; Jaeger, E. F.; Bertelli, N.; Berry, L. A.; Green, D. L.; Murakami, M.; Park, J. M.; Pinsker, R. I.; Prater, R.

    2018-06-01

    Helicon waves have been recently proposed as an off-axis current drive actuator for DIII-D, FNSF, and DEMO tokamaks. Previous ray tracing modeling using GENRAY predicts strong single pass absorption and current drive in the mid-radius region on DIII-D in high beta tokamak discharges. The full wave code AORSA, which is valid to all order of Larmor radius and can resolve arbitrary ion cyclotron harmonics, has been used to validate the ray tracing technique. If the scrape-off-layer (SOL) is ignored in the modeling, AORSA agrees with GENRAY in both the amplitude and location of driven current for DIII-D and ITER cases. These models also show that helicon current drive can possibly be an efficient current drive actuator for ITER. Previous GENRAY analysis did not include the SOL. AORSA has also been used to extend the simulations to include the SOL and to estimate possible power losses of helicon waves in the SOL. AORSA calculations show that another mode can propagate in the SOL and lead to significant (~10%–20%) SOL losses at high SOL densities. Optimizing the SOL density profile can reduce these SOL losses to a few percent.

  9. Helicons, history, high technology and heliacs

    International Nuclear Information System (INIS)

    Boswell, R.W.

    1999-01-01

    A brief introduction to helicon/whistler waves, their discovery, experimental and theoretical investigation, both terrestrial and planetary is presented. The use of wave trapped electrons to increase the ionization rate and eventual development to heat heliacs and in the micro-electronics industry are also discussed and a controversy will be unveiled. For infinite plane waves the inclusion of a magnetic field opens a propagation window below the electron cyclotron frequency which allows whistler or helicon waves to propagate. If electron inertia is ignored then the wave dispersion is dominated by the Hall term (j x B) and the wave is simply electromagnetic. Including the electron inertia via the term dj/dt in Ohm's law brings a severe anisotropy to the dispersion and the wave acquires electrostatic properties (E//K)

  10. Edge density profiles in high-performance JET plasmas

    International Nuclear Information System (INIS)

    Summers, D.D.R.; Viaccoz, B.; Vince, J.

    1997-01-01

    Detailed electron density profiles of the scrape-off layer in high-performance JET plasmas (plasma current, I p nbi ∝17 MW) have been measured by means of a lithium beam diagnostic system featuring high spatial resolution [Kadota (1978)[. Measurements were taken over a period of several seconds, allowing examination of the evolution of the edge profile at a location upstream from the divertor target. The data clearly show the effects of the H-mode transition - an increase in density near the plasma separatrix and a reduction in density scrape-off length. The profiles obtained under various plasma conditions are compared firstly with data from other diagnostics, located elsewhere in the vessel, and also with the predictions of an 'onion-skin' model (DIVIMP), which used, as initial parameters, data from an array of probes located in the divertor target. (orig.)

  11. High density plasmas formation in Inertial Confinement Fusion and Astrophysics

    International Nuclear Information System (INIS)

    Martinez-Val, J. M.; Minguez, E.; Velarde, P.; Perlado, J. M.; Velarde, G.; Bravo, E.; Eliezer, S.; Florido, R.; Garcia Rubiano, J.; Garcia-Senz, D.; Gil de la Fe, J. M.; Leon, P. T.; Martel, P.; Ogando, F.; Piera, M.; Relano, A.; Rodriguez, R.; Garcia, C.; Gonzalez, E.; Lachaise, M.; Oliva, E.

    2005-01-01

    In inertially confined fusion (ICF), high densities are required to obtain high gains. In Fast Ignition, a high density, low temperature plasma can be obtained during the compression. If the final temperature reached is low enough, the electrons of the plasma can be degenerate. In degenerate plasmas. Bremsstrahlung emission is strongly suppressed an ignition temperature becomes lower than in classical plasmas, which offers a new design window for ICF. The main difficulty of degenerate plasmas in the compression energy needed for high densities. Besides that, the low specific heat of degenerate electrons (as compared to classical values) is also a problem because of the rapid heating of the plasma. Fluid dynamic evolution of supernovae remnants is a very interesting problem in order to predict the thermodynamical conditions achieved in their collision regions. Those conditions have a strong influence in the emission of light and therefore the detection of such events. A laboratory scale system has been designed reproducing the fluid dynamic field in high energy experiments. The evolution of the laboratory system has been calculated with ARWEN code, 2D Radiation CFD that works with Adaptive Mesh Refinement. Results are compared with simulations on the original system obtained with a 3D SPH astrophysical code. New phenomena at the collision plane and scaling of the laboratory magnitudes will be described. Atomic physics for high density plasmas has been studied with participation in experiments to obtain laser produced high density plasmas under NLTE conditions, carried out at LULI. A code, ATOM3R, has been developed which solves rate equations for optically thin plasmas as well as for homogeneous optically thick plasmas making use of escape factors. New improvements in ATOM3R are been done to calculate level populations and opacities for non homogeneous thick plasmas in NLTE, with emphasis in He and H lines for high density plasma diagnosis. Analytical expression

  12. High energy density Z-pinch plasmas using flow stabilization

    Energy Technology Data Exchange (ETDEWEB)

    Shumlak, U., E-mail: shumlak@uw.edu; Golingo, R. P., E-mail: shumlak@uw.edu; Nelson, B. A., E-mail: shumlak@uw.edu; Bowers, C. A., E-mail: shumlak@uw.edu; Doty, S. A., E-mail: shumlak@uw.edu; Forbes, E. G., E-mail: shumlak@uw.edu; Hughes, M. C., E-mail: shumlak@uw.edu; Kim, B., E-mail: shumlak@uw.edu; Knecht, S. D., E-mail: shumlak@uw.edu; Lambert, K. K., E-mail: shumlak@uw.edu; Lowrie, W., E-mail: shumlak@uw.edu; Ross, M. P., E-mail: shumlak@uw.edu; Weed, J. R., E-mail: shumlak@uw.edu [Aerospace and Energetics Research Program, University of Washington, Seattle, Washington, 98195-2250 (United States)

    2014-12-15

    The ZaP Flow Z-Pinch research project[1] at the University of Washington investigates the effect of sheared flows on MHD instabilities. Axially flowing Z-pinch plasmas are produced that are 100 cm long with a 1 cm radius. The plasma remains quiescent for many radial Alfvén times and axial flow times. The quiescent periods are characterized by low magnetic mode activity measured at several locations along the plasma column and by stationary visible plasma emission. Plasma evolution is modeled with high-resolution simulation codes – Mach2, WARPX, NIMROD, and HiFi. Plasma flow profiles are experimentally measured with a multi-chord ion Doppler spectrometer. A sheared flow profile is observed to be coincident with the quiescent period, and is consistent with classical plasma viscosity. Equilibrium is determined by diagnostic measurements: interferometry for density; spectroscopy for ion temperature, plasma flow, and density[2]; Thomson scattering for electron temperature; Zeeman splitting for internal magnetic field measurements[3]; and fast framing photography for global structure. Wall stabilization has been investigated computationally and experimentally by removing 70% of the surrounding conducting wall to demonstrate no change in stability behavior.[4] Experimental evidence suggests that the plasma lifetime is only limited by plasma supply and current waveform. The flow Z-pinch concept provides an approach to achieve high energy density plasmas,[5] which are large, easy to diagnose, and persist for extended durations. A new experiment, ZaP-HD, has been built to investigate this approach by separating the flow Z-pinch formation from the radial compression using a triaxial-electrode configuration. This innovation allows more detailed investigations of the sheared flow stabilizing effect, and it allows compression to much higher densities than previously achieved on ZaP by reducing the linear density and increasing the pinch current. Experimental results and

  13. Evaporation of carbon using electrons of a high density plasma

    International Nuclear Information System (INIS)

    Muhl, S.; Camps, E.; Escobar A, L.; Garcia E, J.L.; Olea, O.

    1999-01-01

    The high density plasmas are used frequently in the preparation of thin films or surface modification, for example to nitridation. In these processes, are used mainly the ions and the neutrals which compose the plasma. However, the electrons present in the plasma are not used, except in the case of chemical reactions induced by collisions, although the electron bombardment usually get hot the work piece. Through the adequate polarization of a conductor material, it is possible to extract electrons from a high density plasma at low pressure, that could be gotten the evaporation of this material. As result of the interaction between the plasma and the electron flux with the vapor produced, this last will be ionized. In this work, it is reported the use of this novelty arrangement to prepare carbon thin films using a high density argon plasma and a high purity graphite bar as material to evaporate. It has been used substrates outside plasma and immersed in the plasma. Also it has been reported the plasma characteristics (temperature and electron density, energy and ions flux), parameters of the deposit process (deposit rate and ion/neutral rate) as well as the properties of the films obtained (IR absorption spectra and UV/Vis, elemental analysis, hardness and refractive index. (Author)

  14. Excitation and Propagation of Alfven Waves in a Helicon Discharge

    International Nuclear Information System (INIS)

    Grulke, Olaf; Klinger, Thomas; Franck, Christian M.

    2003-01-01

    An experimental study of shear Alfven waves in a linearly magnetized plasma is presented. Shear Alfven waves are electromagnetic waves propagating parallel to the background magnetic field without compression of the plasma at a frequency well below the ion cyclotron frequency and a wavelength inversely proportional to the square root of the plasma density. A basic condition on laboratory investigations is that the Alfven wavelength must be significantly smaller than the device dimension. This makes Alfven waves difficult to investigate in laboratory experiments and most studies are performed in space, where typical Alfven wavelengths of several kilometers are observed. The results of these studies are often ambiguous due to difficulties concerning the measurements of plasma parameters and the magnetic field geometry. The primary motivation for the present paper is the investigation of Alfven wave propagation in a well defined laboratory situation. The experiments are conducted in the linear VINETA device. The necessary operational regime is achieved by the large axial device length of 4.5m and the use of a helicon plasma source providing high density plasmas with ionization degrees of up to 100%. The Argon plasma is magnetized by a set of 36 magnetic field coils, which produce a maximum magnetic field of 0.1T on the device axis. With this configuration a plasma-β of ≥ 10-4 is achieved, which exceeds the electron to ion mass ration, and the ion cyclotron frequency is ≅ 250kHz. Langmuir probes provide detailed informations on the time-averaged plasma profiles. Magnetic field perturbations for the excitation of Alfven waves are generated by a current loop, which is introduced into the plasma. The surface normal of the current loop is directed perpendicular to the magnetic field. The waves's dispersion relation in dependence of plasma parameters is determined by spatially resolved B probe measurements

  15. On the self-focusing of electric helicons

    International Nuclear Information System (INIS)

    Tsintadze, N.L.; El-Ashry, M.Y.; Garuchava, D.P.

    1987-07-01

    The present work is devoted to the investigation of the stationary self-focusing of circularly polarized helicons in a magnetized plasma in the case of ultra-relativistic intensities. It is shown that the larger intensity and effective width at the boundary is the much faster growing self-focusing. (author). 8 refs

  16. High density high performance plasma with internal diffusion barrier in Large Helical Device

    International Nuclear Information System (INIS)

    Sakamoto, R.; Kobayashi, M.; Miyazawa, J.

    2008-10-01

    A attractive high density plasma operational regime, namely an internal diffusion barrier (IDB), has been discovered in the intrinsic helical divertor configuration on the Large Helical Device (LHD). The IDB which enables core plasma to access a high density/high pressure regime has been developed. It is revealed that the IDB is reproducibly formed by pellet fueling in the magnetic configurations shifted outward in major radius. Attainable central plasma density exceeds 1x10 21 m -3 . Central pressure reaches 1.5 times atmospheric pressure and the central β value becomes fairly high even at high magnetic field, i.e. β(0)=5.5% at B t =2.57 T. (author)

  17. Ion acceleration in a helicon source due to the self-bias effect

    International Nuclear Information System (INIS)

    Wiebold, Matt; Sung, Yung-Ta; Scharer, John E.

    2012-01-01

    Time-averaged plasma potential differences up to 165 V over several hundred Debye lengths are observed in low pressure (p n i ≈ 7 kT e in some cases. RF power up to 500 W at 13.56 MHz is supplied to a half-turn, double-helix antenna in the presence of a nozzle magnetic field, adjustable up to 1 kG. A retarding potential analyzer (RPA) measures the ion energy distribution function (IEDF) and a swept emissive probe measures the plasma potential. Single and double probes measure the electron density and temperature. Two distinct mode hops, the capacitive-inductive (E-H) and inductive-helicon (H-W) transitions, are identified by jumps in density as RF power is increased. In the capacitive (E) mode, large fluctuations of the plasma potential (V p-p ≳140V, V p-p /V p ≈150%) exist at the RF frequency and its harmonics. The more mobile electrons can easily respond to RF-timescale gradients in the plasma potential whereas the inertially constrained ions cannot, leading to an initial flux imbalance and formation of a self-bias voltage between the source and expansion chambers. In the capacitive mode, the ion acceleration is not well described by an ambipolar relation, while in the inductive and helicon modes the ion acceleration more closely follows an ambipolar relation. The scaling of the potential gradient with the argon flow rate and RF power are investigated, with the largest potential gradients observed for the lowest flow rates in the capacitive mode. The magnitude of the self-bias voltage agrees with that predicted for RF self-bias at a wall. Rapid fluctuations in the plasma potential result in a time-dependent axial electron flux that acts to “neutralize” the accelerated ion population, resulting in a zero net time-averaged current through the acceleration region when an insulating upstream boundary condition is enforced. Grounding the upstream endplate increases the self-bias voltage compared to a floating endplate.

  18. Exploration of Plasma Jets Approach to High Energy Density Physics. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Chiping [Massachusetts Inst. of Technology (MIT), Cambridge, MA (United States)

    2013-08-26

    High-energy-density laboratory plasma (HEDLP) physics is an emerging, important area of research in plasma physics, nuclear physics, astrophysics, and particle acceleration. While the HEDLP regime occurs at extreme conditions which are often found naturally in space but not on the earth, it may be accessible by colliding high intensity plasmas such as high-energy-density plasma jets, plasmoids or compact toroids from plasma guns. The physics of plasma jets is investigated in the context of high energy density laboratory plasma research. This report summarizes results of theoretical and computational investigation of a plasma jet undergoing adiabatic compression and adiabatic expansion. A root-mean-squared (rms) envelope theory of plasma jets is developed. Comparison between theory and experiment is made. Good agreement between theory and experiment is found.

  19. Workshop on extremely high energy density plasmas and their diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Ishii, Shozo (ed.)

    2001-09-01

    Compiled are the papers presented at the workshop on 'Extremely High Energy Density Plasmas and Their Diagnostics' held at National Institute for Fusion Science. The papers cover physics and applications of extremely high-energy density plasmas such as dense z-pinch, plasma focus, and intense pulsed charged beams. Separate abstracts were presented for 7 of the papers in this report. The remaining 25 were considered outside the subject scope of INIS. (author)

  20. Workshop on extremely high energy density plasmas and their diagnostics

    International Nuclear Information System (INIS)

    Ishii, Shozo

    2001-09-01

    Compiled are the papers presented at the workshop on 'Extremely High Energy Density Plasmas and Their Diagnostics' held at National Institute for Fusion Science. The papers cover physics and applications of extremely high-energy density plasmas such as dense z-pinch, plasma focus, and intense pulsed charged beams. Separate abstracts were presented for 7 of the papers in this report. The remaining 25 were considered outside the subject scope of INIS. (author)

  1. Enhancement of axial momentum lost to the radial wall by the upstream magnetic field in a helicon source

    Science.gov (United States)

    Takahashi, Kazunori; Ando, Akira

    2017-05-01

    Individual measurements of forces exerted to an upstream back wall, a radial source wall, and a magnetic field of a helicon plasma thruster, which has two solenoids upstream and downstream of a radiofrequency antenna, are precisely measured. Two different structures of magnetic field lines in the source are tested, where the solenoid current is supplied to either only the downstream solenoid or to both the solenoids. It is observed that the high density plasma exists upstream of the rf antenna when both the solenoids are powered, while the maximum density exists near the rf antenna when only the downstream solenoid is powered. Although the force exerted to the back wall is increased for the two solenoids case, the axial momentum lost to the radial wall is simultaneously enhanced; then the total force exerted to the whole structure of the thruster is found to be very similar for the two magnetic field configurations. It is shown that the individual force measurement provides useful information on the plasma momentum interacting with the physical boundaries and the magnetic fields.

  2. High plasma rotation velocity and density transitions by biased electrodes in RF produced, magnetized plasma

    International Nuclear Information System (INIS)

    Matsuyama, Shoichiro; Shinohara, Shunjiro

    2001-01-01

    A large density profile modification was successfully obtained by voltage biasing to electrodes inserted in a RF (radio frequency) produced, magnetized plasma, and formation of strong shear of azimuthal plasma rotation velocity in a supersonic regime was found. For the case of biasing to an electrode near the central plasma region, two types of density transitions were observed in the outer plasma region: one was an oscillatory transition between two states, and the other was a transition from high to low density states with a large reduction of density fluctuations. (author)

  3. High plasma rotation velocity and density transitions by biased electrodes in RF produced, magnetized plasma

    Energy Technology Data Exchange (ETDEWEB)

    Matsuyama, Shoichiro; Shinohara, Shunjiro [Kyushu Univ., Interdisciplinary Graduate School of Engineering Sciences, Fukuoka (Japan)

    2001-07-01

    A large density profile modification was successfully obtained by voltage biasing to electrodes inserted in a RF (radio frequency) produced, magnetized plasma, and formation of strong shear of azimuthal plasma rotation velocity in a supersonic regime was found. For the case of biasing to an electrode near the central plasma region, two types of density transitions were observed in the outer plasma region: one was an oscillatory transition between two states, and the other was a transition from high to low density states with a large reduction of density fluctuations. (author)

  4. Fueling requirements of super-high-density plasmas towards innovative ignition regime

    International Nuclear Information System (INIS)

    Sakamoto, Ryuichi; Yamada, Hiroshi

    2014-01-01

    Highlights: • Self-burning scenario with internal diffusion barrier is investigated. • Peaked density profiles allow to sustain self-burning plasma at lower temperature. • Core fueling beyond internal diffusion barrier is essential to sustain peaked density. • Acceptable pellet size becomes small to prevent fusion out perturbation. • Very high velocity pellet injection beyond 10 km/s is inevitable for this scenario. - Abstract: Super-high-density plasma with an internal diffusion barrier which is observed in the Large Helical Device has been extrapolated to a fusion reactor grade plasma to explore an innovative ignition regime and to clarify essential requirements for pellet fueling. The peaked density profiles due to the internal diffusion barrier formation allow reduction in the required minimum temperature to sustain a self-burning plasma down to 10 keV. Direct core fueling beyond the internal diffusion barrier is essential to sustain the peaked density profile. Furthermore, the acceptable pellet size becomes small in terms of fusion output perturbation because the effective volume of the burning plasma becomes small with the peaked density profile. In order to sustain a self-burning plasma with an internal diffusion barrier, therefore, extremely high velocity pellet injection beyond 10 km/s is inevitable unless another solution to the core fueling is found

  5. Local thermodynamic equilibrium in rapidly heated high energy density plasmas

    International Nuclear Information System (INIS)

    Aslanyan, V.; Tallents, G. J.

    2014-01-01

    Emission spectra and the dynamics of high energy density plasmas created by optical and Free Electron Lasers (FELs) depend on the populations of atomic levels. Calculations of plasma emission and ionization may be simplified by assuming Local Thermodynamic Equilibrium (LTE), where populations are given by the Saha-Boltzmann equation. LTE can be achieved at high densities when collisional processes are much more significant than radiative processes, but may not be valid if plasma conditions change rapidly. A collisional-radiative model has been used to calculate the times taken by carbon and iron plasmas to reach LTE at varying densities and heating rates. The effect of different energy deposition methods, as well as Ionization Potential Depression are explored. This work shows regimes in rapidly changing plasmas, such as those created by optical lasers and FELs, where the use of LTE is justified, because timescales for plasma changes are significantly longer than the times needed to achieve an LTE ionization balance

  6. Potential formation and confinement in high density plasma on the GAMMA 10 tandem mirror

    International Nuclear Information System (INIS)

    Yatsu, K.

    2002-01-01

    After the attainment of doubling of the density due to the potential confinement, GAMMA 10 experiments have been directed to realization of a high density plasma and also to study dependence of the confining potential and confinement time on the plasma density. These problems are important to understand the physics of potential formation in tandem mirrors and also for the development of a tandem mirror reactor. We reported high density plasma production by using an ion cyclotron range of frequency heating at a high harmonic frequency in the last IAEA Conference. However, the diamagnetic signal of the high density plasma decreased when electron cyclotron resonance heating (ECRH) was applied due to some instabilities. Recently, the high density plasma production was much improved by adjusting the spacing of the conducting plates installed in the anchor transition regions, which enabled us to produce a high density plasma without degradation of the diamagnetic signal with ECRH and also to study the density dependence. In this paper we report production of a high density plasma and dependence of the confining potential and the confinement time on the density. (author)

  7. High Current, High Density Arc Plasma as a New Source for WiPAL

    Science.gov (United States)

    Waleffe, Roger; Endrizzi, Doug; Myers, Rachel; Wallace, John; Clark, Mike; Forest, Cary; WiPAL Team

    2016-10-01

    The Wisconsin Plasma Astrophysics Lab (WiPAL) has installed a new array of nineteen plasma sources (plasma guns) on its 3 m diameter, spherical vacuum vessel. Each gun is a cylindrical, molybdenum, washer-stabilized, arc plasma source. During discharge, the guns are maintained at 1.2 kA across 100 V for 10 ms by the gun power supply establishing a high density plasma. Each plasma source is fired independently allowing for adjustable plasma parameters, with densities varying between 1018 -1019 m-3 and electron temperatures of 5-15 eV. Measurements were characterized using a 16 tip Langmuir probe. The plasma source will be used as a background plasma for the magnetized coaxial plasma gun (MCPG), the Terrestrial Reconnection Experiment (TREX), and as the plasma source for a magnetic mirror experiment. Temperature, density, and confinement results will be presented. This work is supported by the DoE and the NSF.

  8. A high sensitivity momentum flux measuring instrument for plasma thruster exhausts and diffusive plasmas.

    Science.gov (United States)

    West, Michael D; Charles, Christine; Boswell, Rod W

    2009-05-01

    A high sensitivity momentum flux measuring instrument based on a compound pendulum has been developed for use with electric propulsion devices and radio frequency driven plasmas. A laser displacement system, which builds upon techniques used by the materials science community for surface stress measurements, is used to measure with high sensitivity the displacement of a target plate placed in a plasma thruster exhaust. The instrument has been installed inside a vacuum chamber and calibrated via two different methods and is able to measure forces in the range of 0.02-0.5 mN with a resolution of 15 microN. Measurements have been made of the force produced from the cold gas flow and with a discharge ignited using argon propellant. The plasma is generated using a Helicon Double Layer Thruster prototype. The instrument target is placed about 1 mean free path for ion-neutral charge exchange collisions downstream of the thruster exit. At this position, the plasma consists of a low density ion beam (10%) and a much larger downstream component (90%). The results are in good agreement with those determined from the plasma parameters measured with diagnostic probes. Measurements at various flow rates show that variations in ion beam velocity and plasma density and the resulting momentum flux can be measured with this instrument. The instrument target is a simple, low cost device, and since the laser displacement system used is located outside the vacuum chamber, the measurement technique is free from radio frequency interference and thermal effects. It could be used to measure the thrust in the exhaust of other electric propulsion devices and the momentum flux of ion beams formed by expanding plasmas or fusion experiments.

  9. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  10. Impurity screening in high density plasmas in tokamaks with a limiter configuration

    International Nuclear Information System (INIS)

    Ferro, C.; Zanino, R.

    1992-01-01

    Impurity screening in high density plasmas in tokamaks with a limiter configuration is investigated by means of a simple semi-analytical model. An iterative scheme is devised, in order to determine self-consistently the values of scrape-off layer thickness, edge electron density and temperature, and main plasma contamination parameter Z eff , as a function of given average electron density and temperature in the main plasma and given input power. The model is applied to the poloidal limiter case of the Frascati Tokamak Upgrade, and results are compared with experimental data. A reasonable agreement between the trends is found, emphasizing the importance of a high edge plasma density for obtaining a clean main plasma in limiter tokamaks. (orig.)

  11. Simulation and laboratory validation of magnetic nozzle effects for the high power helicon thruster

    International Nuclear Information System (INIS)

    Winglee, R.; Ziemba, T.; Giersch, L.; Prager, J.; Carscadden, J.; Roberson, B. R.

    2007-01-01

    The efficiency of a plasma thruster can be improved if the plasma stream can be highly focused, so that there is maximum conversion of thermal energy to the directed energy. Such focusing can be potentially achieved through the use of magnetic nozzles, but this introduces the potential problem of detachment of plasma from the magnetic field lines tied to the nozzles. Simulations and laboratory testing are used to investigate these processes for the high power helicon (HPH) thruster, which has the capacity of producing a dense (10 18 -10 20 m -3 ) energetic (tens of eV) plasma stream which can be both supersonic and super-Alfvenic within a few antenna wavelengths. In its standard configuration, the plasma plume generated by this device has a large opening angle, due to relatively high thermal velocity and rapid divergence of the magnetic field. With the addition of a magnetic nozzle system, the plasma can be directed/collimated close to the pole of the nozzle system causing an increase in the axial velocity of the plasma, as well as an increase in the Alfven Mach number. As such the magnetic field of the nozzle is insufficient to pull the plasma back to the spacecraft, i.e., plasma attachment is not a problem for the system. Laboratory results show that the specific impulse (Isp) of the system can be increased by ∼30% by the addition of the nozzle due to the conversion of thermal energy into directed energy in association with a highly collimated profile. An interesting feature of the system is that self-collimation of the beam is expected to occur during continuous operation through plasma currents induced downstream from the magnetic nozzle. These currents lead to magnetic fields that have a smaller divergence than the original vacuum magnetic field so that the following plasma will be more collimated than the proceeding plasma. This self-focusing can lead to beam propagation over extended distances

  12. High density plasma productions by hydrogen storage electrode in the Tohoku University Heliac

    International Nuclear Information System (INIS)

    Utoh, H.; Takahashi, H.; Tanaka, Y.; Takenaga, M.; Ogawa, M.; Shinde, J.; Iwazaki, K.; Shinto, K.; Kitajima, S.; Sasao, M.; Nishimura, K.; Inagaki, S.

    2005-01-01

    In the Tohoku University Heliac (TU-Heliac), the influence of a radial electric field on improved modes has been investigated by an electrode biasing. In both positive and negative biasing experiments by the stainless steel (SUS) electrode (cold-electron or ion collection), the improvement of plasma confinement was clearly observed. Furthermore, by negative biasing with a hot cathode (electron injection), the radial electric fields can be actively controlled as a consequence of the control of the electrode current I E . By using the electrode made of a hydrogen storage metal, for example Titanium (Ti) or Vanadium (V), the following possibility can be expected: (1) ions accelerated from the positive biased electrode allow the simulation for the orbit loss of high-energy particles, (2) the electrons/neutral- particles injected from the negative biased electrode provide the production of the high- density plasma, if hydrogen are successfully stored in the electrode. In this present work, several methods were tried as the treatment for hydrogen storage. In the case of the Ti electrode biased positively after the treatment, the improvement of plasma confinement was observed in He plasma, which were same as the experimental results of the SUS electrode. However, in the electron density profiles inside the electrode position there was difference between the biased plasma by the Ti electrode and that by the SUS electrode. In some of Ar discharges biased negatively with the Ti electrode after the treatment, the electron density and the line intensity of H α increased about 10 times of those before biasing. This phenomenon has not been observed in the Ar plasma biased by the SUS electrode. This result suggested that the Ti electrode injected electrons/neutral-hydrogen into the plasma. This high-density plasma productions were observed only 1 ∼ 3 times in the one treatment for hydrogen storage. By using a Vanadium (V) electrode, productions of the high-density plasma

  13. Compatibility of advanced tokamak plasma with high density and high radiation loss operation in JT-60U

    International Nuclear Information System (INIS)

    Takenaga, H.; Asakura, N.; Kubo, H.; Higashijima, S.; Konoshima, S.; Nakano, T.; Oyama, N.; Ide, S.; Fujita, T.; Takizuka, T.; Kamada, Y.; Miura, Y.; Porter, G.D.; Rognlien, T.D.; Rensink, M.E.

    2005-01-01

    Compatibility of advanced tokamak plasmas with high density and high radiation loss has been investigated in both reversed shear (RS) plasmas and high β p H-mode plasmas with a weak positive shear on JT-60U. In the RS plasmas, the operation regime is extended to high density above the Greenwald density (n GW ) with high confinement (HH y2 >1) and high radiation loss fraction (f rad >0.9) by tailoring the internal transport barriers (ITBs). High confinement of HH y2 =1.2 is sustained even with 80% radiation from the main plasma enhanced by accumulated metal impurity. The divertor radiation is enhanced by Ne seeding and the ratio of the divertor radiation to the total radiation is increased from 20% without seeding to 40% with Ne seeding. In the high β p H-mode plasmas, high confinement (HH y2 =0.96) is maintained at high density (n-bar e /n GW =0.92) with high radiation loss fraction (f rad ∼1) by utilizing high-field-side pellets and Ar injections. The high n-bar e /n GW is obtained due to a formation of clear density ITB. Strong core-edge parameter linkage is observed, as well as without Ar injection. In this linkage, the pedestal β p , defined as β p ped =p ped /(B p 2 /2μ 0 ) where p ped is the plasma pressure at the pedestal top, is enhanced with the total β p . The radiation profile in the main plasma is peaked due to Ar accumulation inside the ITB and the measured central radiation is ascribed to Ar. The impurity transport analyses indicate that Ar accumulation by a factor of 2 more than the electron, as observed in the high β p H-mode plasma, is acceptable even with peaked density profile in a fusion reactor for impurity seeding. (author)

  14. Bremsstrahlung spectra for Al, Cs, and Au atoms in high-temperature, high-density plasmas

    International Nuclear Information System (INIS)

    Kim, L.; Pratt, R.H.; Tseng, H.K.

    1985-01-01

    Results are presented from a numerical calculation for the bremsstrahlung spectrum and Gaunt factors of Al, Cs, and Au atoms in high-temperature (-T), high-density (-rho) plasmas. Plasma temperatures kT = 0.1 and 1.0 keV and plasma densities rho = rho 0 (the normal solid density) and rho = 100rho 0 are considered. This allows us to determine the generality and identify the origins of features which we had previously identified in calculations for Cs. We also now present results for the total energy loss of an electron in such a plasma. We use a relativistic multipole code which treats the bremsstrahlung process as a single-electron transition in a static screened central potential. We take for the static potential corresponding to an atom in a hot dense plasma the finite-temperature, finite-density Thomas-Fermi model. This approach corresponds to an average atom in local thermodynamic equilibrium. In comparison to isolated-neutral-atom results we observe general suppression of cross sections and a particular suppression in the tip region of the spectrum. Within this model, both superscreening and shape resonances are found in the circumstances of extreme density. At more normal densities and except for the soft-photon end, the spectrum at these energies for an atom in a hot plasma (characterized by an average degree of ionization) can be well represented by the spectrum of the corresponding isolated ion, which has a similar potential shape at the distances which characterize the process

  15. Diagnosing high density, fast-evolving plasmas using x-ray lasers

    International Nuclear Information System (INIS)

    Cauble, R.; Da Silva, L.B.; Barbee, T.W. Jr.

    1994-09-01

    As x-ray laser (XRL) research has matured, it has become possible to reliably utilize XRLs for applications in the laboratory. Laser coherence, high brightness and short pulse duration all make the XRL a unique tool for the diagnosis of laboratory plasmas. The high brightness of XRLs makes them well-suited for imaging and for interferometry when used in conjunction with multilayer mirrors and beamsplitters. We have utilized a soft x-ray laser in such an imaging system to examine laser-produced plasmas using radiography, moire deflectometry, and interferometry. Radiography experiments yield 100-200 ps snapshots of laser driven foils at a resolution of 1-2 μm. Moire deflectometry with an XRL has been used to probe plasmas at higher density than by optical means. Interferograms, which allow direct measurement of electron density in laser plasmas, have been obtained with this system

  16. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  17. Studies on the propagation of relativistic plasma waves in high density plasmas produced by hypersonic ionizing shock waves

    International Nuclear Information System (INIS)

    Williams, R.L.; Johnson, J.A. III

    1993-01-01

    The feasibility of using an ionizing shock wave to produce high density plasmas suitable for the propagation large amplitude relativistic plasma waves is being investigated. A 20 kv arc driven shock tube of coaxial geometry produces a hypersonic shock wave (10 p > 10 17 cm -3 ). The shock can be made to reflect off the end of the tube, collide with its wake, and thus increase the plasma density further. After reflecting, the plasma is at rest. The shock speed is measured using piezoelectric pressure probes and the ion density is measured using laser induced fluorescence (LIF) techniques on argon 488.0 nm and 422.8 nm lines. The future plans are to excite large amplitude relativistic plasma waves in this plasma by either injecting a short pulse laser (Laser Wake Field Scheme), two beating lasers (Plasma Beat Wave Scheme), or a short bunch of relativistic electrons (Plasma Wake Field Scheme). Results of recent computational and theoretical studies, as well as initial experimental measurements on the plasma using LIF, are reported. Implications for the application of high density plasmas produced in this way to such novel schemes as the plasma wave accelerator, photon accelerator, plasma wave undulator, and also plasma lens, are discussed. The effect of plasma turbulence is also discussed

  18. High frequency electric field spikes formed by electron beam-plasma interaction in plasma density gradients

    International Nuclear Information System (INIS)

    Gunell, H.; Loefgren, T.

    1997-02-01

    In the electron beam-plasma interaction at an electric double layer the beam density is much higher than in the classical beam-plasma experiments. The wave propagation takes place along the density gradient, that is present at the high potential side of the double layer. Such a case is studied experimentally by injecting the electron beam from a plane cathode, without any grids suppressing the gradient, and by particle simulations. The high frequency field concentrates in a sharp 'spike' with a half width of the order of one wavelength. The spike is found to be a standing wave surrounded by regions dominated by propagating waves. It forms at a position where its frequency is close to the local plasma frequency. The spike forms also when the electric field is well below the threshold for modulational instability, and long before a density cavity is formed in the simulations. Particle simulations reveal that, at the spike, there is a backward travelling wave that, when it is strongly damped, accelerates electrons back towards the cathode. In a simulation of a homogeneous plasma without the density gradient no spike is seen, and the wave is purely travelling instead of standing. 9 refs

  19. Interferometric measurements of plasma density in highplasmas

    International Nuclear Information System (INIS)

    Quinn, W.E.

    1977-01-01

    The coupled-cavity laser interferometer technique is particularly applicable to the measurement of pulsed plasma densities. This technique is based on the fact that if a small fraction of a gas laser's output radiation is reflected into the laser with an external mirror, the intensity of the laser output is modulated. These amplitude or intensity modulations are produced by changes in the laser gain. A rotating corner mirror or an oscillating mirror can be used to produce a continuous feedback modulation of the interferometer which produces a continuous background fringe pattern. The presence of plasma in the outer cavity causes an additional change which results in a phase shift of the regular period of the background fringe pattern. The integral of the plasma density along the line of sight can be evaluated by comparison of the time history of the fringes obtained with and without plasma

  20. Lower hybrid current drive at ITER-relevant high plasma densities

    International Nuclear Information System (INIS)

    Cesario, R.; Amicucci, L.; Cardinali, A.; Castaldo, C.; Marinucci, M.; Panaccione, L.; Pericoli-Ridolfini, V.; Tuccillo, A. A.; Tudisco, O.; Calabro, G.

    2009-01-01

    Recent experiments indicated that a further non-inductive current, besides bootstrap, should be necessary for developing advanced scenario for ITER. The lower hybrid current drive (LHCD) should provide such tool, but its effectiveness was still not proved in operations with ITER-relevant density of the plasma column periphery. Progress of the LH deposition modelling is presented, performed considering the wave physics of the edge, and different ITER-relevant edge parameters. Operations with relatively high edge electron temperatures are expected to reduce the LH || spectral broadening and, consequently, enabling the LH power to propagate also in high density plasmas ( || is the wavenumber component aligned to the confinement magnetic field). New results of FTU experiments are presented, performed by following the aforementioned modeling: they indicate that, for the first time, the LHCD conditions are established by operating at ITER-relevant high edge densities.

  1. Direct measurements of neutral density depletion by two-photon absorption laser-induced fluorescence spectroscopy

    International Nuclear Information System (INIS)

    Aanesland, A.; Liard, L.; Leray, G.; Jolly, J.; Chabert, P.

    2007-01-01

    The ground state density of xenon atoms has been measured by spatially resolved laser-induced fluorescence spectroscopy with two-photon excitation in the diffusion chamber of a magnetized Helicon plasma. This technique allows the authors to directly measure the relative variations of the xenon atom density without any assumptions. A significant neutral gas density depletion was measured in the core of the magnetized plasma, in agreement with previous theoretical and experimental works. It was also found that the neutral gas density was depleted near the radial walls

  2. Helicons in uniform fields. II. Poynting vector and angular momenta

    Science.gov (United States)

    Stenzel, R. L.; Urrutia, J. M.

    2018-03-01

    The orbital and spin angular momenta of helicon modes have been determined quantitatively from laboratory experiments. The current density is obtained unambiguously from three dimensional magnetic field measurements. The only approximation made is to obtain the electric field from Hall Ohm's law which is usually the case for low frequency whistler modes. This allows the evaluation of the Poynting vector from which the angular momentum is obtained. Comparing two helicon modes (m = 0 and m = 1), one can separate the contribution of angular momentum of a rotating and non-rotating wave field. The orbital angular momentum is important to assess the wave-particle interaction by the transverse Doppler shift of rotating waves which has not been considered so far.

  3. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  4. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  5. Dispersion relation and Landau damping of waves in high-energy density plasmas

    International Nuclear Information System (INIS)

    Zhu Jun; Ji Peiyong

    2012-01-01

    We present a theoretical investigation on the propagation of electromagnetic waves and electron plasma waves in high energy density plasmas using the covariant Wigner function approach. Based on the covariant Wigner function and Dirac equation, a relativistic quantum kinetic model is established to describe the physical processes in high-energy density plasmas. With the zero-temperature Fermi–Dirac distribution, the dispersion relation and Landau damping of waves containing the relativistic quantum corrected terms are derived. The relativistic quantum corrections to the dispersion relation and Landau damping are analyzed by comparing our results with those obtained in classical and non-relativistic quantum plasmas. We provide a detailed discussion on the Landau damping obtained in classical plasmas, non-relativistic Fermi plasmas and relativistic Fermi plasmas. The contributions of the Bohm potential, the Fermi statistics pressure and relativistic effects to the dispersion relation and Landau damping of waves are quantitatively calculated with real plasma parameters. (paper)

  6. Spectral classification of medium-scale high-latitude F region plasma density irregularities

    International Nuclear Information System (INIS)

    Singh, M.; Rodriguez, P.; Szuszczewicz, E.P.; Sachs Freeman Associates, Bowie, MD)

    1985-01-01

    The high-latitude ionosphere represents a highly structured plasma. Rodriguez and Szuszczewicz (1984) reported a wide range of plasma density irregularities (150 km to 75 m) at high latitudes near 200 km. They have shown that the small-scale irregularities (7.5 km to 75 m) populated the dayside oval more often than the other phenomenological regions. It was suggested that in the lower F region the chemical recombination is fast enough to remove small-scale irregularities before convection can transport them large distances, leaving structured particle precipitation as the dominant source term for irregularities. The present paper provides the results of spectral analyses of pulsed plasma probe data collected in situ aboard the STP/S3-4 satellite during the period March-September 1978. A quantitative description of irregularity spectra in the high-latitude lower F region plasma density is given. 22 references

  7. Electromagnetic-implosion generation of pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Baker, W.L.; Broderick, N.F.; Degnan, J.H.; Hussey, T.W.; Kiuttu, G.F.; Kloc, D.A.; Reinovsky, R.E.

    1983-01-01

    This chapter reports on the experimental and theoretical investigation of the generation of pulsed high-energy-density plasmas by electromagnetic implosion of cylindrical foils (i.e., imploding liners or hollow Z-pinches) at the Air Force Weapons Laboratory. Presents a comparison of experimental data with one-dimensional MHD and two-dimensional calculations. Points out that the study is distinct from other imploding liner efforts in that the approach is to produce a hot, dense plasma from the imploded liner itself, rather than to compress a magnetic-field-performed plasma mixture. The goal is to produce an intense laboratory pulsed X-ray source

  8. Laser Induced Fluorescence (LIF) Measurements of Neutral (ArI) and singly-ionized (ArII) Argon in a LargeScale Helicon Plasma

    Science.gov (United States)

    Kelly, R. F.; Fisher, D. M.; Hatch, M. W.; Gilmore, M.; Dwyer, R. H.; Meany, K.; Zhang, Y.; Desjardins, T. R.

    2017-10-01

    In order to investigate the role of neutral dynamics in helicon discharges in the HelCat (Helicon-Cathode) plasma device at U. New Mexico, a Laser Induced Fluorescence (LIF) system has been developed. The LIF system is based on a >250 mW, tunable diode laser with a tuning range between 680 and 700nm. For neutral Argon, the laser pumps the metastable (2P3/20) 4s level to the (2P1/20) 4p level using 696. 7352 nm light. The fluorescence radiation from decay to the (2P1/20) 4s level at 772. 6333 nm is observed. For singly ionized Argon, the laser pumps the 3s23p4(3 P)3d level to the 3s23p4(3 P)4p level using 686.3162nm light. The fluorescence radiation from the decay to the 3s23p4(3 P)4s level is observed. The system design, and velocity measurements in the axial, azimuthal and radial directions for ArI, and in the axial direction for ArII will be presented. Supported by U.S. National Science Foundation Award 1500423.

  9. Honeycomblike large area LaB6 plasma source for Multi-Purpose Plasma facility

    International Nuclear Information System (INIS)

    Woo, Hyun-Jong; Chung, Kyu-Sun; You, Hyun-Jong; Lee, Myoung-Jae; Lho, Taihyeop; Choh, Kwon Kook; Yoon, Jung-Sik; Jung, Yong Ho; Lee, Bongju; Yoo, Suk Jae; Kwon, Myeon

    2007-01-01

    A Multi-Purpose Plasma (MP 2 ) facility has been renovated from Hanbit mirror device [Kwon et al., Nucl. Fusion 43, 686 (2003)] by adopting the same philosophy of diversified plasma simulator (DiPS) [Chung et al., Contrib. Plasma Phys. 46, 354 (2006)] by installing two plasma sources: LaB 6 (dc) and helicon (rf) plasma sources; and making three distinct simulators: divertor plasma simulator, space propulsion simulator, and astrophysics simulator. During the first renovation stage, a honeycomblike large area LaB 6 (HLA-LaB 6 ) cathode was developed for the divertor plasma simulator to improve the resistance against the thermal shock fragility for large and high density plasma generation. A HLA-LaB 6 cathode is composed of the one inner cathode with 4 in. diameter and the six outer cathodes with 2 in. diameter along with separate graphite heaters. The first plasma is generated with Ar gas and its properties are measured by the electric probes with various discharge currents and magnetic field configurations. Plasma density at the middle of central cell reaches up to 2.6x10 12 cm -3 , while the electron temperature remains around 3-3.5 eV at the low discharge current of less than 45 A, and the magnetic field intensity of 870 G. Unique features of electric property of heaters, plasma density profiles, is explained comparing with those of single LaB 6 cathode with 4 in. diameter in DiPS

  10. An investigation of pulsed high density plasmas

    International Nuclear Information System (INIS)

    Timmermans, C.J.

    1984-01-01

    In this thesis a wall-stabilized argon cascade arc is studied at values of pulsed pressure up to 14 bar and a pulsed current range up to 2200 A with a time duration of about 2 ms. The basic plasma is a CW cascade arc with a 5 mm diameter plasma column and a length of 90 mm, which operates at a 60 A DC current and at one atmosphere filling pressure. The author starts with an extensive summary of the CW arc investigations. After a brief introduction of the basic transport equations the mass equations of the constituent particles are treated using the extended collisional radiative model. The energy balance equations and the momentum balance are discussed. The electron density is determined from measurements of the continuum radiation. The final chapter contains the experimental results on the electron temperatures and electron densities in the pressure and current pulsed plasma. Attention is given to the deviations from local thermodynamic equilibrium values of the ground level densities of the different argon systems. (Auth.)

  11. Frontiers of particle beam and high energy density plasma science using pulse power technology

    International Nuclear Information System (INIS)

    Masugata, Katsumi

    2011-04-01

    The papers presented at the symposium on “Frontiers of Particle Beam and High Energy Density Plasma Science using Pulse Power Technology” held in November 20-21, 2009 at National Institute for Fusion Science are collected. The papers reflect the present status and resent progress in the experiment and theoretical works on high power particle beams and high energy density plasmas produced by pulsed power technology. (author)

  12. Residual gas entering high density hydrogen plasma: rarefaction due to rapid heating

    NARCIS (Netherlands)

    N. den Harder,; D.C. Schram,; W. J. Goedheer,; de Blank, H. J.; M. C. M. van de Sanden,; van Rooij, G. J.

    2015-01-01

    The interaction of background molecular hydrogen with magnetized (0.4 T) high density (1–5 × 10 20  m −3 ) low temperature (∼3 eV) hydrogen plasma was inferred from the Fulcher band emission in the linear plasma generator Pilot-PSI. In the plasma center,

  13. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    International Nuclear Information System (INIS)

    Altamore, C; Tringali, C; Sparta', N; Marco, S Di; Grasso, A; Ravesi, S

    2010-01-01

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10 5 ) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10 1 Hz to 10 6 Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl 2 /Ar chemistry. The relationship between the etch rate and the Cl 2 /Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl 2 /Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  14. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Altamore, C; Tringali, C; Sparta' , N; Marco, S Di; Grasso, A; Ravesi, S [STMicroelectronics, Industial and Multi-segment Sector R and D, Catania (Italy)

    2010-02-15

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10{sup 5}) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10{sup 1} Hz to 10{sup 6} Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl{sub 2}/Ar chemistry. The relationship between the etch rate and the Cl{sub 2}/Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl{sub 2}/Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  15. Device and method for relativistic electron beam heating of a high-density plasma to drive fast liners

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A device and method for relativistic electron beam heating of a high-density plasma in a small localized region are described. A relativistic electron beam generator or accelerator produces a high-voltage electron beam which propagates along a vacuum drift tube and is modulated to initiate electron bunching within the beam. The beam is then directed through a low-density gas chamber which provides isolation between the vacuum modulator and the relativistic electron beam target. The relativistic beam is then applied to a high-density target plasma which typically comprises dt, dd, hydrogen boron or similar thermonuclear gas at a density of 1017 to 1020 electrons per cubic centimeter. The target gas is ionized prior to application of the electron beam by means of a laser or other preionization source to form a plasma. Utilizing a relativistic electron beam with an individual particle energy exceeding 3 mev, classical scattering by relativistic electrons passing through isolation foils is negligible. As a result, relativistic streaming instabilities are initiated within the high-density target plasma causing the relativistic electron beam to efficiently deposit its energy and momentum into a small localized region of the high-density plasma target. Fast liners disposed in the high-density target plasma are explosively or ablatively driven to implosion by a heated annular plasma surrounding the fast liner which is generated by an annular relativistic electron beam. An azimuthal magnetic field produced by axial current flow in the annular plasma, causes the energy in the heated annular plasma to converge on the fast liner

  16. Evaporation of carbon using electrons of a high density plasma; Evaporacion de carbono usando los electrones de un plasma de alta densidad

    Energy Technology Data Exchange (ETDEWEB)

    Muhl, S.; Camps, E.; Escobar A, L.; Garcia E, J.L.; Olea, O. [Instituto de Investigaciones en Materiales, UNAM, C.P. 04510 Mexico D.F. (Mexico)

    2000-07-01

    The high density plasmas are used frequently in the preparation of thin films or surface modification, for example to nitridation. In these processes, are used mainly the ions and the neutrals which compose the plasma. However, the electrons present in the plasma are not used, except in the case of chemical reactions induced by collisions, although the electron bombardment usually get hot the work piece. Through the adequate polarization of a conductor material, it is possible to extract electrons from a high density plasma at low pressure, that could be gotten the evaporation of this material. As result of the interaction between the plasma and the electron flux with the vapor produced, this last will be ionized. In this work, it is reported the use of this novelty arrangement to prepare carbon thin films using a high density argon plasma and a high purity graphite bar as material to evaporate. It has been used substrates outside plasma and immersed in the plasma. Also it has been reported the plasma characteristics (temperature and electron density, energy and ions flux), parameters of the deposit process (deposit rate and ion/neutral rate) as well as the properties of the films obtained (IR absorption spectra and UV/Vis, elemental analysis, hardness and refractive index). (Author)

  17. Modeling of physical processes in radio-frequency plasma thrusters

    OpenAIRE

    Tian, Bin

    2017-01-01

    This Thesis presents an investigation of the plasma-wave interaction in Helicon Plasma Thrusters (HPT). The HPT is a new concept of electric space propulsion, which generates plasmas with RF heating and provides thrust by the electrodeless acceleration of plasmas in a magnetic nozzle. An in-depth and extensive literature review of the state of the art of the models and experiments of plasma-wave interaction in helicon plasma sources and thrusters is carried out. Then, a theoret...

  18. Cylindrical implosion to measure the radiative properties of high density and temperature plasmas

    International Nuclear Information System (INIS)

    Xu Yan; Rose, S.J.

    2000-01-01

    Cylindrical implosion is of great interest because of its excellent diagnostic access. The authors present one-dimensional numerical simulations to explore the plasma conditions that may be achieved. Combined with the numerical data, the development of Rayleigh-Taylor instabilities and Richtmyer-Meshkov instabilities in those targets are estimated. The authors found that it is possible to achieve a high density and temperature plasma with a relatively low temperature and density gradient using a cylindrical implosion directly-driven by a high-power laser

  19. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  20. Physics and applications of high energy density plasmas. Extreme state driven by pulsed electromagnetic energy

    International Nuclear Information System (INIS)

    Horioka, Kazuhiko

    2002-06-01

    The papers presented at the symposium on ''Physics and application of high energy density plasmas, held December 20-21, 2001 at NIFS'' are collected in this proceedings. The topics covered in the meeting include dense z-pinches, plasma focus, intense charged particle beams, intense radiation sources, discharge pumped X-ray lasers, their diagnostics, and applications of them. The papers reflect the present status and trends in the research field of high energy density plasmas. (author)

  1. High density plasma heating in the Tokamak à configuration variable

    International Nuclear Information System (INIS)

    Curchod, L.

    2011-04-01

    The Tokamak à Configuration Variable (TCV) is a medium size magnetic confinement thermonuclear fusion experiment designed for the study of the plasma performances as a function of its shape. It is equipped with a high power and highly flexible electron cyclotron heating (ECH) and current drive (ECCD) system. Up to 3 MW of 2 nd harmonic EC power in ordinary (O 2 ) or extraordinary (X 2 ) polarization can be injected from TCV low-field side via six independently steerable launchers. In addition, up to 1.5 MW of 3 rd harmonic EC power (X 3 ) can be launched along the EC resonance from the top of TCV vacuum vessel. At high density, standard ECH and ECCD are prevented by the appearance of a cutoff layer screening the access to the EC resonance at the plasma center. As a consequence, less than 50% of TCV density operational domain is accessible to X 2 and X 3 ECH. The electron Bernstein waves (EBW) have been proposed to overcome this limitation. EBW is an electrostatic mode propagating beyond the plasma cutoff without upper density limit. Since it cannot propagate in vacuum, it has to be excited by mode conversion of EC waves in the plasma. Efficient electron Bernstein waves heating (EBH) and current drive (EBCD) were previously performed in several fusion devices, in particular in the W7-AS stellarator and in the MAST spherical tokamak. In TCV, the conditions for an efficient O-X-B mode conversion (i.e. a steep density gradient at the O 2 plasma cutoff) are met at the edge of high confinement (H-mode) plasmas characterized by the appearance of a pedestal in the electron temperature and density profiles. TCV experiments have demonstrated the first EBW coupling to overdense plasmas in a medium aspect-ratio tokamak via O-X-B mode conversion. This thesis work focuses on several aspects of ECH and EBH in low and high density plasmas. Firstly, the experimental optimum angles for the O-X-B mode conversion is successfully compared to the full-wave mode conversion calculation

  2. On-axis parallel ion speeds near mechanical and magnetic apertures in a helicon plasma device

    International Nuclear Information System (INIS)

    Sun Xuan; Cohen, S.A.; Scime, Earl E.; Miah, Mahmood

    2005-01-01

    Using laser-induced fluorescence, measurements of parallel ion velocities were made along the axis of a helicon-generated Ar plasma column whose radius was modified by spatially separated mechanical and magnetic apertures. Ion acceleration to supersonic speeds was observed 0.1-5 cm downstream of both aperture types, simultaneously generating two steady-state double layers (DLs) when both apertures were in place. The DL downstream of the mechanical aperture plate had a larger potential drop, Δφ DL =6-9 kT e , compared to the DL downstream of the magnetic aperture, Δφ DL ∼3 kT e . In the presheath region upstream of the mechanical aperture, the convective ion speed increased over a collisional distance; from stagnant at 4 cm from the aperture to the 1.4 times the sound speed at the aperture. The dependence of the free- and trapped-ion-velocity-distribution functions on the magnetic-field strength and mechanical-aperture electrical bias are also presented

  3. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  4. Physics and applications of high energy density plasmas. Extreme state driven by pulsed electromagnetic energy

    Energy Technology Data Exchange (ETDEWEB)

    Horioka, Kazuhiko (ed.)

    2002-06-01

    The papers presented at the symposium on ''Physics and application of high energy density plasmas, held December 20-21, 2001 at NIFS'' are collected in this proceedings. The topics covered in the meeting include dense z-pinches, plasma focus, intense charged particle beams, intense radiation sources, discharge pumped X-ray lasers, their diagnostics, and applications of them. The papers reflect the present status and trends in the research field of high energy density plasmas. (author)

  5. Detection of an electron beam in a high density plasma via an electrostatic probe

    Science.gov (United States)

    Majeski, Stephen; Yoo, Jongsoo; Zweben, Stewart; Yamada, Masaaki; Ji, Hantao

    2017-10-01

    The perturbation in floating potential by an electron beam is detected by a 1D floating potential probe array to evaluate the use of an electron beam for magnetic field line mapping in the Magnetic Reconnection Experiment (MRX) plasma. The MRX plasma is relatively high density (1013 cm-3) and low temperature (5 eV). Beam electrons are emitted from a tungsten filament and are accelerated by a 200 V potential across the sheath. They stream along the magnetic field lines towards the probe array. The spatial electron beam density profile is assumed to be a Gaussian along the radial axis of MRX and the effective beam width is determined from the radial profile of the floating potential. The magnitude of the perturbation is in agreement with theoretical predictions and the location of the perturbation is also in agreement with field line mapping. In addition, no significant broadening of the electron beam is observed after propagation for tens of centimeters through the high density plasma. These results demonstrate that this method of field line mapping is, in principle, feasible in high density plasmas. This work is supported by the DOE Contract No. DE-AC0209CH11466.

  6. Gap formation processes in a high-density plasma opening switch

    International Nuclear Information System (INIS)

    Grossmann, J.M.; Swanekamp, S.B.; Ottinger, P.F.; Commisso, R.J.; Hinshelwood, D.D.; Weber, B.V.

    1995-01-01

    A gap opening process in plasma opening switches (POS) is examined with the aid of numerical simulations. In these simulations, a high density (n e =10 14 --5x10 15 cm -3 ) uniform plasma initially bridges a small section of the coaxial transmission line of an inductive energy storage generator. A short section of vacuum transmission line connects the POS to a short circuit load. The results presented here extend previous simulations in the n e =10 12 --10 13 cm -3 density regime. The simulations show that a two-dimensional (2-D) sheath forms in the plasma near a cathode. This sheath is positively charged, and electrostatic sheath potentials that are large compared to the anode--cathode voltage develop. Initially, the 2-D sheath is located at the generator edge of the plasma. As ions are accelerated out of the sheath, it retains its original 2-D structure, but migrates axially toward the load creating a magnetically insulated gap in its wake. When the sheath reaches the load edge of the POS, the POS stops conducting current and the load current increases rapidly. At the end of the conduction phase a gap exists in the POS whose size is determined by the radial dimensions of the 2-D sheath. Simulations at various plasma densities and current levels show that the radial size of the gap scales roughly as B/n e , where B is the magnetic field. The results of this work are discussed in the context of long-conduction-time POS physics, but exhibit the same physical gap formation mechanisms as earlier lower density simulations more relevant to short-conduction-time POS. copyright 1995 American Institute of Physics

  7. Thermographic determination of the sheath heat transmission coefficient in a high density plasma

    NARCIS (Netherlands)

    Berg, van den M.A.; Bystrov, K.E.; Pasquet, R.; Zielinski, J.J.; De Temmerman, G.C.

    2013-01-01

    Experiments were performed in the Pilot-PSI linear plasma device, to determine the sheath heat transmission coefficients in a high recycling regime under various conditions of density (1–20 × 1020 m-3) and plasma composition (H2, Ar, N2) relevant for the ITER divertor plasma. The 2D surface

  8. Transport analysis of high radiation and high density plasmas in the ASDEX Upgrade tokamak

    Directory of Open Access Journals (Sweden)

    Casali L.

    2014-01-01

    Full Text Available Future fusion reactors, foreseen in the “European road map” such as DEMO, will operate under more demanding conditions compared to present devices. They will require high divertor and core radiation by impurity seeding to reduce heat loads on divertor target plates. In addition, DEMO will have to work at high core densities to reach adequate fusion performance. The performance of fusion reactors depends on three essential parameters: temperature, density and energy confinement time. The latter characterizes the loss rate due to both radiation and transport processes. The DEMO foreseen scenarios described above were not investigated so far, but are now addressed at the ASDEX Upgrade tokamak. In this work we present the transport analysis of such scenarios. Plasma with high radiation by impurity seeding: transport analysis taking into account the radiation distribution shows no change in transport during impurity seeding. The observed confinement improvement is an effect of higher pedestal temperatures which extend to the core via stiffness. A non coronal radiation model was developed and compared to the bolometric measurements in order to provide a reliable radiation profile for transport calculations. High density plasmas with pellets: the analysis of kinetic profiles reveals a transient phase at the start of the pellet fuelling due to a slower density build up compared to the temperature decrease. The low particle diffusion can explain the confinement behaviour.

  9. Emissions from heavy current carrying high density plasma and their diagnostics

    International Nuclear Information System (INIS)

    Hirano, Katsumi

    1987-06-01

    Workshop on ''Emissions from heavy current carrying high density plasma and diagnostics'' was held at Institute of Plasma Physics, Nagoya University on 3. and 4. December 1986 under a collaborating research Program. The workshop was attended by 43 researchers from 19 labolatories. A total of 22 papers were submitted and are presented in these proceedings. The largest group of papers was that on soft X-ray emission. It seems this topic is a foremost interest for groups which engaged in research of the Z pinch and the plasma focus. A variety of problems in pinched dense plasmas, namely spectroscopy, diagnostics, pinch dynamics, and related engineering aspects were also discussed. (author)

  10. Generation of high-power-density atmospheric pressure plasma with liquid electrodes

    International Nuclear Information System (INIS)

    Dong Lifang; Mao Zhiguo; Yin Zengqian; Ran Junxia

    2004-01-01

    We present a method for generating atmospheric pressure plasma using a dielectric barrier discharge reactor with two liquid electrodes. Four distinct kinds of discharge, including stochastic filaments, regular square pattern, glow-like discharge, and Turing stripe pattern, are observed in argon with a flow rate of 9 slm. The electrical and optical characteristics of the device are investigated. Results show that high-power-density atmospheric pressure plasma with high duty ratio in space and time can be obtained. The influence of wall charges on discharge power and duty ratio has been discussed

  11. Alfven wave propagation in a partially ionized plasma

    International Nuclear Information System (INIS)

    Watts, Christopher; Hanna, Jeremy

    2004-01-01

    Results from a laboratory study of the dispersion relation of Alfven waves propagating through a partially ionized plasma are presented. The plasma is generated using a helicon source, creating a high density, current-free discharge, where the source can be adjusted to one of several modes with varying neutral fraction. Depending on the neutral fraction, the measured dispersion curve of shear Alfven waves can change significantly. Measurement results are compared with theoretical predictions of the effect of neutral particles on Alfven wave propagation. In fitting the theory, the neutral fraction is independently estimated using two simple particle transport models, one collisionless, the other collisional. The two models predict comparable neutral fractions, and agree well with the neutral fraction required for the Alfven dispersion theory

  12. RF plasma cleaning of silicon substrates with high-density polyethylene contamination

    Science.gov (United States)

    Cagomoc, Charisse Marie D.; De Leon, Mark Jeffry D.; Ebuen, Anna Sophia M.; Gilos, Marlo Nicole R.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    Upon contact with a polymeric material, microparticles from the polymer may adhere to a silicon (Si) substrate during device processing. The adhesion contaminates the surface and, in turn, leads to defects in the fabricated Si-based microelectronic devices. In this study, Si substrates with artificially induced high-density polyethylene (HDPE) contamination was exposed to 13.56 MHz radio frequency (RF) plasma utilizing argon and oxygen gas admixtures at a power density of 5.6 W/cm2 and a working pressure of 110 Pa for up to 6 min of treatment. Optical microscopy studies revealed the removal of up to 74% of the polymer contamination upon plasma exposure. Surface free energy (SFE) increased owing to the removal of contaminants as well as the formation of polar groups on the Si surface after plasma treatment. Atomic force microscopy scans showed a decrease in surface roughness from 12.25 nm for contaminated samples to 0.77 nm after plasma cleaning. The smoothening effect can be attributed to the removal of HDPE particles from the surface. In addition, scanning electron microscope images showed that there was a decrease in the amount of HDPE contaminants adhering onto the surface after plasma exposure.

  13. Electron density and plasma dynamics of a colliding plasma experiment

    Energy Technology Data Exchange (ETDEWEB)

    Wiechula, J., E-mail: wiechula@physik.uni-frankfurt.de; Schönlein, A.; Iberler, M.; Hock, C.; Manegold, T.; Bohlender, B.; Jacoby, J. [Plasma Physics Group, Institute of Applied Physics, Goethe University, 60438 Frankfurt am Main (Germany)

    2016-07-15

    We present experimental results of two head-on colliding plasma sheaths accelerated by pulsed-power-driven coaxial plasma accelerators. The measurements have been performed in a small vacuum chamber with a neutral-gas prefill of ArH{sub 2} at gas pressures between 17 Pa and 400 Pa and load voltages between 4 kV and 9 kV. As the plasma sheaths collide, the electron density is significantly increased. The electron density reaches maximum values of ≈8 ⋅ 10{sup 15} cm{sup −3} for a single accelerated plasma and a maximum value of ≈2.6 ⋅ 10{sup 16} cm{sup −3} for the plasma collision. Overall a raise of the plasma density by a factor of 1.3 to 3.8 has been achieved. A scaling behavior has been derived from the values of the electron density which shows a disproportionately high increase of the electron density of the collisional case for higher applied voltages in comparison to a single accelerated plasma. Sequences of the plasma collision have been taken, using a fast framing camera to study the plasma dynamics. These sequences indicate a maximum collision velocity of 34 km/s.

  14. High-frequency emissions during the propagation of an electron beam in a high-density plasma

    International Nuclear Information System (INIS)

    Lalita and Tripathi, V.K.

    1988-01-01

    A relativistic annular electron beam passing through a high-density plasma excites Langmuir waves via Cerenkov interaction. The Langmuir waves are backscattered off ions via nonlinear ion Landau damping. At moderately high amplitudes these waves are parametrically up-converted by the beam into high-frequency electromagnetic radiation, as observed in some recent experiments. A nonlocal theory of this process is developed in a cylindrical geometry. It is seen that the growth rate of the Langmuir wave scales as one-third power of beam density. The growth rate of parametric instability scales as one-fourth power of beam density and the square root of beam thickness

  15. On the energy confinement in the TM-G tokamak with high plasma density

    International Nuclear Information System (INIS)

    Stefanovskij, A.M.

    1986-01-01

    Energy confinement time τ E , when plasma density changing, has been measured at the TM-G-tokamak device with a graphite discharge chamber. The measurements have been carried out in three different discharge modes with a similar stability margin on the limiter (q L )=3) and with different values of the discharge current of a longitudinal field (I p =20, 40 and 60 kA, V T =0.8; 1.6 and 2.4 T). On the basis of experimental data analysis the conclusion is made that saturation of τ E (n e ) dependence at high plasma density occurs due to current channel compression and violation of a ''self-consistent'' profile of current density. Drift wave excitation at densities similar to the limiting Murakami density can also play an important role

  16. Compression of a mixed antiproton and electron non-neutral plasma to high densities

    Science.gov (United States)

    Aghion, Stefano; Amsler, Claude; Bonomi, Germano; Brusa, Roberto S.; Caccia, Massimo; Caravita, Ruggero; Castelli, Fabrizio; Cerchiari, Giovanni; Comparat, Daniel; Consolati, Giovanni; Demetrio, Andrea; Di Noto, Lea; Doser, Michael; Evans, Craig; Fanì, Mattia; Ferragut, Rafael; Fesel, Julian; Fontana, Andrea; Gerber, Sebastian; Giammarchi, Marco; Gligorova, Angela; Guatieri, Francesco; Haider, Stefan; Hinterberger, Alexander; Holmestad, Helga; Kellerbauer, Alban; Khalidova, Olga; Krasnický, Daniel; Lagomarsino, Vittorio; Lansonneur, Pierre; Lebrun, Patrice; Malbrunot, Chloé; Mariazzi, Sebastiano; Marton, Johann; Matveev, Victor; Mazzotta, Zeudi; Müller, Simon R.; Nebbia, Giancarlo; Nedelec, Patrick; Oberthaler, Markus; Pacifico, Nicola; Pagano, Davide; Penasa, Luca; Petracek, Vojtech; Prelz, Francesco; Prevedelli, Marco; Rienaecker, Benjamin; Robert, Jacques; Røhne, Ole M.; Rotondi, Alberto; Sandaker, Heidi; Santoro, Romualdo; Smestad, Lillian; Sorrentino, Fiodor; Testera, Gemma; Tietje, Ingmari C.; Widmann, Eberhard; Yzombard, Pauline; Zimmer, Christian; Zmeskal, Johann; Zurlo, Nicola; Antonello, Massimiliano

    2018-04-01

    We describe a multi-step "rotating wall" compression of a mixed cold antiproton-electron non-neutral plasma in a 4.46 T Penning-Malmberg trap developed in the context of the AEḡIS experiment at CERN. Such traps are routinely used for the preparation of cold antiprotons suitable for antihydrogen production. A tenfold antiproton radius compression has been achieved, with a minimum antiproton radius of only 0.17 mm. We describe the experimental conditions necessary to perform such a compression: minimizing the tails of the electron density distribution is paramount to ensure that the antiproton density distribution follows that of the electrons. Such electron density tails are remnants of rotating wall compression and in many cases can remain unnoticed. We observe that the compression dynamics for a pure electron plasma behaves the same way as that of a mixed antiproton and electron plasma. Thanks to this optimized compression method and the high single shot antiproton catching efficiency, we observe for the first time cold and dense non-neutral antiproton plasmas with particle densities n ≥ 1013 m-3, which pave the way for an efficient pulsed antihydrogen production in AEḡIS.

  17. Charge modes of pulsed high energy and high density plasma injection source

    International Nuclear Information System (INIS)

    Cheng, D.Y.

    1974-01-01

    Detonation (snowplow), deflagration and other modes of discharge can be produced in a single coaxial plasma gun. Conservation laws of mass, momentum and energy together with the entropy production condition of the discharge phenomena are used to identify dense discharge modes. The Rankine-Hugoniot relation for a magnetized plasma is derived. Discussions of how to design a deflagration plasma gun to yield a prescribed plasma kinetic energy and plasma beam density are given

  18. Plasma diagnostics using the He I 447.1 nm line at high and low densities

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, Manuel A [Departamento de Fisica Aplicada, E.T.S.I. Informatica, Universidad de Valladolid, 47071 Valladolid (Spain); Ivkovic, Milivoje; Jovicevic, Sonja; Konjevic, Nikola [Institute of Physics, University of Belgrade, 11081 Belgrade, PO Box 68 (Serbia); Gigosos, Marco A; Lara, Natividad, E-mail: manuelgd@termo.uva.es, E-mail: gigosos@coyanza.opt.cie.uva.es [Departamento de Fisica Teorica, Atomica y Optica, Facultad de Ciencias, Universidad de Valladolid, 47071 Valladolid (Spain)

    2011-05-18

    The broadening of the He I 447.1 nm line and its forbidden components in plasmas is studied using computer simulation techniques and the results are compared with our and other experiments. In these calculations wide ranges of electron densities and temperatures are considered. Experimental measurements are performed with a high electron density pulsed discharge and with a low electron density microwave torch at atmospheric pressure. Both calculations and experimental measurements are extended from previous works towards low electron densities in order to study the accuracy of plasma diagnostics using this line in ranges of interest in different practical applications. The calculation results are compared with experimental profiles registered in plasmas diagnosed using independent techniques. The obtained agreement justifies the use of these line parameters for plasma diagnostics. The influence of self-absorption on line parameters is also analysed. It is shown that the separation between the peaks of the allowed and forbidden components exhibits a clear dependence upon plasma electron density free of self-absorption influence. This allows the peak separation to be used as a good parameter for plasma diagnostics. From the simulation results, a simple fitting formula is applied that permits obtaining the electron number density plasma diagnostics in the range 5 x 10{sup 22}-7 x 10{sup 23} m{sup -3}. At lower densities the fitting of simulated to experimental full profiles is a reliable method for N{sub e} determination.

  19. Plasma polymerized high energy density dielectric films for capacitors

    Science.gov (United States)

    Yamagishi, F. G.

    1983-01-01

    High energy density polymeric dielectric films were prepared by plasma polymerization of a variety of gaseous monomers. This technique gives thin, reproducible, pinhole free, conformable, adherent, and insoluble coatings and overcomes the processing problems found in the preparation of thin films with bulk polymers. Thus, devices are prepared completely in a vacuum environment. The plasma polymerized films prepared all showed dielectric strengths of greater than 1000 kV/cm and in some cases values of greater than 4000 kV/cm were observed. The dielectric loss of all films was generally less than 1% at frequencies below 10 kHz, but this value increased at higher frequencies. All films were self healing. The dielectric strength was a function of the polymerization technique, whereas the dielectric constant varied with the structure of the starting material. Because of the thin films used (thickness in the submicron range) surface smoothness of the metal electrodes was found to be critical in obtaining high dielectric strengths. High dielectric strength graft copolymers were also prepared. Plasma polymerized ethane was found to be thermally stable up to 150 C in the presence of air and 250 C in the absence of air. No glass transitions were observed for this material.

  20. A flowing plasma model to describe drift waves in a cylindrical helicon discharge

    International Nuclear Information System (INIS)

    Chang, L.; Hole, M. J.; Corr, C. S.

    2011-01-01

    A two-fluid model developed originally to describe wave oscillations in the vacuum arc centrifuge, a cylindrical, rapidly rotating, low temperature, and confined plasma column, is applied to interpret plasma oscillations in a RF generated linear magnetized plasma [WOMBAT (waves on magnetized beams and turbulence)], with similar density and field strength. Compared to typical centrifuge plasmas, WOMBAT plasmas have slower normalized rotation frequency, lower temperature, and lower axial velocity. Despite these differences, the two-fluid model provides a consistent description of the WOMBAT plasma configuration and yields qualitative agreement between measured and predicted wave oscillation frequencies with axial field strength. In addition, the radial profile of the density perturbation predicted by this model is consistent with the data. Parameter scans show that the dispersion curve is sensitive to the axial field strength and the electron temperature, and the dependence of oscillation frequency with electron temperature matches the experiment. These results consolidate earlier claims that the density and floating potential oscillations are a resistive drift mode, driven by the density gradient. To our knowledge, this is the first detailed physics model of flowing plasmas in the diffusion region away from the RF source. Possible extensions to the model, including temperature nonuniformity and magnetic field oscillations, are also discussed.

  1. Frontiers in pulse-power-based high energy density plasma physics and its applications

    International Nuclear Information System (INIS)

    Horioka, Kazuhiko

    2008-03-01

    The papers in this volume of report were presented at the Symposium on Frontiers in Pulse-power-based High Energy Density Physics' held by National Institute for Fusion Science. The topics include the present status of high energy density plasma researches, extreme ultraviolet sources, intense radiation sources, high power ion beams, and R and D of related pulse power technologies. The 13 of the presented papers are indexed individually. (J.P.N.)

  2. The HelCat basic plasma science device

    Science.gov (United States)

    Gilmore, M.; Lynn, A. G.; Desjardins, T. R.; Zhang, Y.; Watts, C.; Hsu, S. C.; Betts, S.; Kelly, R.; Schamiloglu, E.

    2015-01-01

    The Helicon-Cathode(HelCat) device is a medium-size linear experiment suitable for a wide range of basic plasma science experiments in areas such as electrostatic turbulence and transport, magnetic relaxation, and high power microwave (HPM)-plasma interactions. The HelCat device is based on dual plasma sources located at opposite ends of the 4 m long vacuum chamber - an RF helicon source at one end and a thermionic cathode at the other. Thirteen coils provide an axial magnetic field B >= 0.220 T that can be configured individually to give various magnetic configurations (e.g. solenoid, mirror, cusp). Additional plasma sources, such as a compact coaxial plasma gun, are also utilized in some experiments, and can be located either along the chamber for perpendicular (to the background magnetic field) plasma injection, or at one of the ends for parallel injection. Using the multiple plasma sources, a wide range of plasma parameters can be obtained. Here, the HelCat device is described in detail and some examples of results from previous and ongoing experiments are given. Additionally, examples of planned experiments and device modifications are also discussed.

  3. Investigation of radiofrequency plasma sources for space travel

    International Nuclear Information System (INIS)

    Charles, C; Boswell, R W; Takahashi, K

    2012-01-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (∼1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (∼1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT). (paper)

  4. Investigation of radiofrequency plasma sources for space travel

    Science.gov (United States)

    Charles, C.; Boswell, R. W.; Takahashi, K.

    2012-12-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (˜1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (˜1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT).

  5. High precision measurement of fuel density profiles in nuclear fusion plasmas

    NARCIS (Netherlands)

    Svensson, J.; von Hellermann, M.; Konig, R.

    2002-01-01

    This paper presents a method for deducing fuel density profiles of nuclear fusion plasmas in realtime during an experiment. A Multi Layer Perceptron (MLP) neural network is used to create a mapping between plasma radiation spectra and indirectly deduced hydrogen isotope densities. By combining

  6. Plasma heating in collisionless plasma at low plasma density

    International Nuclear Information System (INIS)

    Wulf, H.O.

    1977-01-01

    The high frequency heating of a collisionless, fully ionized low density plasma is investigated in the range: 2ωc 2 2 under pumping frequencies. A pulsed 1 MHz transmitter excites a fast standing, magneto-acoustical wave in the plasma, via the high frequency magnetic field of a Stix solenoid. The available modulation degrees are between 0.7 and 7.0%. As power consumption measurements show, there appears at all investigated pumping frequencies an effective energy transfer to the plasma that cannot be explained with the classical MHD models. Measurements with electrostatic probes and further with a miniature counter-field spectrometer yield an electron and ion temperature gain of two to three factors and 15-18, compared to the corresponding values in the initial plasma. (orig./HT) [de

  7. Physics-electrical hybrid model for real time impedance matching and remote plasma characterization in RF plasma sources.

    Science.gov (United States)

    Sudhir, Dass; Bandyopadhyay, M; Chakraborty, A

    2016-02-01

    Plasma characterization and impedance matching are an integral part of any radio frequency (RF) based plasma source. In long pulse operation, particularly in high power operation where plasma load may vary due to different reasons (e.g. pressure and power), online tuning of impedance matching circuit and remote plasma density estimation are very useful. In some cases, due to remote interfaces, radio activation and, due to maintenance issues, power probes are not allowed to be incorporated in the ion source design for plasma characterization. Therefore, for characterization and impedance matching, more remote schemes are envisaged. Two such schemes by the same authors are suggested in these regards, which are based on air core transformer model of inductive coupled plasma (ICP) [M. Bandyopadhyay et al., Nucl. Fusion 55, 033017 (2015); D. Sudhir et al., Rev. Sci. Instrum. 85, 013510 (2014)]. However, the influence of the RF field interaction with the plasma to determine its impedance, a physics code HELIC [D. Arnush, Phys. Plasmas 7, 3042 (2000)] is coupled with the transformer model. This model can be useful for both types of RF sources, i.e., ICP and helicon sources.

  8. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  9. High density low-q discharges with D-shaped plasmas in Doublet III

    International Nuclear Information System (INIS)

    Nagami, Masayuki; Yoshida, Hidetoshi; Shinya, Kichiro; Yokomizo, Hideaki; Shimada, Michiya; Ioki, Kimihiro; Izumi, Shigeru; Kitsunezaki, Masao; Jahns, G.

    1981-07-01

    The maximum plasma current in Doublet III is found to be limited by disruptions when the limiter safety factor is approximately 2. However, due to the strong toroidal and shaping field effect on rotational transform at the outer plasma edge associated with a D-shape formation having a vertical elongation of 1.5, the safety factor q sub(a) * estimated from simple geometric considerations for D-shaped plasmas corresponds to values as low as 1.5. These discharges operate stably with considerably higher plasma current than most reactor design studies assume. These low-q discharges show excellent plasma performance: very flat spatial electron temperature progiles, high density operation with anti n sub(e)R/B sub(T) up to 7.8, and good energy confinement producing a volume average β of up to 1% with ohmic heating only. This operational regime appears to be applicable to future high β tokamaks with D-shaped cross section. (author)

  10. Cancer therapy using non-thermal atmospheric pressure plasma with ultra-high electron density

    International Nuclear Information System (INIS)

    Tanaka, Hiromasa; Mizuno, Masaaki; Toyokuni, Shinya; Maruyama, Shoichi; Kodera, Yasuhiro; Terasaki, Hiroko; Adachi, Tetsuo; Kato, Masashi; Kikkawa, Fumitaka; Hori, Masaru

    2015-01-01

    Cancer therapy using non-thermal atmospheric pressure plasma is a big challenge in plasma medicine. Reactive species generated from plasma are key factors for treating cancer cells, and thus, non-thermal atmospheric pressure plasma with high electron density has been developed and applied for cancer treatment. Various cancer cell lines have been treated with plasma, and non-thermal atmospheric plasma clearly has anti-tumor effects. Recent innovative studies suggest that plasma can both directly and indirectly affect cells and tissues, and this observation has widened the range of applications. Thus, cancer therapy using non-thermal atmospheric pressure plasma is promising. Animal experiments and understanding the mode of action are essential for clinical application in the future. A new academic field that combines plasma science, the biology of free radicals, and systems biology will be established

  11. Cancer therapy using non-thermal atmospheric pressure plasma with ultra-high electron density

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Hiromasa [Institute of Innovation for Future Society, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Center for Advanced Medicine and Clinical Research, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Mizuno, Masaaki [Center for Advanced Medicine and Clinical Research, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Toyokuni, Shinya [Department of Pathology and Biological Responses, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Maruyama, Shoichi [Department of Nephrology, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Kodera, Yasuhiro [Department of Gastroenterological Surgery (Surgery II), Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Terasaki, Hiroko [Department of Ophthalmology, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Adachi, Tetsuo [Laboratory of Clinical Pharmaceutics, Gifu Pharmaceutical University, 501-1196 Gifu (Japan); Kato, Masashi [Department of Occupational and Environmental Health, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Kikkawa, Fumitaka [Department of Obstetrics and Gynecology, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Hori, Masaru [Institute of Innovation for Future Society, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2015-12-15

    Cancer therapy using non-thermal atmospheric pressure plasma is a big challenge in plasma medicine. Reactive species generated from plasma are key factors for treating cancer cells, and thus, non-thermal atmospheric pressure plasma with high electron density has been developed and applied for cancer treatment. Various cancer cell lines have been treated with plasma, and non-thermal atmospheric plasma clearly has anti-tumor effects. Recent innovative studies suggest that plasma can both directly and indirectly affect cells and tissues, and this observation has widened the range of applications. Thus, cancer therapy using non-thermal atmospheric pressure plasma is promising. Animal experiments and understanding the mode of action are essential for clinical application in the future. A new academic field that combines plasma science, the biology of free radicals, and systems biology will be established.

  12. Hydrogen retention properties of co-deposition under high-density plasmas in TRIAM-1M

    International Nuclear Information System (INIS)

    Tokitani, M.; Miyamoto, M.; Tokunaga, K.; Fujiwara, T.; Yoshida, N.; Sakamoto, M.; Zushi, H.; Hanada, K.; TRIAM Group,; Nagata, S.; Tsuchiya, B.

    2007-01-01

    Retention of hydrogen in co-deposits formed under high-density plasma discharge in TRIAM-1M was studied. In order to quantify the retained hydrogen, material probe experiments were performed under the high-density (n at e ∼10 19 m -3 ) discharges. After the exposure to the plasma, the quantitative analysis of deposition, hydrogen retention, and microscopic modification of specimens were performed by means of ion beam analysis and transmission electron microscopy. The co-deposits mainly consisted of Mo. The deposition rate of Mo was about ten times higher than that of the low-density discharge case. The hydrogen concentrations (H/Mo) retained in the co-deposits were 0.06-0.17, which was much higher than that in bulk-Mo and almost equal to the low-density case. These results indicate that as long as the co-deposition layers are continuously formed, strong wall pumping in TRIAM-1M is maintained during the discharges

  13. Particle acceleration in near critical density plasma

    International Nuclear Information System (INIS)

    Gu, Y.J.; Kong, Q.; Kawata, S.; Izumiyama, T.; Nagashima, T.

    2013-01-01

    Charged particle acceleration schemes driven by ultra intense laser and near critical density plasma interactions are presented. They include electron acceleration in a plasma channel, ion acceleration by the Coulomb explosion and high energy electron beam driven ion acceleration. It is found that under the near critical density plasma both ions and electrons are accelerated with a high acceleration gradient. The electron beam containing a large charge quantity is accelerated well with 23 GeV/cm. The collimated ion bunch reaches 1 GeV. The investigations and discussions are based on 2.5D PIC (particle-in-cell) simulations. (author)

  14. High-rate synthesis of microcrystalline silicon films using high-density SiH4/H2 microwave plasma

    International Nuclear Information System (INIS)

    Jia, Haijun; Saha, Jhantu K.; Ohse, Naoyuki; Shirai, Hajime

    2007-01-01

    A high electron density (> 10 11 cm -3 ) and low electron temperature (1-2 eV) plasma is produced by using a microwave plasma source utilizing a spoke antenna, and is applied for the high-rate synthesis of high quality microcrystalline silicon (μc-Si) films. A very fast deposition rate of ∼ 65 A/s is achieved at a substrate temperature of 150 deg. C with a high Raman crystallinity and a low defect density of (1-2) x 10 16 cm -3 . Optical emission spectroscopy measurements reveal that emission intensity of SiH and intensity ratio of H α /SiH are good monitors for film deposition rate and film crystallinity, respectively. A high flux of film deposition precursor and atomic hydrogen under a moderate substrate temperature condition is effective for the fast deposition of highly crystallized μc-Si films without creating additional defects as well as for the improvement of film homogeneity

  15. A Method to Construct Plasma with Nonlinear Density Enhancement Effect in Multiple Internal Inductively Coupled Plasmas

    International Nuclear Information System (INIS)

    Chen Zhipeng; Li Hong; Liu Qiuyan; Luo Chen; Xie Jinlin; Liu Wandong

    2011-01-01

    A method is proposed to built up plasma based on a nonlinear enhancement phenomenon of plasma density with discharge by multiple internal antennas simultaneously. It turns out that the plasma density under multiple sources is higher than the linear summation of the density under each source. This effect is helpful to reduce the fast exponential decay of plasma density in single internal inductively coupled plasma source and generating a larger-area plasma with multiple internal inductively coupled plasma sources. After a careful study on the balance between the enhancement and the decay of plasma density in experiments, a plasma is built up by four sources, which proves the feasibility of this method. According to the method, more sources and more intensive enhancement effect can be employed to further build up a high-density, large-area plasma for different applications. (low temperature plasma)

  16. A new quasi-stationary, very high density plasma regime on the W7-AS stellarator

    International Nuclear Information System (INIS)

    Jaenicke, R; Baeumel, S; Baldzuhn, J; Brakel, R; Burhenn, R; Ehmler, H; Endler, M; Erckmann, V; Feng, Y; Gadelmeier, F; Geiger, J; Giannone, L; Grigull, P; Hartfuss, H J; Hartmann, D; Hildebrandt, D; Hirsch, M; Holzhauer, E; Kick, M; Kisslinger, J; Klinger, T; Klose, S; Knauer, J; Koenig, R; Kuehner, G; Laqua, H; Maassberg, H; McCormick, K; Narayanan, R; Niedermeyer, H; Pasch, E; Ruhs, N; Rust, N; Saffert, J; Sardei, F; Schneider, F; Schubert, M; Speth, E; Wagner, F; Weller, A; Wenzel, U; Werner, A; Wuersching, E

    2002-01-01

    Stellarators have the intrinsic property of steady state operation. However, on present-day stellarators the pulse length is usually not only limited due to technical reasons, but also by physical problems. Lack of density control and a subsequent radiation collapse terminate the discharges quite often at high densities. To improve the control of the plasma-wall interaction, the island divertor concept was developed for optimized stellarators. To test this divertor concept on W7-AS, all limiters were removed and replaced by ten divertor modules. In subsequent divertor experiments a promising new plasma operational regime has been discovered which is termed 'high density H-mode' (HDH-mode). During the transition into that regime a clear reduction of ELM-like events and turbulent fluctuations is observed. The HDH-mode combines good energy confinement with very low impurity confinement resulting in low core radiation, but high edge-localized radiation. Consequently, stationary discharges at densities of typically 2x10 20 m -3 can be performed within the accessible pulse length of about 1 s. At densities above 3x10 20 m -3 a controlled transition from attached to partially detached plasmas is observed. The still edge-localized radiation reaches 90% of the heating power so that the power load onto the divertor target plates is further reduced. At a lower toroidal field of 0.9 T average β-values could be raised from earlier 2% to more than 3% in magnetic field configurations with rather smooth flux surfaces at the plasma boundary. The recently obtained results render excellent prospects for W7-X, the larger superconducting successor experiment of W7-AS

  17. A conceptual fusion reactor based on the high-plasma-density Z-pinch

    International Nuclear Information System (INIS)

    Hartman, C.W.; Carlson, G.; Hoffman, M.; Werner, R.

    1977-01-01

    Conceptual DT and DD fusion reactors are discussed based on magnetic confinement with the high-plasma-density Z-pinch. The reactor concepts have no ''first wall'', the fusion neutrons and plasma energy being absorbed directly into a surrounding lithium vortex blanket. Efficient systems with low re-circulated power are projected, based on a flow-through pinch cycle for which overall Q values can approach 10. The conceptual reactors are characterized by simplicity, small minimum size (100MW(e)) and by the potential for minimal radioactivity hazards. (author)

  18. Application of soft x-ray laser interferometry to study large-scale-length, high-density plasmas

    International Nuclear Information System (INIS)

    Wan, A.S.; Barbee, T.W., Jr.; Cauble, R.

    1996-01-01

    We have employed a Mach-Zehnder interferometer, using a Ne-like Y x- ray laser at 155 Angstrom as the probe source, to study large-scale- length, high-density colliding plasmas and exploding foils. The measured density profile of counter-streaming high-density colliding plasmas falls in between the calculated profiles using collisionless and fluid approximations with the radiation hydrodynamic code LASNEX. We have also performed simultaneous measured the local gain and electron density of Y x-ray laser amplifier. Measured gains in the amplifier were found to be between 10 and 20 cm -1 , similar to predictions and indicating that refraction is the major cause of signal loss in long line focus lasers. Images showed that high gain was produced in spots with dimensions of ∼ 10 μm, which we believe is caused by intensity variations in the optical drive laser. Measured density variations were smooth on the 10-μm scale so that temperature variations were likely the cause of the localized gain regions. We are now using the interferometry technique as a mechanism to validate and benchmark our numerical codes used for the design and analysis of high-energy-density physics experiments. 11 refs., 6 figs

  19. Megagauss field generation for high-energy-density plasma science experiments

    International Nuclear Information System (INIS)

    Rovang, Dean Curtis; Struve, Kenneth William; Porter, John Larry Jr.

    2008-01-01

    There is a need to generate magnetic fields both above and below 1 megagauss (100 T) with compact generators for laser-plasma experiments in the Beamlet and Petawatt test chambers for focused research on fundamental properties of high energy density magnetic plasmas. Some of the important topics that could be addressed with such a capability are magnetic field diffusion, particle confinement, plasma instabilities, spectroscopic diagnostic development, material properties, flux compression, and alternate confinement schemes, all of which could directly support experiments on Z. This report summarizes a two-month study to develop preliminary designs of magnetic field generators for three design regimes. These are, (1) a design for a relatively low-field (10 to 50 T), compact generator for modest volumes (1 to 10 cm3), (2) a high-field (50 to 200 T) design for smaller volumes (10 to 100 mm3), and (3) an extreme field (greater than 600 T) design that uses flux compression. These designs rely on existing Sandia pulsed-power expertise and equipment, and address issues of magnetic field scaling with capacitor bank design and field inductance, vacuum interface, and trade-offs between inductance and coil designs

  20. Laser produced plasma density measurement by Mach-Zehnder interferometry

    International Nuclear Information System (INIS)

    Vaziri, A.; Kohanzadeh, Y.; Mosavi, R.K.

    1976-06-01

    This report describes an optical interferometric method of measuring the refractive index of the laser-produced plasma, giving estimates of its electron density. The plasma is produced by the interaction of a high power pulsed CO 2 laser beam with a solid target in the vacuum. The time varying plasma has a transient electron density. This transient electron density gives rise to a changing plasma refractive index. A Mach-Zehnder ruby laser interferometer is used to measure this refractive index change

  1. Plasma probe characteristics in low density hydrogen pulsed plasmas

    International Nuclear Information System (INIS)

    Astakhov, D I; Lee, C J; Bijkerk, F; Goedheer, W J; Ivanov, V V; Krivtsun, V M; Zotovich, A I; Zyryanov, S M; Lopaev, D V

    2015-01-01

    Probe theories are only applicable in the regime where the probe’s perturbation of the plasma can be neglected. However, it is not always possible to know, a priori, that a particular probe theory can be successfully applied, especially in low density plasmas. This is especially difficult in the case of transient, low density plasmas. Here, we applied probe diagnostics in combination with a 2D particle-in-cell model, to an experiment with a pulsed low density hydrogen plasma. The calculations took into account the full chamber geometry, including the plasma probe as an electrode in the chamber. It was found that the simulations reproduce the time evolution of the probe IV characteristics with good accuracy. The disagreement between the simulated and probe measured plasma density is attributed to the limited applicability of probe theory to measurements of low density pulsed plasmas on a similarly short time scale as investigated here. Indeed, in the case studied here, probe measurements would lead to, either a large overestimate, or underestimate of the plasma density, depending on the chosen probe theory. In contrast, the simulations of the plasma evolution and the probe characteristics do not suffer from such strict applicability limits. These studies show that probe theory cannot be justified through probe measurements. However, limiting cases of probe theories can be used to estimate upper and lower bounds on plasma densities. These theories include and neglect orbital motion, respectively, with different collisional terms leading to intermediate estimates. (paper)

  2. High density turbulent plasma processes from a shock tube

    International Nuclear Information System (INIS)

    Oyedeji, O.; Johnson, J.A. III

    1991-01-01

    We have finished the first stages of our experimental and theoretical investigations on models for energy and momentum transport and for photon-particle collision processes in a turbulent quasi-stationary high density plasma. The system is explored by beginning to determine the turbulence phenomenology associated with an ionizing shock wave. The theoretical underpinnings are explored for phonon particle collisions by determining the collisional redistribution function, using Lioville Space Green's Function, which will characterize the inelastic scattering of the radiation from one frequency to another. We have observed that a weak magnetic field tends to increase the apparent random-like behaviors in a collisional turbulent plasma. On the theoretical side, we have been able to achieve a form for the collisional redistribution function. It remains to apply these concepts to a stationary turbulent plasma in the reflected ionizing shock wave and to exercise the implications of evaluations of the collisional redistribution function for such a system when it is probed by a strong radiation source. These results are discussed in detail in the publications, which have resulted from the this effort, cited at the end of the report

  3. Vacuum heating evaluation for plasmas of exponentially decreasing density profile

    International Nuclear Information System (INIS)

    Pestehe, S.J.; Mohammadnejad, M.

    2008-01-01

    Ultra-short pulse lasers have opened a regime of laser-plasma interaction where plasmas have scale lengths shorter than the laser wavelength and allow the possibility of generating near-solid density plasmas. The interaction of high-intensity laser beams with sharply bounded high-density and small scale length plasmas is considered. Absorption of the laser energy associated with the mechanism of dragging electrons out of the plasma into the vacuum and sending them back into the plasma with the electric field component along the density gradient, so called vacuum heating, is studied. An exponentially decreasing electron density profile is assumed. The vector potential of the electromagnetic field propagating through the plasma is calculated and the behaviour of the electric and magnetic components of the electromagnetic field is studied. The fraction of laser power absorbed in this process is calculated and plotted versus the laser beam incidence angle, illumination energy, and the plasma scale length

  4. Measuring the Plasma Density of a Ferroelectric Plasma Source in an Expanding Plasma

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2003-01-01

    The initial density and electron temperature at the surface of a ferroelectric plasma source were deduced from floating probe measurements in an expanding plasma. The method exploits negative charging of the floating probe capacitance by fast flows before the expanding plasma reaches the probe. The temporal profiles of the plasma density can be obtained from the voltage traces of the discharge of the charged probe capacitance by the ion current from the expanding plasma. The temporal profiles of the plasma density, at two different distances from the surface of the ferroelectric plasma source, could be further fitted by using the density profiles for the expanding plasma. This gives the initial values of the plasma density and electron temperature at the surface. The method could be useful for any pulsed discharge, which is accompanied by considerable electromagnetic noise, if the initial plasma parameters might be deduced from measurements in expanding plasma

  5. Effect of the scrape-off layer in AORSA full wave simulations of fast wave minority, mid/high harmonic, and helicon heating regimes

    Energy Technology Data Exchange (ETDEWEB)

    Bertelli, N., E-mail: nbertell@pppl.gov; Gerhardt, S.; Hosea, J. C.; LeBlanc, B.; Perkins, R. J.; Phillips, C. K.; Taylor, G.; Valeo, E. J.; Wilson, J. R. [Princeton Plasma Physics Laboratory, Princeton, NJ 08543 (United States); Jaeger, E. F. [XCEL Engineering Inc., Oak Ridge, TN 37830 (United States); Lau, C.; Blazevski, D.; Green, D. L.; Berry, L.; Ryan, P. M. [Oak Ridge National Laboratory, Oak Ridge, TN 37831-6169 (United States); Bonoli, P. T.; Wright, J. C. [MIT Plasma Science and Fusion Center, Cambridge, MA 02139 (United States); Pinsker, R. I.; Prater, R. [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Qin, C. M. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); and others

    2015-12-10

    Several experiments on different machines and in different fast wave (FW) heating regimes, such as hydrogen minority heating and high harmonic fast waves, have found strong interactions between radio-frequency (RF) waves and the scrape-off layer (SOL) region. This paper examines the propagation and the power loss in the SOL by using the full wave code AORSA, in which the edge plasma beyond the last closed flux surface (LCFS) is included in the solution domain and a collisional damping parameter is used as a proxy to represent the real, and most likely nonlinear, damping processes. 3D AORSA results for the National Spherical Torus eXperiment (NSTX), where a full antenna spectrum is reconstructed, are shown, confirming the same behavior found for a single toroidal mode results in Bertelli et al, Nucl. Fusion, 54 083004, 2014, namely, a strong transition to higher SOL power losses (driven by the RF field) when the FW cut-off is moved away from in front of the antenna by increasing the edge density. Additionally, full wave simulations have been extended to “conventional” tokamaks with higher aspect ratios, such as the DIII-D, Alcator C-Mod, and EAST devices. DIII-D results show similar behavior found in NSTX and NSTX-U, consistent with previous DIII-D experimental observations. In contrast, a different behavior has been found for Alcator C-Mod and EAST, which operate in the minority heating regime unlike NSTX/NSTX-U and DIII-D, which operate in the mid/high harmonic regime. A substantial discussion of some of the main aspects, such as (i) the pitch angle of the magnetic field; (ii) minority heating vs. mid/high harmonic regimes is presented showing the different behavior of the RF field in the SOL region for NSTX-U scenarios with different plasma current. Finally, the preliminary results of the impact of the SOL region on the evaluation of the helicon current drive efficiency in DIII-D is presented for the first time and briefly compared with the different regimes

  6. Dynamic high energy density plasma environments at the National Ignition Facility for nuclear science research

    Science.gov (United States)

    Cerjan, Ch J.; Bernstein, L.; Berzak Hopkins, L.; Bionta, R. M.; Bleuel, D. L.; Caggiano, J. A.; Cassata, W. S.; Brune, C. R.; Frenje, J.; Gatu-Johnson, M.; Gharibyan, N.; Grim, G.; Hagmann, Chr; Hamza, A.; Hatarik, R.; Hartouni, E. P.; Henry, E. A.; Herrmann, H.; Izumi, N.; Kalantar, D. H.; Khater, H. Y.; Kim, Y.; Kritcher, A.; Litvinov, Yu A.; Merrill, F.; Moody, K.; Neumayer, P.; Ratkiewicz, A.; Rinderknecht, H. G.; Sayre, D.; Shaughnessy, D.; Spears, B.; Stoeffl, W.; Tommasini, R.; Yeamans, Ch; Velsko, C.; Wiescher, M.; Couder, M.; Zylstra, A.; Schneider, D.

    2018-03-01

    The generation of dynamic high energy density plasmas in the pico- to nano-second time domain at high-energy laser facilities affords unprecedented nuclear science research possibilities. At the National Ignition Facility (NIF), the primary goal of inertial confinement fusion research has led to the synergistic development of a unique high brightness neutron source, sophisticated nuclear diagnostic instrumentation, and versatile experimental platforms. These novel experimental capabilities provide a new path to investigate nuclear processes and structural effects in the time, mass and energy density domains relevant to astrophysical phenomena in a unique terrestrial environment. Some immediate applications include neutron capture cross-section evaluation, fission fragment production, and ion energy loss measurement in electron-degenerate plasmas. More generally, the NIF conditions provide a singular environment to investigate the interplay of atomic and nuclear processes such as plasma screening effects upon thermonuclear reactivity. Achieving enhanced understanding of many of these effects will also significantly advance fusion energy research and challenge existing theoretical models.

  7. Cholesteryl ester transfer activity in plasma measured by using solid-phase-bound high-density lipoprotein

    International Nuclear Information System (INIS)

    Sparks, D.L.; Frohlich, J.; Cullis, P.; Pritchard, P.H.

    1987-01-01

    We studied the ability of lipid-transfer factors in plasma to promote transfer, to endogenous lipoproteins, of [ 3 H]cholesteryl ester from high-density lipoprotein (HDL) covalently bound to Sepharose 4B beads. After incubation for 2 h at 37 degrees C, 12 to 14% of the [ 3 H]cholesteryl ester had been transferred to the lipoproteins of the plasma, in the proportions 57% to HDL and 43% to low- and very-low-density lipoproteins. This process was a function of the amount of plasma present and was stimulated by addition of partly purified lipid-transfer protein. Transfer also depended on the concentration of donor HDL but was independent of the amount of acceptor lipoprotein. This simple evaluation of cholesteryl ester transfer does not require removal of lipoproteins from the plasma before incubation

  8. High density plasmas and new diagnostics: An overview (invited)

    International Nuclear Information System (INIS)

    Celona, L.; Gammino, S.; Mascali, D.

    2016-01-01

    One of the limiting factors for the full understanding of Electron Cyclotron Resonance Ion Sources (ECRISs) fundamental mechanisms consists of few types of diagnostic tools so far available for such compact machines. Microwave-to-plasma coupling optimisation, new methods of density overboost provided by plasma wave generation, and magnetostatic field tailoring for generating a proper electron energy distribution function, suitable for optimal ion beams formation, require diagnostic tools spanning across the entire electromagnetic spectrum from microwave interferometry to X-ray spectroscopy; these methods are going to be implemented including high resolution and spatially resolved X-ray spectroscopy made by quasi-optical methods (pin-hole cameras). The ion confinement optimisation also requires a complete control of cold electrons displacement, which can be performed by optical emission spectroscopy. Several diagnostic tools have been recently developed at INFN-LNS, including “volume-integrated” X-ray spectroscopy in low energy domain (2-30 keV, by using silicon drift detectors) or high energy regime (>30 keV, by using high purity germanium detectors). For the direct detection of the spatially resolved spectral distribution of X-rays produced by the electronic motion, a “pin-hole camera” has been developed also taking profit from previous experiences in the ECRIS field. The paper will give an overview of INFN-LNS strategy in terms of new microwave-to-plasma coupling schemes and advanced diagnostics supporting the design of new ion sources and for optimizing the performances of the existing ones, with the goal of a microwave-absorption oriented design of future machines

  9. Relation between plasma plume density and gas flow velocity in atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Yambe, Kiyoyuki; Taka, Shogo; Ogura, Kazuo

    2014-01-01

    We have studied atmospheric pressure plasma generated using a quartz tube, helium gas, and copper foil electrode by applying RF high voltage. The atmospheric pressure plasma in the form of a bullet is released as a plume into the atmosphere. To study the properties of the plasma plume, the plasma plume current is estimated from the difference in currents on the circuit, and the drift velocity is measured using a photodetector. The relation of the plasma plume density n plu , which is estimated from the current and the drift velocity, and the gas flow velocity v gas is examined. It is found that the dependence of the density on the gas flow velocity has relations of n plu ∝ log(v gas ). However, the plasma plume density in the laminar flow is higher than that in the turbulent flow. Consequently, in the laminar flow, the density increases with increasing the gas flow velocity

  10. High-field, high-density tokamak power reactor

    International Nuclear Information System (INIS)

    Cohn, D.R.; Cook, D.L.; Hay, R.D.; Kaplan, D.; Kreischer, K.; Lidskii, L.M.; Stephany, W.; Williams, J.E.C.; Jassby, D.L.; Okabayashi, M.

    1977-11-01

    A conceptual design of a compact (R 0 = 6.0 m) high power density (average P/sub f/ = 7.7 MW/m 3 ) tokamak demonstration power reactor has been developed. High magnetic field (B/sub t/ = 7.4 T) and moderate elongation (b/a = 1.6) permit operation at the high density (n(0) approximately 5 x 10 14 cm -3 ) needed for ignition in a relatively small plasma, with a spatially-averaged toroidal beta of only 4%. A unique design for the Nb 3 Sn toroidal-field magnet system reduces the stress in the high-field trunk region, and allows modularization for simpler disassembly. The modest value of toroidal beta permits a simple, modularized plasma-shaping coil system, located inside the TF coil trunk. Heating of the dense central plasma is attained by the use of ripple-assisted injection of 120-keV D 0 beams. The ripple-coil system also affords dynamic control of the plasma temperature during the burn period. A FLIBE-lithium blanket is designed especially for high-power-density operation in a high-field environment, and gives an overall tritium breeding ratio of 1.05 in the slowly pumped lithium

  11. Recent measurements of electron density profiles of plasmas in PLADIS I, a plasma disruption simulator

    International Nuclear Information System (INIS)

    Bradley, J. III; Sharp, G.; Gahl, J.M. Kuznetsov, V.; Rockett, P.; Hunter, J.

    1995-01-01

    Tokamak disruption simulation experiments are being conducted at the University of New Mexico (UNM) using the PLADIS I plasma gun system. PLADIS I is a high power, high energy coaxial plasma gun configured to produce an intense plasma beam. First wall candidate materials are placed in the beam path to determine their response under disruption relevant energy densities. An optically thick vapor shield plasma has been observed to form above the target surface in PLADIS I. Various diagnostics have been used to determine the characteristics of the incident plasma and the vapor shielding plasma. The cross sectional area of the incident plasma beam is a critical characteristic, as it is used in the calculation of the incident plasma energy density. Recently, a HeNe interferometer in the Mach-Zehnder configuration has been constructed and used to probe the electron density of the incident plasma beam and vapor shield plasma. The object beam of the interferometer is scanned across the plasma beam on successive shots, yielding line integrals of beam density on different chords through the plasma. Data from the interferometer is used to determine the electron density profile of the incident plasma beam as a function of beam radius. This data is then used to calculate the effective beam area. Estimates. of beam area, obtained from other diagnostics such as damage targets, calorimeter arrays and off-axis measurements of surface pressure, will be compared with data from the interferometer to obtain a better estimate of the beam cross sectional area

  12. Progress On The Thomson Scattering Diagnostic For The Helicon Plasma Experiment (HPX)

    Science.gov (United States)

    Green, A.; Emami, T.; Davies, R.; Frank, J.; Hopson, J.; Karama, J.; James, R. W.; Hopson, J.; Paolino, R. N.; Sandri, E.; Turk, J.; Wicke, M.; Cgapl Team

    2017-10-01

    A high-performance spectrometer utilizing volume-phase-holographic (VPH) grating and a charge coupled device (CCD) camera with a range of 380-1090 nm and resolution of 1024x1024 has been assembled on HPX at the Coast Guard Academy Plasma Laboratory (CGAPL). This spectrometer will collect doppler shifted photons, emitted from the plasma by the first harmonic (1064 nm) of a 2.5 J Nd:YAG laser. Direct measurements of the plasma's temperature and density will be determined using HPX's Thomson Scattering (TS) single spatial point diagnostic system. A zero order half wave plate rotates the polarization of the second harmonic TS laser beam when operating at a wavelength of 532 nm. A linear actuated periscope has been constructed to remotely redirect the beam so that 532 and 1064 nm wavelengths can both be used. TS has the capability of determining plasma properties on short time scales and will be used to create a robust picture of the internal plasma parameters. Operating at both 532 and 1064 nm results in a self-consistent measurement and better use our existing spectrometer and soon to be constructed polychrometer. A prototype spectrometer has been constructed to explore the Andor CCD camera's resolution and sensitivity. The current status of the diagnostic development, spectrometer, and collection optics system will be reported. Supported by U.S. DEPS Grant [HEL-JTO] PRWJFY17.

  13. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Odorici, F., E-mail: fabrizio.odorici@bo.infn.it; Malferrari, L.; Montanari, A. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); Rizzoli, R. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); CNR–Istituto per la Microelettronica ed i Microsistemi, Via Gobetti 101, 40129 Bologna (Italy); Mascali, D.; Castro, G.; Celona, L.; Gammino, S.; Neri, L. [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy)

    2016-02-15

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to “screen” the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  14. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources.

    Science.gov (United States)

    Odorici, F; Malferrari, L; Montanari, A; Rizzoli, R; Mascali, D; Castro, G; Celona, L; Gammino, S; Neri, L

    2016-02-01

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to "screen" the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  15. Plasma density control in real-time on the COMPASS tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Janky, F., E-mail: filip.janky.work@gmail.com [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic); Department of Surface and Plasma Science, Faculty of Mathematics and Physics, Charles University in Prague, V Holešovičkách 2, 180 00 Praha 8 (Czech Republic); Hron, M. [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic); Havlicek, J. [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic); Department of Surface and Plasma Science, Faculty of Mathematics and Physics, Charles University in Prague, V Holešovičkách 2, 180 00 Praha 8 (Czech Republic); Varavin, M.; Zacek, F.; Seidl, J.; Panek, R. [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic)

    2015-10-15

    Highlights: • We fitted length of the chord of the interferometry crossing plasma in the different plasma scenarios. • We add correction to the actual length of the chord of the interferometry according to plasma shape and position in real-time code. • We used this correction to control plasma density in real-time. - Abstract: The electron density on COMPASS is measured using 2 mm microwave interferometer. Interferometer signal is used as an input for the feedback control loop, running under the MARTe real-time framework. Two different threads are used to calculate (fast 50 μs thread) and to control (slow 500 μs thread) the electron density. The interferometer measures a line averaged density along a measurement chord. This paper describes an approach to control the line-averaged electron density in a real-time loop, using a correction to the real plasma shape, the plasma position, and non-linear effects of the electron density measurement at high densities. Newly developed real-time electron density control give COMPASS the chance to control the electron density more accurately which is essential for parametric scans for diagnosticians, for physics experiments and also for achieving plasma scenarios with H-mode.

  16. Ion ejection from a permanent-magnet mini-helicon thruster

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Francis F. [Electrical Engineering Department, University of California, Los Angeles 90095-1594 (United States)

    2014-09-15

    A small helicon source, 5 cm in diameter and 5 cm long, using a permanent magnet (PM) to create the DC magnetic field B, is investigated for its possible use as an ion spacecraft thruster. Such ambipolar thrusters do not require a separate electron source for neutralization. The discharge is placed in the far-field of the annular PM, where B is fairly uniform. The plasma is ejected into a large chamber, where the ion energy distribution is measured with a retarding-field energy analyzer. The resulting specific impulse is lower than that of Hall thrusters but can easily be increased to relevant values by applying to the endplate of the discharge a small voltage relative to spacecraft ground.

  17. Laser fusion and high energy density science

    International Nuclear Information System (INIS)

    Kodama, Ryosuke

    2005-01-01

    High-power laser technology is now opening a variety of new fields of science and technology using laser-produced plasmas. The laser plasma is now recognized as one of the important tools for the investigation and application of matter under extreme conditions, which is called high energy density science. This chapter shows a variety of applications of laser-produced plasmas as high energy density science. One of the more attractive industrial and science applications is the generation of intense pulse-radiation sources, such as the generation of electro-magnetic waves in the ranges of EUV (Extreme Ultra Violet) to gamma rays and laser acceleration of charged particles. The laser plasma is used as an energy converter in this regime. The fundamental science applications of high energy density physics are shown by introducing laboratory astrophysics, the equation of state of high pressure matter, including warm dense matter and nuclear science. Other applications are also presented, such as femto-second laser propulsion and light guiding. Finally, a new systematization is proposed to explore the possibility of the high energy density plasma application, which is called high energy plasma photonics''. This is also exploration of the boundary regions between laser technology and beam optics based on plasma physics. (author)

  18. Plasma density characterization at SPARC-LAB through Stark broadening of Hydrogen spectral lines

    Energy Technology Data Exchange (ETDEWEB)

    Filippi, F., E-mail: francesco.filippi@roma1.infn.it [Dipartimento di Scienze di Base e Applicate per l' Ingegneria (SBAI), ‘Sapienza’ Università di Roma, Via A. Scarpa 14-16, 00161 Roma (Italy); INFN-Roma1, Piazzale Aldo Moro, 2 00161 Roma (Italy); Anania, M.P.; Bellaveglia, M.; Biagioni, A.; Chiadroni, E. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Cianchi, A. [Dipartimento di Fisica, Universitá di Roma Tor Vergata, Via della Ricerca Scientifica 1, 00133 Roma (Italy); Di Giovenale, D.; Di Pirro, G.; Ferrario, M. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Mostacci, A.; Palumbo, L. [Dipartimento di Scienze di Base e Applicate per l' Ingegneria (SBAI), ‘Sapienza’ Università di Roma, Via A. Scarpa 14-16, 00161 Roma (Italy); INFN-Roma1, Piazzale Aldo Moro, 2 00161 Roma (Italy); Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Zigler, A. [Hebrew University of Jerusalem, Jerusalem 91904 (Israel)

    2016-09-01

    Plasma-based acceleration techniques are of great interest for future, compact accelerators due to their high accelerating gradient. Both particle-driven and laser-driven Plasma Wakefield Acceleration experiments are foreseen at the SPARC-LAB Test Facility (INFN National Laboratories of Frascati, Italy), with the aim to accelerate high-brightness electron beams. In order to optimize the efficiency of the acceleration in the plasma and preserve the quality of the accelerated beam, the knowledge of the plasma electron density is mandatory. The Stark broadening of the Hydrogen spectral lines is one of the candidates used to characterize plasma density. The implementation of this diagnostic for plasma-based experiments at SPARC-LAB is presented. - Highlights: • Stark broadening of Hydrogen lines has been measured to determine plasma density. • Plasma density diagnostic tool for plasma-based experiments at SPARC-LAB is presented. • Plasma density in tapered laser triggered ablative capillary discharge was measured. • Results of plasma density measurements in ablative capillaries are shown.

  19. Plasma density characterization at SPARC-LAB through Stark broadening of Hydrogen spectral lines

    International Nuclear Information System (INIS)

    Filippi, F.; Anania, M.P.; Bellaveglia, M.; Biagioni, A.; Chiadroni, E.; Cianchi, A.; Di Giovenale, D.; Di Pirro, G.; Ferrario, M.; Mostacci, A.; Palumbo, L.; Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F.; Zigler, A.

    2016-01-01

    Plasma-based acceleration techniques are of great interest for future, compact accelerators due to their high accelerating gradient. Both particle-driven and laser-driven Plasma Wakefield Acceleration experiments are foreseen at the SPARC-LAB Test Facility (INFN National Laboratories of Frascati, Italy), with the aim to accelerate high-brightness electron beams. In order to optimize the efficiency of the acceleration in the plasma and preserve the quality of the accelerated beam, the knowledge of the plasma electron density is mandatory. The Stark broadening of the Hydrogen spectral lines is one of the candidates used to characterize plasma density. The implementation of this diagnostic for plasma-based experiments at SPARC-LAB is presented. - Highlights: • Stark broadening of Hydrogen lines has been measured to determine plasma density. • Plasma density diagnostic tool for plasma-based experiments at SPARC-LAB is presented. • Plasma density in tapered laser triggered ablative capillary discharge was measured. • Results of plasma density measurements in ablative capillaries are shown.

  20. In vivo transfer of cholesteryl ester from high and low density plasma lipoproteins into human aortic tissue

    International Nuclear Information System (INIS)

    Stender, S.; Hjelms, E.

    1988-01-01

    For the study of cholesteryl ester transfer from different plasma lipoproteins into human aortic tissue, patients scheduled for reconstructive aortic surgery were intravenously injected with autologous in vitro labeled lipoproteins 20 to 24 hours before aortic intima-media samples were obtained during the operation. The injectate contained high density lipoproteins (d greater than 1.063) labeled with 3H-cholesteryl ester and lipoproteins of lower density (d less than 1.063) labeled with 14C-cholesteryl ester or lipoproteins with the opposite labeling. In 16 aortic tissue samples (some with visible atherosclerosis) from 11 normocholesterolemic patients, the aortic influx of total cholesteryl ester was 1 to 50 nmol x cm-2 x day-1. Some 39% +/- 3% (mean +/- SEM) of the influx was derived from high density lipoproteins, which in plasma accounted for only 22% +/- 2% (mean +/- SEM) of the esterified cholesterol. The findings suggest that: 1) esterified cholesterol from the two lipoprotein fractions in plasma enter the aortic intima by the same mechanism, and 2) influx of cholesteryl ester from the smaller, high density lipoproteins is greater than influx from the larger, lower density lipoproteins considering their concentrations in plasma. In some patients, the cholesterol content in the intima-media tissue with no visible atherosclerosis corresponded to only a few months of continuous cholesteryl ester influx. This time is short considering the age of the patients and, therefore, indicates that removal of esterified cholesterol from the intima-media is of major importance in preventing cholesterol deposition in the arterial wall

  1. A two photon absorption laser induced fluorescence diagnostic for fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Magee, R. M.; Galante, M. E.; McCarren, D.; Scime, E. E. [Physics Department, West Virginia University, Morgantown, West Virginia 26506 (United States); Boivin, R. L.; Brooks, N. H.; Groebner, R. J.; Hill, D. N. [General Atomics, San Diego, California 92121 (United States); Porter, G. D. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States)

    2012-10-15

    The quality of plasma produced in a magnetic confinement fusion device is influenced to a large extent by the neutral gas surrounding the plasma. The plasma is fueled by the ionization of neutrals, and charge exchange interactions between edge neutrals and plasma ions are a sink of energy and momentum. Here we describe a diagnostic capable of measuring the spatial distribution of neutral gas in a magnetically confined fusion plasma. A high intensity (5 MW/cm{sup 2}), narrow bandwidth (0.1 cm{sup -1}) laser is injected into a hydrogen plasma to excite the Lyman {beta} transition via the simultaneous absorption of two 205 nm photons. The absorption rate, determined by measurement of subsequent Balmer {alpha} emission, is proportional to the number of particles with a given velocity. Calibration is performed in situ by filling the chamber to a known pressure of neutral krypton and exciting a transition close in wavelength to that used in hydrogen. We present details of the calibration procedure, including a technique for identifying saturation broadening, measurements of the neutral density profile in a hydrogen helicon plasma, and discuss the application of the diagnostic to plasmas in the DIII-D tokamak.

  2. A two photon absorption laser induced fluorescence diagnostic for fusion plasmas.

    Science.gov (United States)

    Magee, R M; Galante, M E; McCarren, D; Scime, E E; Boivin, R L; Brooks, N H; Groebner, R J; Hill, D N; Porter, G D

    2012-10-01

    The quality of plasma produced in a magnetic confinement fusion device is influenced to a large extent by the neutral gas surrounding the plasma. The plasma is fueled by the ionization of neutrals, and charge exchange interactions between edge neutrals and plasma ions are a sink of energy and momentum. Here we describe a diagnostic capable of measuring the spatial distribution of neutral gas in a magnetically confined fusion plasma. A high intensity (5 MW/cm(2)), narrow bandwidth (0.1 cm(-1)) laser is injected into a hydrogen plasma to excite the Lyman β transition via the simultaneous absorption of two 205 nm photons. The absorption rate, determined by measurement of subsequent Balmer α emission, is proportional to the number of particles with a given velocity. Calibration is performed in situ by filling the chamber to a known pressure of neutral krypton and exciting a transition close in wavelength to that used in hydrogen. We present details of the calibration procedure, including a technique for identifying saturation broadening, measurements of the neutral density profile in a hydrogen helicon plasma, and discuss the application of the diagnostic to plasmas in the DIII-D tokamak.

  3. Interferometric characterization of density dynamics of an ultradense Z-pinch plasma

    International Nuclear Information System (INIS)

    Ackenhusen, J.G.; Bach, D.R.

    1979-01-01

    We have measured the spatially and temporally resolved density in a Z-pinch plasma by holographic interferometry. The high electron density (4 x 10 19 e/cm 3 ), short density scale length (100 μm), and low temperature (about 20 eV) make the plasma source suitable for simulation of laser-pellet interaction experiments at 10.6-μm laser wavelengths. A cinema of density evolution, indicating plasma pinching and subsequent relaxation, provides an experimental view of plasma dynamics which is then compared to simple theoretical models

  4. The implementation of real-time plasma electron density calculations on EAST

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Z.C., E-mail: zzc@ipp.ac.cn; Xiao, B.J.; Wang, F.; Liu, H.Q.; Yuan, Q.P.; Wang, Y.; Yang, Y.

    2016-11-15

    Highlights: • The real-time density calculation system (DCS) has been applied to the EAST 3-wave polarimeter-interferometer (POINT) system. • The new system based on Flex RIO acquires data at high speed and processes them in a short time. • Roll-over module is developed for density calculation. - Abstract: The plasma electron density is one of the most fundamental parameters in tokamak experiment. It is widely used in the plasma control system (PCS) real-time control, as well as plasma physics analysis. The 3-wave polarimeter-interferometer (POINT) system had been used to measure the plasma electron density on the EAST since last campaign. This paper will give the way to realize the real-time measurement of plasma electron density. All intermediate frequency (IF) signals after POINT system, in the 0.5–3 MHz range, stream to the real-time density calculation system (DCS) to extract the phase shift information. All the prototype hardware is based on NI Flex RIO device which contains a high speed Field Programmable Gate Array (FPGA). The original signals are sampled at 10 M Samples/s, and the data after roll-over module are transmitted to PCS by reflective memory (RFM). With this method, real-time plasma electron density data with high accuracy and low noise had been obtained in the latest EAST tokamak experiment.

  5. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  6. High density turbulent plasma processes from a shock tube. Final performance report

    International Nuclear Information System (INIS)

    Johnson, J.A. III.

    1997-01-01

    A broad-based set of measurements has begun on high density turbulent plasma processes. This includes determinations of new plasma physics and the initiation of work on new diagnostics for collisional plasmas as follows: (1) A transient increase is observed in both the spectral energy decay rate and the degree of chaotic complexity at the interface of a shock wave and a turbulent ionized gas. Even though the gas is apparently brought to rest by the shock wave, no evidence is found either of prompt relaminarization or of any systematic influence of end-wall material thermal conductivities on the turbulence parameters. (2) Point fluorescence emissions and averaged spectral line evolutions in turbulent plasmas produced in both the primary and the reflected shock wave flows exhibit ergodicity in the standard turbulence parameters. The data show first evidence of a reverse energy cascade in the collisional turbulent plasma. This suggests that the fully turbulent environment can be described using a stationary state formulation. In these same data, the author finds compelling evidence for a turbulent Stark effect on neutral emission lines in these data which is associated with evidence of large coherent structures and dominant modes in the Fourier analyses of the fluctuations in the optical spectra. (3) A neutral beam generator has been assembled by coupling a Colutron Ion Gun to a charge exchange chamber. Beam-target collisions where the target species is neutral and the beam is either singly charged or neutral have been performed using argon as the working gas. Spectral analysis of the emission shows specific radiative transitions characteristic of both Ar I and Ar II, indicating that some ionization of the target gas results. Gas and plasma parameters such as density, pressure, temperature and flow velocity and their fluctuations can now be followed in real time by spectroscopic analysis of carefully chosen radiative emissions

  7. Gap eigenmode of radially localized helicon waves in a periodic structure

    International Nuclear Information System (INIS)

    Chang, L; Hole, M J; Breizman, B N

    2013-01-01

    An ElectroMagnetic Solver (Chen et al 2006 Phys. Plasmas 13 123507) is employed to model a spectral gap and a gap eigenmode in a periodic structure in the whistler frequency range. A radially localized helicon mode (Breizman and Arefiev 2000 Phys. Rev. Lett. 84 3863) is considered. We demonstrate that the computed gap frequency and gap width agree well with a theoretical analysis, and find a discrete eigenmode inside the gap by introducing a defect to the system's periodicity. The axial wavelength of the gap eigenmode is close to twice the system's periodicity, which is consistent with Bragg's law. Such an eigenmode could be excited by energetic electrons, similar to the excitation of toroidal Alfvén eigenmodes by energetic ions in tokamaks. Experimental identification of this mode is conceivable on the large plasma device (Gekelman et al 1991 Rev. Sci. Instrum. 62 2875). (paper)

  8. Stationary Density Variation Produced by a Standing Plasma Wave

    DEFF Research Database (Denmark)

    Michelsen, Poul; Pécseli, Hans; Juul Rasmussen, Jens

    1977-01-01

    Measurements are presented of a stationary density modulation produced by a standing electron plasma wave. The experimental results are well explained by taking into account the ponderomotive forces on the electrons exerted by the high frequency field.......Measurements are presented of a stationary density modulation produced by a standing electron plasma wave. The experimental results are well explained by taking into account the ponderomotive forces on the electrons exerted by the high frequency field....

  9. Enhanced resonant second harmonic generation in plasma based on density transition

    Directory of Open Access Journals (Sweden)

    Kant Niti

    2015-06-01

    Full Text Available Resonant second harmonic generation of a relativistic self-focusing laser in plasma with density ramp profile has been investigated. A high intense Gaussian laser beam generates resonant second harmonic beam in plasma with density ramp profile. The second harmonic undergoes periodic focusing in the plasma channel created by the fundamental wave. The normalized second harmonic amplitude varies periodically with distance and attains maximum value in the focal region. Enhancement in the second harmonic amplitude on account of relativistic self-focusing of laser based on plasma density transition is seen. Plasma density ramp plays an important role to make self-focusing stronger which leads to enhance the second harmonic generation in plasma.

  10. Longitudinal gas-density profilometry for plasma-wakefield acceleration targets

    Science.gov (United States)

    Schaper, Lucas; Goldberg, Lars; Kleinwächter, Tobias; Schwinkendorf, Jan-Patrick; Osterhoff, Jens

    2014-03-01

    Precise tailoring of plasma-density profiles has been identified as one of the critical points in achieving stable and reproducible conditions in plasma wakefield accelerators. Here, the strict requirements of next generation plasma-wakefield concepts, such as hybrid-accelerators, with densities around 1017 cm-3 pose challenges to target fabrication as well as to their reliable diagnosis. To mitigate these issues we combine target simulation with fabrication and characterization. The resulting density profiles in capillaries with gas jet and multiple in- and outlets are simulated with the fluid code OpenFOAM. Satisfactory simulation results then are followed by fabrication of the desired target shapes with structures down to the 10 μm level. The detection of Raman scattered photons using lenses with large collection solid angle allows to measure the corresponding longitudinal density profiles at different number densities and allows a detection sensitivity down to the low 1017 cm-3 density range at high spatial resolution. This offers the possibility to gain insight into steep density gradients as for example in gas jets and at the plasma-to-vacuum transition.

  11. Measurements of Ion Stopping around the Bragg Peak in High-Energy-Density Plasmas

    Science.gov (United States)

    Frenje, Johan

    2015-11-01

    Over the last few decades, ion stopping in weakly- to strongly-coupled High-Energy-Density (HED) plasmas has been subject to extensive analytical and numerical studies, but only a limited set of experimental data exists to check the validity of these theories. Most of these experiments also did not probe the detailed characteristics of the Bragg peak (peak ion stopping) where the ion velocity is similar to the average thermal electron velocity. To the best of our knowledge, only one exploratory attempt to do this was conducted by Hicks et al., who were able to describe qualitatively the behavior of the Bragg peak for one plasma condition. The work described in this presentation makes significant advances over previous experimental efforts by quantitatively assessing the characteristics of the ion stopping, ranging from low-velocity stopping, through the Bragg peak, to high-velocity stopping for different HED plasma conditions. This was achieved by measuring the energy loss of DD-tritons, D3He-alphas, DD-protons and D3He-protons, with distinctly different velocities, and the results indicate that the stopping power varies strongly with Te and ne. This effort represents the first experimental test of state-of-art plasma-stopping-power theories around the Bragg peak, which is an important first step in our efforts of getting a fundamental understanding of DT-alpha stopping in HED plasmas, a prerequisite for understanding ignition margins in various implosion designs with varying hot spot areal density at the National Ignition Facility. The work described here was performed in part at the LLE National Laser User's Facility (NLUF), and was supported in part by US DOE (Grant No. DE-FG03- 03SF22691), LLNL (subcontract Grant No. B504974) and LLE (subcontract Grant No. 412160-001G).

  12. Turbulence at the transition to the high density H-mode in Wendelstein 7-AS plasmas

    DEFF Research Database (Denmark)

    Basse, N.P.; Zoletnik, S.; Baumel, S.

    2003-01-01

    Recently a new improved confinement regime was found in the Wendelstein 7-AS (W7-AS) stellarator (Renner H. et al 1989 Plasma Phys. Control. Fusion 31 1579). The discovery of this high density high confinement mode (HDH-mode) was facilitated by the installation of divertor modules. In this paper,...

  13. Ambipolar ion acceleration in an expanding magnetic nozzle

    Energy Technology Data Exchange (ETDEWEB)

    Longmier, Benjamin W; Carter, Mark D; Cassady, Leonard D; Chancery, William J; Diaz, Franklin R Chang; Glover, Tim W; Ilin, Andrew V; McCaskill, Greg E; Olsen, Chris S; Squire, Jared P [Ad Astra Rocket Company, 141 W. Bay Area Blvd, Webster, TX (United States); Bering, Edgar A III [Department of Physics and Department of Electrical and Computer Engineering, University of Houston, 617 Science and Research Building 1, Houston, TX (United States); Hershkowitz, Noah [Department of Engineering Physics, University of Wisconsin, 1500 Engineering Dr., Madison, WI (United States)

    2011-02-15

    The helicon plasma stage in the Variable Specific Impulse Magnetoplasma Rocket (VASIMR (registered)) VX-200i device was used to characterize an axial plasma potential profile within an expanding magnetic nozzle region of the laboratory based device. The ion acceleration mechanism is identified as an ambipolar electric field produced by an electron pressure gradient, resulting in a local axial ion speed of Mach 4 downstream of the magnetic nozzle. A 20 eV argon ion kinetic energy was measured in the helicon source, which had a peak magnetic field strength of 0.17 T. The helicon plasma source was operated with 25 mg s{sup -1} argon propellant and 30 kW of RF power. The maximum measured values of plasma density and electron temperature within the exhaust plume were 1 x 10{sup 20} m{sup -3} and 9 eV, respectively. The measured plasma density is nearly an order of magnitude larger than previously reported steady-state helicon plasma sources. The exhaust plume also exhibits a 95% to 100% ionization fraction. The size scale and spatial location of the plasma potential structure in the expanding magnetic nozzle region appear to follow the size scale and spatial location of the expanding magnetic field. The thickness of the potential structure was found to be 10{sup 4} to 10{sup 5} {lambda}{sub De} depending on the local electron temperature in the magnetic nozzle, many orders of magnitude larger than typical laboratory double layer structures. The background plasma density and neutral argon pressure were 10{sup 15} m{sup -3} and 2 x 10{sup -5} Torr, respectively, in a 150 m{sup 3} vacuum chamber during operation of the helicon plasma source. The agreement between the measured plasma potential and plasma potential that was calculated from an ambipolar ion acceleration analysis over the bulk of the axial distance where the potential drop was located is a strong confirmation of the ambipolar acceleration process.

  14. Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures

    NARCIS (Netherlands)

    de Boer, Meint J.; Gardeniers, Johannes G.E.; Jansen, Henricus V.; Gilde, M.J.; Roelofs, Gerard; Sasserath, Jay N.; Elwenspoek, Michael Curt

    This paper presents guidelines for the deep reactive ion etching (DRIE) of silicon MEMS structures, employing SF6/O2-based high-density plasmas at cryogenic temperatures. Procedures of how to tune the equipment for optimal results with respect to etch rate and profile control are described. Profile

  15. Variation of the Plasma Density in a Glow Discharge Upon the Application of A High Voltage

    International Nuclear Information System (INIS)

    Akman, S.

    2004-01-01

    It is emphasized and demonstrated that, during the formation of an ion-matrix sheath in a glow discharge upon the application of a high voltage pulse, the existing neutral plasma density should change as well. An explicit and practical expression for the neutral plasma density in terms of the gas pressure, secondary electron emission coefficient and the applied voltage is derived, so that the consequent sheath behavior can be formulated correctly. The theoretical result is compared with the data of an experiment, particularly designed and performed to test its validity, and found to be in good agreement with the latter

  16. Line profiles of hydrogenic ions from high-temperature and high-density plasmas

    International Nuclear Information System (INIS)

    Hou Qing; Li Jianming

    1991-01-01

    Applying the Hooper's first-order theory, the authors calculate the static micro-electric field distributions in plasmas containing various multiply-charged ions. The influences of the impurity concentrations on the micro electric field distributions and on the Lyman profiles (n→1) from hydrogenic ions are analysed. Based on the optical-thin line profiles, the radiation transfer equation in sphere plasmas with various optical depths is solved. The results confirm that the opacity-broadening of the line profiles has almost no effect on the separation of Lyman β splitted peaks. Such separation is determined by electric field at which the static micro-electric field distribution has a maximum. The separation can be utilized for spatially resolved and temporally resolved density diagnostic of fusion plasmas

  17. Study of density limit in JT-60 joule heated plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Shirai, Hiroshi; Shimizu, Katsuhiro; Takizuka, Tomonori; Hirayama, Toshio; Azumi, Masafumi [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment

    1995-11-01

    Impurities which mingle in tokamak plasmas cause dominant radiation loss in the high density regime and the energy balance of plasma is lost. This gives rise to MHD instability and results in major disruption. Density limit in joule heated plasmas has been studied by using one dimensional transport code combined with MHD instability analysis code. When the diffusion of impurity is taken into account, the numerically obtained density limit diagram or Hugill diagram quantitatively agrees well with that obtained in the experiment. It is also clarified that the corona-equilibrium model overestimates the density limit. (author).

  18. P3: An installation for high-energy density plasma physics and ultra-high intensity laser–matter interaction at ELI-Beamlines

    Czech Academy of Sciences Publication Activity Database

    Weber, Stefan A.; Bechet, Sabrina; Borneis, S.; Brabec, Lukáš; Bučka, Martin; Chacon-Golcher, Edwin; Ciappina, Marcelo F.; De Marco, Massimo; Fajstavr, Antonín; Falk, Kateřina; Garcia, E.-R.; Grosz, Jakub; Gu, Yanjun; Hernandez Martin, Juan C.; Holec, M.; Janečka, Pavel; Jantač, Martin; Jirka, Martin; Kadlecová, Hedvika; Khikhlukha, Danila; Klimo, Ondřej; Korn, Georg; Kramer, Daniel; Batheja, Deepak Kumar; Laštovička, Tomáš; Lutoslawski, P.; Morejon, L.; Olšovcová, Veronika; Rajdl, Marek; Renner, Oldřich; Rus, Bedřich; Singh, Sushil K.; Šmíd, Michal; Sokol, Martin; Versaci, Roberto; Vrána, Roman; Vranic, M.; Vyskočil, Jiří; Wolf, Adam; Yu, Q.

    2017-01-01

    Roč. 2, č. 4 (2017), s. 149-176 E-ISSN 2468-080X R&D Projects: GA MŠk LQ1606; GA MŠk LM2015065; GA MŠk EF15_008/0000162; GA MŠk EF15_003/0000449 Grant - others:ELI Beamlines(XE) CZ.02.1.01/0.0/0.0/15_008/0000162; OP VVV - HiFi(XE) CZ.02.1.01/0.0/0.0/15_003/0000449 Institutional support: RVO:68378271 Keywords : high-energy-density- physics * ultra-high-intensity * warm dense matter * laboratory astrophysics * high repetition rate lasers * plasma optics * inertial confinement fusion Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics )

  19. Supersonic shear flows in laser driven high-energy-density plasmas created by the Nike laser

    Science.gov (United States)

    Harding, E. C.; Drake, R. P.; Gillespie, R. S.; Grosskopf, M. J.; Ditmar, J. R.; Aglitskiy, Y.; Weaver, J. L.; Velikovich, A. L.; Plewa, T.

    2008-11-01

    In high-energy-density (HED) plasmas the Kelvin-Helmholtz (KH) instability plays an important role in the evolution of Rayleigh-Taylor (RT) and Richtmyer-Meshkov (RM) unstable interfaces, as well as material interfaces that experience the passage one or multiple oblique shocks. Despite the potentially important role of the KH instability few experiments have been carried out to explore its behavior in the high-energy-density regime. We report on the evolution of a supersonic shear flow that is generated by the release of a high velocity (>100 km/s) aluminum plasma onto a CRF foam (ρ = 0.1 g/cc) surface. In order to seed the Kelvin-Helmholtz (KH) instability various two-dimensional sinusoidal perturbations (λ = 100, 200, and 300 μm with peak-to-valley amplitudes of 10, 20, and 30 μm respectively) have been machined into the foam surface. This experiment was performed using the Nike laser at the Naval Research Laboratory.

  20. High Energy Density Laboratory Astrophysics

    CERN Document Server

    Lebedev, Sergey V

    2007-01-01

    During the past decade, research teams around the world have developed astrophysics-relevant research utilizing high energy-density facilities such as intense lasers and z-pinches. Every two years, at the International conference on High Energy Density Laboratory Astrophysics, scientists interested in this emerging field discuss the progress in topics covering: - Stellar evolution, stellar envelopes, opacities, radiation transport - Planetary Interiors, high-pressure EOS, dense plasma atomic physics - Supernovae, gamma-ray bursts, exploding systems, strong shocks, turbulent mixing - Supernova remnants, shock processing, radiative shocks - Astrophysical jets, high-Mach-number flows, magnetized radiative jets, magnetic reconnection - Compact object accretion disks, x-ray photoionized plasmas - Ultrastrong fields, particle acceleration, collisionless shocks. These proceedings cover many of the invited and contributed papers presented at the 6th International Conference on High Energy Density Laboratory Astrophys...

  1. Human plasma phospholipid transfer protein increases the antiatherogenic potential of high density lipoproteins in transgenic mice

    NARCIS (Netherlands)

    M.J. van Haperen (Rien); A. van Tol (Arie); P. Vermeulen; M. Jauhiainen; T. van Gent (Teus); P.M. van den Berg (Paul); S. Ehnholm (Sonja); A.W.M. van der Kamp (Arthur); M.P.G. de Crom (Rini); F.G. Grosveld (Frank)

    2000-01-01

    textabstractPlasma phospholipid transfer protein (PLTP) transfers phospholipids between lipoprotein particles and alters high density lipoprotein (HDL) subfraction patterns in vitro, but its physiological function is poorly understood. Transgenic mice that overexpress

  2. Simulation of ionization effects for high-density positron drivers in future plasma wakefield experiments

    International Nuclear Information System (INIS)

    Bruhwiler, D.L.; Dimitrov, D.A.; Cary, J.R.; Esarey, E.; Leemans, W.P.

    2003-01-01

    The plasma wakefield accelerator (PWFA) concept has been proposed as a potential energy doubler for present or future electron-positron colliders. Recent particle-in-cell (PIC) simulations have shown that the self-fields of the required electron beam driver can tunnel ionize neutral Li, leading to plasma wake dynamics differing significantly from that of a preionized plasma. It has also been shown, for the case of a preionized plasma, that the plasma wake of a positron driver differs strongly from that of an electron driver. We will present new PIC simulations, using the OOPIC code, showing the effects of tunneling ionization on the plasma wake generated by high-density positron drivers. The results will be compared to previous work on electron drivers with tunneling ionization and positron drivers without ionization. Parameters relevant to the energy doubler and the upcoming E-164x experiment at the Stanford Linear Accelerator Center will be considered

  3. Longitudinal gas-density profilometry for plasma-wakefield acceleration targets

    Energy Technology Data Exchange (ETDEWEB)

    Schaper, Lucas, E-mail: lschaper01@qub.ac.uk [Universität Hamburg, FB Physik, Institut für Experimentalphysik, Luruper Chaussee 149, 22761 Hamburg (Germany); Deutsches Elektronen-Synchrotron DESY, Notkestraße 85, 22607 Hamburg (Germany); Goldberg, Lars; Kleinwächter, Tobias; Schwinkendorf, Jan-Patrick; Osterhoff, Jens [Deutsches Elektronen-Synchrotron DESY, Notkestraße 85, 22607 Hamburg (Germany)

    2014-03-11

    Precise tailoring of plasma-density profiles has been identified as one of the critical points in achieving stable and reproducible conditions in plasma wakefield accelerators. Here, the strict requirements of next generation plasma-wakefield concepts, such as hybrid-accelerators, with densities around 10{sup 17} cm{sup −3} pose challenges to target fabrication as well as to their reliable diagnosis. To mitigate these issues we combine target simulation with fabrication and characterization. The resulting density profiles in capillaries with gas jet and multiple in- and outlets are simulated with the fluid code OpenFOAM. Satisfactory simulation results then are followed by fabrication of the desired target shapes with structures down to the 10 µm level. The detection of Raman scattered photons using lenses with large collection solid angle allows to measure the corresponding longitudinal density profiles at different number densities and allows a detection sensitivity down to the low 10{sup 17} cm{sup −3} density range at high spatial resolution. This offers the possibility to gain insight into steep density gradients as for example in gas jets and at the plasma-to-vacuum transition.

  4. High-Intensity High-order Harmonics Generated from Low-Density Plasma

    International Nuclear Information System (INIS)

    Ozaki, T.; Bom, L. B. Elouga; Abdul-Hadi, J.; Ganeev, R. A.; Haessler, S.; Salieres, P.

    2009-01-01

    We study the generation of high-order harmonics from lowly ionized plasma, using the 10 TW, 10 Hz laser of the Advanced Laser Light Source (ALLS). We perform detailed studies on the enhancement of a single order of the high-order harmonic spectrum generated in plasma using the fundamental and second harmonic of the ALLS beam line. We observe quasi-monochromatic harmonics for various targets, including Mn, Cr, Sn, and In. We identify most of the ionic/neutral transitions responsible for the enhancement, which all have strong oscillator strengths. We demonstrate intensity enhancements of the 13th, 17th, 29th, and 33rd harmonics from these targets using the 800 nm pump laser and varying its chirp. We also characterized the attosecond nature of such plasma harmonics, measuring attosecond pulse trains with 360 as duration for chromium plasma, using the technique of ''Reconstruction of Attosecond Beating by Interference of Two-photon Transitions''(RABBIT). These results show that plasma harmonics are intense source of ultrashort coherent soft x-rays.

  5. De Haas-Van Alphen affect and helicons in metals

    International Nuclear Information System (INIS)

    Vol'skij, E.P.

    1975-01-01

    Specific features of helicon electrodynamics associated with the de Haas-van Alphen effect are considered for an uncompensated metal with the closed Fermi surface of arbitrary shape. The consideration is carried out entirely in the local limit, when the metal may be characterized by a static tensor for the magnetic resistance and by a static tensor for the differential magnetic permeability which describes the anisotropy of the de Haas-van Alphen effect. The amplitude of the effect is assumed to be of an arbitrary value, but in the limits determined by the thermodynamical stability of a uniformly magnetized state. It has been shown that in the general case the de Haas-van Alphen effect may strongly influence not only the phase velocity, but also the damping and polarization of a helicon. A significant effect of nondiagonal components of the differential magnetic permeability tensor that sometimes arise even at very small deviations of the magnetic field from the symmetric direction, is noted. The resonance excitation of waves in a plate is considered. The question of a possible relation between periodic diamagnetic structures in metals and helicons is discussed

  6. Tunable Laser Plasma Accelerator based on Longitudinal Density Tailoring

    Energy Technology Data Exchange (ETDEWEB)

    Gonsalves, Anthony; Nakamura, Kei; Lin, Chen; Panasenko, Dmitriy; Shiraishi, Satomi; Sokollik, Thomas; Benedetti, Carlo; Schroeder, Carl; Geddes, Cameron; Tilborg, Jeroen van; Osterhoff, Jens; Esarey, Eric; Toth, Csaba; Leemans, Wim

    2011-07-15

    Laser plasma accelerators have produced high-quality electron beams with GeV energies from cm-scale devices and are being investigated as hyperspectral fs light sources producing THz to {gamma}-ray radiation and as drivers for future high-energy colliders. These applications require a high degree of stability, beam quality and tunability. Here we report on a technique to inject electrons into the accelerating field of a laser-driven plasma wave and coupling of this injector to a lower-density, separately tunable plasma for further acceleration. The technique relies on a single laser pulse powering a plasma structure with a tailored longitudinal density profile, to produce beams that can be tuned in the range of 100-400 MeV with percent-level stability, using laser pulses of less than 40 TW. The resulting device is a simple stand-alone accelerator or the front end for a multistage higher-energy accelerator.

  7. Operation and control of high density tokamak reactors

    International Nuclear Information System (INIS)

    Attenberger, S.E.; McAlees, D.G.

    1976-01-01

    The incentive for high density operation of a tokamak reactor was discussed. It is found that high density permits ignition in a relatively small, moderately elongated plasma with a moderate magnetic field strength. Under these conditions, neutron wall loadings approximately 4 MW/m 2 must be tolerated. The sensitivity analysis with respect to impurity effects shows that impurity control will most likely be necessary to achieve the desired plasma conditions. The charge exchange sputtered impurities are found to have an important effect so that maintaining a low neutral density in the plasma is critical. If it is assumed that neutral beams will be used to heat the plasma to ignition, high energy injection is required (approximately 250 keV) when heating is accompished at full density. A scenario is outlined where the ignition temperature is established at low density and then the fueling rate is increased to attain ignition. This approach may permit beams with energies being developed for use in TFTR to be successfully used to heat a high density device of the type described here to ignition

  8. Dark-ground illumination: a quantitative diagnostic for plasma density

    International Nuclear Information System (INIS)

    Paul, S.F.

    1981-01-01

    Radial electron density profiles of a toroidal belt pinch plasma have been obtained by a single measurement. Collimated ruby laser light, incident on the plasma, is focused to a diffraction limited spot (100 μm). The technique, a variation of the dark-ground microscope, involves masking the center of the plasma diffraction pattern with a thin wire. Undiffracted light is blocked by a thin wire, whereas light diffracted by the plasma passes around the wire and onto a photoplate. The resulting interference generates a high contrast fringe pattern whose intensity varies as 1-cosΔ phi, where Δ phi is the phase shift induced by the plasma. The fringes are recorded on Polaroid type 46L transparency film. Using this technique, radial density profiles of the plasma produced in the Columbia Torus I belt pinch have been measured. The plasma minor cross section is elliptical with a approx. 2 cm, b approx. 30 cm and approx. 3 x 10 16 /cm 3 . Average densities as low as 2 x 10 15 /cm 3 have been measured

  9. Magnetic cusp and electric nested- or single-well configurations for high density antihydrogen and fusion nonneutral plasma applications

    International Nuclear Information System (INIS)

    Ordonez, C. A.

    1999-01-01

    Malmberg-Penning traps have had limited uses for applications that require high density nonneutral plasma confinement. For such traps, the density is severely limited because a magnetic field is used to provide a radially inward force to balance both self-electric and centrifugal radially outward forces. A possible way to confine higher density nonneutral plasmas is to use a magnetic cusp configuration. An annular nonneutral plasma would be confined in the radial magnetic field of a magnetic cusp such that radial confinement is provided by an externally produced electric potential well while axial confinement is provided by the magnetic field. In addition, a radial electric potential profile having a nested-well configuration can be used to simultaneously confine two oppositely signed plasma species (e.g., positrons and antiprotons) that overlap. In the work reported, various aspects of using magnetic cusp configurations and electric nested-well configurations are considered. Plasma confinement with these configurations may be useful for obtaining fast antihydrogen recombination and trapping rates and for achieving practical fusion power production

  10. Magnetic Cusp and Electric Nested- or Single-Well Configurations for High Density Antihydrogen and Fusion Nonneutral Plasma Applications

    International Nuclear Information System (INIS)

    C.A. Ordonez

    1999-01-01

    Malmberg-Penning traps have had limited uses for applications that require high density nonneutral plasma confinement. For such traps, the density is severely limited because a magnetic field is used to provide a radially inward force to balance both self-electric and centrifugal radially outward forces. A possible way to confine higher density nonneutral plasmas is to use a magnetic cusp configuration. An annular nonneutral plasma would be confined in the radial magnetic field of a magnetic cusp such that radial confinement is provided by an externally produced electric potential well while axial confinement is provided by the magnetic field. In addition, a radial electric potential profile having a nested-well configuration can be used to simultaneously confine two oppositely signed plasma species (e.g., positrons and antiprotons) that overlap. In the work reported, various aspects of using magnetic cusp configurations and electric nested-well configurations are considered. Plasma confinement with these configurations may be useful for obtaining fast antihydrogen recombination and trapping rates and for achieving practical fusion power production

  11. High density operation in pulsator

    International Nuclear Information System (INIS)

    Klueber, O.; Cannici, B.; Engelhardt, W.; Gernhardt, J.; Glock, E.; Karger, F.; Lisitano, G.; Mayer, H.M.; Meisel, D.; Morandi, P.

    1976-03-01

    This report summarizes the results of experiments at high electron densities (>10 14 cm -3 ) which have been achieved by pulsed gas inflow during the discharge. At these densities a regime is established which is characterized by βsub(p) > 1, nsub(i) approximately nsub(e), Tsub(i) approximately Tsub(e) and tausub(E) proportional to nsub(e). Thus the toroidal magnetic field contributes considerably to the plasma confinement and the ions constitute almost half of the plasma pressure. Furthermore, the confinement is appreciably improved and the plasma becomes impermeable to hot neutrals. (orig.) [de

  12. Determination of Jupiter's electron density profile from plasma wave observations

    International Nuclear Information System (INIS)

    Gurnett, D.A.; Scarf, F.L.; Kurth, W.S.; Shaw, R.R.; Poynter, R.L.

    1981-01-01

    This paper summarizes the electron density measurements obtained in the Jovian magnetosphere from the plasma wave instruments on the Voyager 1 and 2 spacecraft. Three basic techniques are discussed for determining the electron density: (1) local measurements from the low-frequency cutoff of continuum radiation, (2) local measurements from the frequency of upper hybrid resonance emissions, and (3) integral measurements from the dispersion of whistlers. The limitations and advantages of each technique are critically reviewed. In all cases the electron densities are unaffected by spacecraft charging or sheath effects, which makes these measurements of particular importance for verifying in situ plasma and low-energy charged particle measurments. In the outer regions of the dayside magnetosphere, beyond about 40 R/sub J/, the electron densities range from about 3 x 10 -3 to 3 x 10 -2 cm -3 . On Voyager 2, several brief excursions apparently occurred into the low-density region north of the plasma sheet with densities less than 10 -3 cm -3 . Approaching the planet the electron density gradually increases, with the plasma frequency extending above the frequency range of the plasma wave instrument (56 kHz, or about 38 electrons cm -3 ) inside of about 8 R/sub J/. Within the high-density region of the Io plasma torus, whistlers provide measurements of the north-south scale height of the plasma torus, with scale heights ranging from about 0.9 to 2.5 R/sub J/

  13. Experimental studies and modelling of high radiation and high density plasmas in the ASDEX upgrade tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Casali, Livia

    2015-11-24

    Fusion plasmas contain impurities, either intrinsic originating from the wall, or injected willfully with the aim of reducing power loads on machine components by converting heat flux into radiation. The understanding and the prediction of the effects of these impurities and their radiation on plasma performances is crucial in order to retain good confinement. In addition, it is important to understand the impact of pellet injection on plasma performance since this technique allows higher core densities which are required to maximise the fusion power. This thesis contributes to these efforts through both experimental investigations and modelling. Experiments were conducted at ASDEX Upgrade which has a full-W wall. Impurity seeding was applied to H-modes by injecting nitrogen and also medium-Z impurities such as Kr and Ar to assess the impact of both edge and central radiation on confinement. A database of about 25 discharges has been collected and analysed. A wide range of plasma parameters was achieved up to ITER relevant values such as high Greenwald and high radiation fractions. Transport analyses taking into account the radiation distribution reveal that edge localised radiation losses do not significantly impact confinement as long as the H-mode pedestal is sustained. N seeding induces higher pedestal pressure which is propagated to the core via profile stiffness. Central radiation must be limited and controlled to avoid confinement degradation. This requires reliable control of the impurity concentration but also possibilities to act on the ELM frequency which must be kept high enough to avoid an irreversible impurity accumulation in the centre and the consequent radiation collapse. The key role of the f{sub ELM} is confirmed also by the analysis of N+He discharges. Non-coronal effects affect the radiation of low-Z impurities at the plasma edge. Due to the radial transport, the steep temperature gradients and the ELM flush out, a local equilibrium cannot be

  14. Quantum Phenomena in High Energy Density Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Murnane, Margaret [Univ. of Colorado, Boulder, CO (United States); Kapteyn, Henry [Univ. of Colorado, Boulder, CO (United States)

    2017-05-10

    The possibility of implementing efficient (phase matched) HHG upconversion of deep- UV lasers in multiply-ionized plasmas, with potentially unprecedented conversion efficiency is a fascinating prospect. HHG results from the extreme nonlinear response of matter to intense laser light:high harmonics are radiated as a result of a quantum coherent electron recollision process that occurs during laser field ionization of an atom. Under current support from this grant in work published in Science in 2015, we discovered a new regime of bright HHG in highly-ionized plasmas driven by intense UV lasers, that generates bright harmonics to photon energies >280eV

  15. P3: An installation for high-energy density plasma physics and ultra-high intensity laser–matter interaction at ELI-Beamlines

    Directory of Open Access Journals (Sweden)

    S. Weber

    2017-07-01

    Full Text Available ELI-Beamlines (ELI-BL, one of the three pillars of the Extreme Light Infrastructure endeavour, will be in a unique position to perform research in high-energy-density-physics (HEDP, plasma physics and ultra-high intensity (UHI (>1022W/cm2 laser–plasma interaction. Recently the need for HED laboratory physics was identified and the P3 (plasma physics platform installation under construction in ELI-BL will be an answer. The ELI-BL 10 PW laser makes possible fundamental research topics from high-field physics to new extreme states of matter such as radiation-dominated ones, high-pressure quantum ones, warm dense matter (WDM and ultra-relativistic plasmas. HEDP is of fundamental importance for research in the field of laboratory astrophysics and inertial confinement fusion (ICF. Reaching such extreme states of matter now and in the future will depend on the use of plasma optics for amplifying and focusing laser pulses. This article will present the relevant technological infrastructure being built in ELI-BL for HEDP and UHI, and gives a brief overview of some research under way in the field of UHI, laboratory astrophysics, ICF, WDM, and plasma optics.

  16. Feasibility Studies of the Two Filters Method in TJ-II for Electron Temperature Measurements in High Density Plasmas

    International Nuclear Information System (INIS)

    Baiao, D.; Medina, F.; Ochando, M.; Varandas, C.

    2009-01-01

    The TJ-II plasma soft X-ray emission was studied in order to establish an adequate setup for an electron temperature diagnostic suitable for high density, with spatial and temporal resolutions, based on the two-filters method. The preliminary experimental results reported were obtained with two diagnostics (an X-ray PHA based on a Ge detector and a tomography system) already installed in TJ-II stellarator. These results lead to the conclusion that the two-filters method was a suitable option for an electron temperature diagnostic for high-density plasmas in TJ-II. We present the design and fi rst results obtained with a prototype for the measurement of electron temperature in TJ-II plasmas heated with energetic neutral beams. This system consists in two AXUV20A detectors which measure the soft X-ray plasma emissivity trough beryllium filters of different thickness. From the two-filters technique it is possible to estimate the electron temperature. The analyses carried out allowed concluding which filter thicknesses are most suited for TJ-II plasmas, and enhanced the need of a computer code to simulate signals and plasma compositions. (Author) 7 refs.

  17. Feasibility Studies of the Two Filters Method in TJ-II for Electron Temperature Measurements in High Density Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Baiao, D.; Medina, F.; Ochando, M.; Varandas, C.

    2009-07-01

    The TJ-II plasma soft X-ray emission was studied in order to establish an adequate setup for an electron temperature diagnostic suitable for high density, with spatial and temporal resolutions, based on the two-filters method. The preliminary experimental results reported were obtained with two diagnostics (an X-ray PHA based on a Ge detector and a tomography system) already installed in TJ-II stellarator. These results lead to the conclusion that the two-filters method was a suitable option for an electron temperature diagnostic for high-density plasmas in TJ-II. We present the design and fi rst results obtained with a prototype for the measurement of electron temperature in TJ-II plasmas heated with energetic neutral beams. This system consists in two AXUV20A detectors which measure the soft X-ray plasma emissivity trough beryllium filters of different thickness. From the two-filters technique it is possible to estimate the electron temperature. The analyses carried out allowed concluding which filter thicknesses are most suited for TJ-II plasmas, and enhanced the need of a computer code to simulate signals and plasma compositions. (Author) 7 refs.

  18. On the origin of plasma density blobs

    Energy Technology Data Exchange (ETDEWEB)

    Krasheninnikov, S.I., E-mail: skrash@mae.ucsd.edu

    2016-12-01

    By keeping nonlinear Boltzmann factor in electron density dependence on electrostatic potential it is demonstrated that large plasma density blobs, often seen in experiment inside separatrix, can exist within the framework of drift wave dynamics. The estimates show that plasma density in a blob can be ∼3 times higher that average plasma density, but hardly exceeds this limit, which in a ball park is in agreement with experimental observations.

  19. Electric field spikes formed by electron beam endash plasma interaction in plasma density gradients

    International Nuclear Information System (INIS)

    Gunell, H.; Loefgren, T.

    1997-01-01

    In the electron beam endash plasma interaction at an electric double layer the beam density is much higher than in the classical beam endash plasma experiments. The wave propagation takes place along the density gradient that is present at the high potential side of the double layer. Such a case is studied experimentally by injecting the electron beam from a plane cathode, without any grids suppressing the gradient, and by particle simulations. The high frequency field concentrates in a sharp open-quotes spikeclose quotes with a half width of the order of one wavelength. The spike is found to be a standing wave surrounded by regions dominated by propagating waves. It forms at a position where its frequency is close to the local plasma frequency. The spike forms also when the electric field is well below the threshold for modulational instability, and long before a density cavity is formed in the simulations. Particle simulations reveal that, at the spike, there is a backward traveling wave that, when it is strongly damped, accelerates electrons back towards the cathode. In a simulation of a homogeneous plasma without the density gradient no spike is seen, and the wave is purely travelling instead of standing. copyright 1997 American Institute of Physics

  20. Modeling high-density-plasma deposition of SiO{sub 2} in SiH{sub 4}/O{sub 2}/Ar

    Energy Technology Data Exchange (ETDEWEB)

    Meeks, E.; Larson, R.S. [Sandia National Labs., Livermore, CA (United States); Ho, P.; Apblett, C. [Sandia National Labs., Albuquerque, NM (United States); Han, S.M.; Edelberg, E.; Aydil, E. [Univ. of California, Santa Barbara, CA (United States)

    1997-03-01

    The authors have compiled sets of gas-phase and surface reactions for use in modeling plasma-enhanced chemical vapor deposition of silicon dioxide from silane, oxygen and argon gas mixtures in high-density-plasma reactors. They have applied the reaction mechanisms to modeling three different kinds of high-density plasma deposition chambers, and tested them by comparing model predictions to a variety of experimental measurements. The model simulates a well mixed reactor by solving global conservation equations averaged across the reactor volume. The gas-phase reaction mechanism builds from fundamental electron-impact cross section data available in the literature, and also includes neutral-molecule, ion-ion, and ion-molecule reaction paths. The surface reaction mechanism is based on insight from attenuated total-reflection Fourier-transform infrared spectroscopy experiments. This mechanism describes the adsorption of radical species on an oxide surface, ion-enhanced reactions leading to species desorption from the surface layer, radical abstractions competing for surface sites, and direct energy-dependent ion sputtering of the oxide material. Experimental measurements of total ion densities, relative radical densities as functions of plasma operating conditions, and net deposition-rate have been compared to model predictions to test and modify the chemical kinetics mechanisms. Results show good quantitative agreement between model predictions and experimental measurements.

  1. Device for plasma confinement and heating by high currents and nonclassical plasma transport properties

    Science.gov (United States)

    Coppi, B.; Montgomery, D.B.

    1973-12-11

    A toroidal plasma containment device having means for inducing high total plasma currents and current densities and at the same time emhanced plasma heating, strong magnetic confinement, high energy density containment, magnetic modulation, microwaveinduced heating, and diagnostic accessibility is described. (Official Gazette)

  2. Resonant transducers for solid-state plasma density modulation

    Energy Technology Data Exchange (ETDEWEB)

    Hallock, Gary A., E-mail: hallock@ece.utexas.edu [The University of Texas at Austin, Austin, Texas 78701 (United States); Meier, Mark A., E-mail: mark.a.meier@exxonmobil.com [ExxonMobil Upstream Research Company, Houston, Texas 77389 (United States)

    2016-04-15

    We have developed transducers capable of modulating the plasma density and plasma density gradients in indium antimonide. These transducers make use of piezoelectric drivers to excite acoustic pressure resonance at 3λ/2, generating large amplitude standing waves and plasma density modulations. The plasma density has been directly measured using a laser diagnostic. A layered media model shows good agreement with the experimental measurements.

  3. Development of high current density neutral beam injector with a low energy for interaction of plasma facing materials

    International Nuclear Information System (INIS)

    Nishikawa, Masahiro; Ueda, Yoshio; Goto, Seiichi

    1991-01-01

    A high current density neutral beam injector with a low energy has been developed to investigate interactions with plasma facing materials and propagation processes of damages. The high current density neutral beam has been produced by geometrical focusing method employing a spherical electrode system. The hydrogen beam with the current density of 140 mA/cm 2 has been obtained on the focal point in the case of the acceleration energy of 8 keV. (orig.)

  4. A review of low density porous materials used in laser plasma experiments

    Science.gov (United States)

    Nagai, Keiji; Musgrave, Christopher S. A.; Nazarov, Wigen

    2018-03-01

    This review describes and categorizes the synthesis and properties of low density porous materials, which are commonly referred to as foams and are utilized for laser plasma experiments. By focusing a high-power laser on a small target composed of these materials, high energy and density states can be produced. In the past decade or so, various new target fabrication techniques have been developed by many laboratories that use high energy lasers and consequently, many publications and reviews followed these developments. However, the emphasis so far has been on targets that did not utilize low density porous materials. This review therefore, attempts to redress this balance and endeavors to review low density materials used in laser plasma experiments in recent years. The emphasis of this review will be on aspects of low density materials that are of relevance to high energy laser plasma experiments. Aspects of low density materials such as densities, elemental compositions, macroscopic structures, nanostructures, and characterization of these materials will be covered. Also, there will be a brief mention of how these aspects affect the results in laser plasma experiments and the constrictions that these requirements put on the fabrication of low density materials relevant to this field. This review is written from the chemists' point of view to aid physicists and the new comers to this field.

  5. CO2 laser diagnostics for measurements of the plasma density profile and plasma density fluctuations on LHD

    International Nuclear Information System (INIS)

    Vyacheslavov, L.N.; Tanaka, K.; Kawahata, K.

    2001-04-01

    A CO 2 laser based diagnostics complimentary to the existing FIR interferometer is proposed. It combines interferometry for plasma density profile measurement under conditions of large density gradients, and both imaging and scattering techniques for observation of plasma fluctuations. Two-colour interferometer with a slablike probe beam and a single multichannel linear detector array provide observation of plasma density profile and density fluctuations at two locations along the probe beam. Basic characteristics of the diagnostics are considered as well as some effects that include dispersion and are critical for two colour imaging technique. The results of the bench-top experiments with the prototype of the interferometer are presented. (author)

  6. The density limit in JET diverted plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, D J; Clement, S; Gottardi, N; Gowers, C; Harbour, P; Loarte, A; Horton, L; Lingertat, J; Lowry, C G; Saibene, G; Stamp, M; Stork, D [Commission of the European Communities, Abingdon (United Kingdom). JET Joint Undertaking; Monk, R [Royal Holloway Coll., London (United Kingdom). Dept. of Physics

    1994-07-01

    In JET limiter plasmas the density limit is associated with radiated power fractions of 100% and, in plasmas with carbon limiters, it is invariably disruptive. However, in discharges with solid beryllium limiters the limit is identified with the formation of a MARFE and disruptions are less frequent. In addition, the improved conditioning of the vessel arising from the use of beryllium has significantly improved the density limit scaling, so that the maximum density rises with the square root of the input power. In diverted plasmas several confinement regimes exist, making the characterization of the density limit more complex. While the density limit in L-mode plasmas is generally disruptive, the limit in ELMy and ELM-free H-modes generally prompts a return to the L-mode and a disruption is not inevitable. The density limit does rise with the increasing power, but the L-to-H transition complicates the analysis. Nevertheless, at low plasma currents (<2 MA), densities significantly above the Greenwald limit can be achieved, while at higher currents power handling limitations have constrained the range of density which can be achieved. (authors). 7 refs., 4 figs.

  7. Development of dispersion interferometer for magnetic confinement plasmas and high-pressure plasmas

    Science.gov (United States)

    Akiyama, T.; Yasuhara, R.; Kawahata, K.; Nakayama, K.; Okajima, S.; Urabe, K.; Terashima, K.; Shirai, N.

    2015-09-01

    A CO2 laser dispersion interferometer (DI) has been developed for both magnetically fusion plasmas and high pressure industrial plasmas. The DI measures the phase shift caused by dispersion in a medium. Therefore, it is insensitive to the mechanical vibrations and changes in the neutral gas density, which degrade the resolution of the electron density measurement. We installed the DI on the Large Helical Device (LHD) and demonstrated a high density resolution of 2× 1017 m-3 without any vibration-free bench. The measured electron density with the DI shows good agreement with results of the existing far infrared laser (a wavelength of 119 μ m) interferometer. The DI system is also applied to the electron density measurement of high-pressure small-scale plasmas. The significant suppression of the phase shift caused by the neutral gas is proven. The achieved density resolution was 1.5× 1019 m-3 with a response time of 100 μ s. A shorter version of this contribution is due to be published in PoS at: 1st EPS conference on Plasma Diagnostics

  8. Elevated plasma low-density lipoprotein and high-density lipoprotein cholesterol levels in amenorrheic athletes: effects of endogenous hormone status and nutrient intake.

    Science.gov (United States)

    Friday, K E; Drinkwater, B L; Bruemmer, B; Chesnut, C; Chait, A

    1993-12-01

    To determine the interactive effects of hormones, exercise, and diet on plasma lipids and lipoproteins, serum estrogen and progesterone levels, nutrient intake, and plasma lipid, lipoprotein, and apolipoprotein concentrations were measured in 24 hypoestrogenic amenorrheic and 44 eumenorrheic female athletes. When compared to eumenorrheic athletes, amenorrheic athletes had higher levels of plasma cholesterol (5.47 +/- 0.17 vs. 4.84 +/- 0.12 mmol/L, P = 0.003), triglyceride (0.75 +/- 0.06 vs. 0.61 +/- 0.03 mmol/L, P = 0.046), low-density lipoprotein (LDL; 3.16 +/- 0.15 vs. 2.81 +/- 0.09 mmol/L, P = 0.037), high-density lipoprotein (HDL; 1.95 +/- 0.07 vs. 1.73 +/- 0.05 mmol/L, P = 0.007), and HDL2 (0.84 +/- 0.06 vs. 0.68 +/- 0.04 mmol/L, P = 0.02) cholesterol. Plasma LDL/HDL cholesterol ratios, very low-density lipoprotein and HDL3 cholesterol, and apolipoprotein A-I and A-II levels were similar in the two groups. Amenorrheic athletes consumed less fat than eumenorrheic subjects (52 +/- 5 vs. 75 +/- 3 g/day, P = 0.02), but similar amounts of calories, cholesterol, protein, carbohydrate, and ethanol. HDL cholesterol levels in amenorrheic subjects correlated positively with the percent of dietary calories from fat (r = 0.42, n = 23, P = 0.045) but negatively with the percent from protein (r = -0.49, n = 23, P = 0.017). Thus, exercise-induced amenorrhea may adversely affect cardiovascular risk by increasing plasma LDL and total cholesterol. However, cardioprotective elevations in plasma HDL and HDL2 cholesterol may neutralize the risk of cardiovascular disease in amenorrheic athletes.

  9. Behavior of a plasma in a high-density gas-embedded Z-pinch configuration

    International Nuclear Information System (INIS)

    Shlachter, J.S.

    1982-05-01

    The theoretical analysis of a high density Z-pinch (HDZP) begins with an examination of the steady state energy balance between ohmic heating and bremsstrahlung radiation losses for a plasma column in pressure equilibrium. The model is then expanded to include the time-varying internal energy and results in a quasi-equilibrium prescription for the load current through a constant radius plasma channel. This set of current waveforms is useful in the design of experimental systems. The behavior of a plasma for physically realizable conditions is first examined by allowing adiabatic changes in the column radius. A more complete model is then developed by incorporating inertial effects into the momentum equation, and the resultant global MHD computational model is compared with more sophisticated, and costly, one- and two-dimensional computer simulations. These comparisons demonstrate the advantages of the global MHD description over previously developed zero-dimensional models

  10. Measurement of temperature, electric conductivity and density of plasma

    International Nuclear Information System (INIS)

    Vasilevova, I.; Nefedov, A.; Oberman, F.; Urinson, A.

    1982-01-01

    Three instruments are briefly described developed by the High Temperatures Institute of the USSR Academy of Sciences for the measurement of plasma temperature, electric conductivity and density. The temperature measuring instrument uses as a standard a light source whose temperature may significantly differ from plasma temperature because three light fluxes are compared, namely the flux emitted by the plasma, the flux emitted directly by the standard source, and the flux emitted by the standard source after passage through the plasma. The results of measurement are computer processed. Electric conductivity is measured using a coil placed in a probe which is automatically extended for a time of maximally 0.3 seconds into the plasma stream. The equipment for measuring plasma density consists of a special single-channel monochromator, a temperature gauge, a plasma pressure gauge, and of a computer for processing the results of measurement. (Ha)

  11. Experimental investigation of coaxial-gun-formed plasmas injected into a background transverse magnetic field or plasma

    OpenAIRE

    Zhang, Yue; Fisher, Dustin M.; Gilmore, Mark; Hsu, Scott C.; Lynn, Alan G.

    2017-01-01

    Injection of coaxial-gun-formed magnetized plasmas into a background transverse vacuum magnetic field or into a background magnetized plasma has been studied in the helicon-cathode (HelCat) linear plasma device at the University of New Mexico [M. Gilmore et al., J. Plasma Phys.81, 345810104 (2015)]. Magnetized plasma jet launched into a background transverse magnetic field shows emergent kink stabilization of the jet due to the formation of a sheared flow in the jet above the kink-stabilizati...

  12. Decrease in plasma high-density lipoprotein cholesterol levels at puberty in boys with delayed adolescence: correlation with plasma testosterone levels

    International Nuclear Information System (INIS)

    Kirkland, R.T.; Keenan, B.S.; Probstfield, J.L.; Patsch, W.; Lin, T.L.; Clayton, G.W.; Insull, W. Jr.

    1987-01-01

    A three-phase study tested the hypothesis that the decrease in the high-density lipoprotein cholesterol (HDL-C) level observed in boys at puberty is related to an increase in the plasma testosterone concentration. In phase I, 57 boys aged 10 to 17 years were categorized into four pubertal stages based on clinical parameters and plasma testosterone levels. These four groups showed increasing plasma testosterone values and decreasing HDL-C levels. In phase II, 14 boys with delayed adolescence were treated with testosterone enanthate. Plasma testosterone levels during therapy were in the adult male range. Levels of HDL-C decreased by a mean of 7.4 mg/dL (0.20 mmol/L) and 13.7 mg/dL (0.35 mmol/L), respectively, after the first two doses. In phase III, 13 boys with delayed adolescence demonstrated increasing plasma testosterone levels and decreasing HDL-C levels during spontaneous puberty. Levels of HDL-C and apolipoprotein A-1 were correlated during induced and spontaneous puberty. Testosterone should be considered a significant determinant of plasma HDL-C levels during pubertal development

  13. Design and development of a low cost, high current density power supply for streamer free atmospheric pressure DBD plasma generation in air.

    Science.gov (United States)

    Jain, Vishal; Visani, Anand; Srinivasan, R; Agarwal, Vivek

    2018-03-01

    This paper presents a new power supply architecture for generating a uniform dielectric barrier discharge (DBD) plasma in air medium at atmospheric pressure. It is quite a challenge to generate atmospheric pressure uniform glow discharge plasma, especially in air. This is because air plasma needs very high voltage for initiation of discharge. If the high voltage is used along with high current density, it leads to the formation of streamers, which is undesirable for most applications like textile treatment, etc. Researchers have tried to generate high-density plasma using a RF source, nanosecond pulsed DC source, and medium frequency AC source. However, these solutions suffer from low current discharge and low efficiency due to the addition of an external resistor to control the discharge current. Moreover, they are relatively costly and bulky. This paper presents a new power supply configuration which is very compact and generates high average density (∼0.28 W/cm 2 ) uniform glow DBD plasma in air at atmospheric pressure. The efficiency is also higher as no external resistor is required to control the discharge current. An inherent feature of this topology is that it can drive higher current oscillations (∼50 A peak and 2-3 MHz frequency) into the plasma that damp out due to the plasma dissipation only. A newly proposed model has been used with experimental validation in this paper. Simulations and experimental validation of the proposed topology are included. Also, the application of the generated plasma for polymer film treatment is demonstrated.

  14. Nanoparticle manipulation in the near-substrate areas of low-temperature, high-density rf plasmas

    International Nuclear Information System (INIS)

    Rutkevych, P.P.; Ostrikov, K.; Xu, S.

    2005-01-01

    Manipulation of a single nanoparticle in the near-substrate areas of high-density plasmas of low-temperature glow discharges is studied. It is shown that the nanoparticles can be efficiently manipulated by the thermophoretic force controlled by external heating of the substrate stage. Particle deposition onto or repulsion from nanostructured carbon surfaces critically depends on the values of the neutral gas temperature gradient in the near-substrate areas, which is directly measured in situ in different heating regimes by originally developed temperature gradient probe. The measured values of the near-surface temperature gradient are used in the numerical model of nanoparticle dynamics in a variable-length presheath. Specific conditions enabling the nanoparticle to overcome the repulsive potential and deposit on the substrate during the discharge operation are investigated. The results are relevant to fabrication of various nanostructured films employing structural incorporation of the plasma-grown nanoparticles, in particular, to nanoparticle deposition in the plasma-enhanced chemical-vapor deposition of carbon nanostructures in hydrocarbon-based plasmas

  15. Numerical studies on the ramped density plasma lens

    International Nuclear Information System (INIS)

    Williams, R.L.; Katsouleas, T.

    1992-01-01

    We consider the so-called adiabatic plasma lens when the plasma density is ramped too quickly to be considered adiabatic. The lens length can be much shorter in such a case, but the final spot size is shown to be larger by a factor of √1+α 2 than for a slowly ramped plasma lens with the same initial and final density (where α=-β'/2 is proportional to the plasma density gradient). We find that the final spot size is the same whether or not the Courant-Snyder parameters of the beam (α and β) are matched to the lens. However, matched beams allow the plasma density to be lower while unmatched beams allow the lens to be shorter (for the same α and for the same final to initial plasma density ratio). Finally, we find that a smaller spot size can be obtained for a given lens length and density ratio by starting at smaller α and increasing α along the lens

  16. Ultra-High Density Electron Beams for Beam Radiation and Beam Plasma Interaction

    CERN Document Server

    Anderson, Scott; Frigola, Pedro; Gibson, David J; Hartemann, Fred V; Jacob, Jeremy S; Lim, Jae; Musumeci, Pietro; Rosenzweig, James E; Travish, Gil; Tremaine, Aaron M

    2005-01-01

    Current and future applications of high brightness electron beams, which include advanced accelerators such as the plasma wake-field accelerator (PWFA) and beam-radiation interactions such as inverse-Compton scattering (ICS), require both transverse and longitudinal beam sizes on the order of tens of microns. Ultra-high density beams may be produced at moderate energy (50 MeV) by compression and subsequent strong focusing of low emittance, photoinjector sources. We describe the implementation of this method used at LLNL's PLEIADES ICS x-ray source in which the photoinjector-generated beam has been compressed to 300 fsec duration using the velocity bunching technique and focused to 20 μm rms size using an extremely high gradient, permanent magnet quadrupole (PMQ) focusing system.

  17. Dynamics of Magnetized Plasma Jets and Bubbles Launched into a Background Magnetized Plasma

    Science.gov (United States)

    Wallace, B.; Zhang, Y.; Fisher, D. M.; Gilmore, M.

    2016-10-01

    The propagation of dense magnetized plasma, either collimated with mainly azimuthal B-field (jet) or toroidal with closed B-field (bubble), in a background plasma occurs in a number of solar and astrophysical cases. Such cases include coronal mass ejections moving in the background solar wind and extragalactic radio lobes expanding into the extragalactic medium. Understanding the detailed MHD behavior is crucial for correctly modeling these events. In order to further the understanding of such systems, we are investigating the injection of dense magnetized jets and bubbles into a lower density background magnetized plasma using a coaxial plasma gun and a background helicon or cathode plasma. In both jet and bubble cases, the MHD dynamics are found to be very different when launched into background plasma or magnetic field, as compared to vacuum. In the jet case, it is found that the inherent kink instability is stabilized by velocity shear developed due to added magnetic tension from the background field. In the bubble case, rather than directly relaxing to a minimum energy Taylor state (spheromak) as in vacuum, there is an expansion asymmetry and the bubble becomes Rayleigh-Taylor unstable on one side. Recent results will be presented. Work supported by the Army Research Office Award No. W911NF1510480.

  18. CO{sub 2} laser diagnostics for measurements of the plasma density profile and plasma density fluctuations on LHD

    Energy Technology Data Exchange (ETDEWEB)

    Vyacheslavov, L.N. [Budker Institute of Nuclear Physics, Novosibirsk (Russian Federation); Tanaka, K.; Kawahata, K. [National Inst. for Fusion Science, Toki, Gifu (Japan)

    2001-04-01

    A CO{sub 2} laser based diagnostics complimentary to the existing FIR interferometer is proposed. It combines interferometry for plasma density profile measurement under conditions of large density gradients, and both imaging and scattering techniques for observation of plasma fluctuations. Two-colour interferometer with a slablike probe beam and a single multichannel linear detector array provide observation of plasma density profile and density fluctuations at two locations along the probe beam. Basic characteristics of the diagnostics are considered as well as some effects that include dispersion and are critical for two colour imaging technique. The results of the bench-top experiments with the prototype of the interferometer are presented. (author)

  19. High-density-plasma diagnostics in magnetic-confinement fusion

    International Nuclear Information System (INIS)

    Jahoda, F.C.

    1982-01-01

    The lectures will begin by defining high density in the context of magnetic confinement fusion research and listing some alternative reactor concepts, ranging from n/sub e/ approx. 2 x 10 14 cm -3 to several orders of magnitude greater, that offer potential advantages over the main-line, n/sub e/ approx. 1 x 10 14 cm -3 , Tokamak reactor designs. The high density scalings of several major diagnostic techniques, some favorable and some disadvantageous, will be discussed. Special emphasis will be given to interferometric methods, both electronic and photographic, for which integral n/sub e/dl measurements and associated techniques are accessible with low wavelength lasers. Reactor relevant experience from higher density, smaller dimension devices exists. High density implies high β, which implies economies of scale. The specialized features of high β diagnostics will be discussed

  20. Measurements of Pfirsch-Schlueter current and pressure profile for the high density ECH plasmas in Heliotron DR

    International Nuclear Information System (INIS)

    Morimoto, S.; Yanagi, N.; Nakasuga, M.; Obiki, T.; Iiyoshi, A.; Uo, K.

    1988-01-01

    The Pfirsch-Schlueter current and pressure profiles are estimated from magnetic measurements for high density electron cyclotron heating (ECH) plasmas (n-bar e =(2-3)x10 13 cm -3 , T e0 =200-400 eV, (β) 0 (1-(r/a) 2 ) s , is about two in macroscopically stable plasmas. A fast loss of plasma energy from the centre to the periphery is observed during the onset of the MHD instability. This method of measuring the pressure profile shape is simple and useful for heliotron type devices. (author). 20 refs, 8 figs, 1 tab

  1. Final Technical Report, DOE Grant DE-FG02-98ER54496, Physics of High-Energy-Density X Pinch Plasmas

    International Nuclear Information System (INIS)

    Hammer, David

    2008-01-01

    Abstract for the Final Technical Report, DOE Grant DE-FG02-98ER54496 An X-pinch plasma is produced by driving a high current (100-500 kiloamperes) through two or more fine wires that cross and touch at a point, forming an X in the case of two wires. The wires explode because of the high current, and then the resulting plasma is imploded radially inward by the magnetic field from the current. When the imploding material briefly stagnates at very small radius and high density, an intense burst of x-rays is produced and the plasma disassembles as rapidly as it imploded. When this project began, we could confidently state that at its minimum radius, X pinch plasmas made from such materials as titanium and molybdenum might be as hot as 10,000,000 K and had densities almost as high as the solid wire density, but their X-ray pulse durations were below one billionth of a second. We could also say that the X pinch was useful for point-projection imaging of rapidly changing objects, such as exploding wires, with high resolution, indicative of a very small X-ray source spot size. We can now confidently say that X-pinch plasma temperatures at the moment of the X-ray burst are 10-25 million K in titanium, molybdenum and several other wire X-pinches based upon the spectrum of emitted X-rays in the radiation burst. By the same means, as well as from the penetration of X-rays through the dense plasma, we know that ion densities are close to or higher than one-tenth of the density of the original (solid) wire material in molybdenum and a few other X-pinch plasmas. Furthermore, using the diffraction of X-rays radiated by the X-pinch when it reaches minimum radius, we have determined that the x-ray source size is about 1 thousandth of a millimeter for such wire materials as molybdenum and niobium, while it is 2-10 times larger for tungsten, titanium and aluminum wires. Finally, using a very high speed X-ray imaging 'streak camera,' we have determined that X pinch X-ray pulses can be

  2. Control of plasma density distribution via wireless power transfer in an inductively coupled plasma

    International Nuclear Information System (INIS)

    Lee, Hee-Jin; Lee, Hyo-Chang; Kim, Young-Cheol; Chung, Chin-Wook

    2013-01-01

    With an enlargement of the wafer size, development of large-area plasma sources and control of plasma density distribution are required. To control the spatial distribution of the plasma density, wireless power transfer is applied to an inductively coupled plasma for the first time. An inner powered antenna and an outer resonant coil connected to a variable capacitor are placed on the top of the chamber. As the self-resonance frequency ω r of the resonant coil is adjusted, the power transfer rate from the inner powered coil to the outer resonant coil is changed and the dramatic evolution of the plasma density profile is measured. As ω r of the outer resonant coil changes from the non-resonant condition (where ω r is not the driving angular frequency ω rf ) to the resonant condition (where ω r = ω rf ), the plasma density profile evolves from a convex shape with maximal plasma density at the radial center into a concave shape with maximal plasma density in the vicinity of the resonant antenna coil. This result shows that the plasma density distribution can be successfully controlled via wireless resonance power transfer. (fast track communication)

  3. Silicon micromachining using a high-density plasma source

    International Nuclear Information System (INIS)

    McAuley, S.A.; Ashraf, H.; Atabo, L.; Chambers, A.; Hall, S.; Hopkins, J.; Nicholls, G.

    2001-01-01

    Dry etching of Si is critical in satisfying the demands of the micromachining industry. The micro-electro-mechanical systems (MEMS) community requires etches capable of high aspect ratios, vertical profiles, good feature size control and etch uniformity along with high throughput to satisfy production requirements. Surface technology systems' (STS's) high-density inductively coupled plasma (ICP) etch tool enables a wide range of applications to be realized whilst optimizing the above parameters. Components manufactured from Si using an STS ICP include accelerometers and gyroscopes for military, automotive and domestic applications. STS's advanced silicon etch (ASE TM ) has also allowed the first generation of MEMS-based optical switches and attenuators to reach the marketplace. In addition, a specialized application for fabricating the next generation photolithography exposure masks has been optimized for 200 mm diameter wafers, to depths of ∼750 μm. Where the profile is not critical, etch rates of greater than 8 μm min -1 have been realized to replace previous methods such as wet etching. This is also the case for printer applications. Specialized applications that require etching down to pyrex or oxide often result in the loss of feature size control at the interface; this is an industry wide problem. STS have developed a technique to address this. The rapid progression of the industry has led to development of the STS ICP etch tool, as well as the process. (author)

  4. A comparative study on the direct deposition of μc-Si:H and plasma-induced recrystallization of a-Si:H: Insight into Si crystallization in a high-density plasma

    Science.gov (United States)

    Zhou, H. P.; Xu, M.; Xu, S.; Feng, Y. Y.; Xu, L. X.; Wei, D. Y.; Xiao, S. Q.

    2018-03-01

    Deep insight into the crystallization mechanism of amorphous silicon is of theoretical and technological significance for the preparation of high-quality microcrystalline/polycrystalline silicon. In this work, we intensively compare the present two plasma-involved routes, i.e., the direct deposition and recrystallization of precursor amorphous silicon (a-Si) films, to fabricate microcrystalline silicon. Both the directly deposited and recrystallized samples show multi-layered structures as revealed by electronic microscopy. High-density hydrogen plasma involved recrystallization process, which is mediated by the hydrogen diffusion into the deep region of the precursor a-Si film, displays significantly different nucleation configuration, interface properties, and crystallite shape. The underlying mechanisms are analyzed in combination with the interplay of high-density plasma and growing or treated surface.

  5. Frequency threshold for ion beam formation in expanding RF plasma

    Science.gov (United States)

    Chakraborty Thakur, Saikat; Harvey, Zane; Biloiu, Ioana; Hansen, Alex; Hardin, Robert; Przybysz, William; Scime, Earl

    2008-11-01

    We observe a threshold frequency for ion beam formation in expanding, low pressure, argon helicon plasma. Mutually consistent measurements of ion beam energy and density relative to the background ion density obtained with a retarding field energy analyzer and laser induced fluorescence indicate that a stable ion beam of 15 eV appears for source frequencies above 11.5 MHz. Reducing the frequency increases the upstream beam amplitude. Downstream of the expansion region, a clear ion beam is seen only for the higher frequencies. At lower frequencies, large electrostatic instabilities appear and an ion beam is not observed. The upstream plasma density increases sharply at the same threshold frequency that leads to the appearance of a stable double layer. The observations are consistent with the theoretical prediction that downstream electrons accelerated into the source by the double layer lead to increased ionization, thus balancing the higher loss rates upstream [1]. 1. M. A. Lieberman, C. Charles and R. W. Boswell, J. Phys. D: Appl. Phys. 39 (2006) 3294-3304

  6. Final Report. Hydrodynamics by high-energy-density plasma flow and hydrodynamics and radiative hydrodynamics with astrophysical application

    International Nuclear Information System (INIS)

    R Paul Drake

    2004-01-01

    OAK-B135 This is the final report from the project Hydrodynamics by High-Energy-Density Plasma Flow and Hydrodynamics and Radiation Hydrodynamics with Astrophysical Applications. This project supported a group at the University of Michigan in the invention, design, performance, and analysis of experiments using high-energy-density research facilities. The experiments explored compressible nonlinear hydrodynamics, in particular at decelerating interfaces, and the radiation hydrodynamics of strong shock waves. It has application to supernovae, astrophysical jets, shock-cloud interactions, and radiative shock waves

  7. Density fluctuations due to Raman forward scattering in quantum plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Punit, E-mail: punitkumar@hotmail.com; Singh, Shiv; Rathore, Nisha Singh, E-mail: nishasingh-rathore@yahoo.com [Department of Physics, University of Lucknow, Lucknow-226007 (India)

    2016-05-06

    Density fluctuations due Raman forward scattering (RFS) is analysed in the interaction of a high intensity laser pulse with high density quantum plasma. The interaction model is developed using the quantum hydrodynamic (QHD) model which consist of a set of equations describing the transport of charge, density, momentum and energy of a charged particle system interacting through a self-consistent electrostatic potential. The nonlinear source current has been obtained incorporating the effects of quantum Bohm potential, Fermi pressure and electron spin. The laser spectrum is strongly modulated by the interaction, showing sidebands at the plasma frequency. Furthermore, as the quiver velocity of the electrons in the high electric field of the laser beam is quit large, various quantum effects are observed which can be attributed to the variation of electron mass with laser intensity.

  8. DAQ system for low density plasma parameters measurement

    International Nuclear Information System (INIS)

    Joshi, Rashmi S.; Gupta, Suryakant B.

    2015-01-01

    In various cases where low density plasmas (number density ranges from 1E4 to 1E6 cm -3 ) exist for example, basic plasma studies or LEO space environment measurement of plasma parameters becomes very critical. Conventional tip (cylindrical) Langmuir probes often result into unstable measurements in such lower density plasma. Due to larger surface area, a spherical Langmuir probe is used to measure such lower plasma densities. Applying a sweep voltage signal to the probe and measuring current values corresponding to these voltages gives V-I characteristics of plasma which can be plotted on a digital storage oscilloscope. This plot is analyzed for calculating various plasma parameters. The aim of this paper is to measure plasma parameters using a spherical Langmuir probe and indigenously developed DAQ system. DAQ system consists of Keithley source-meter and a host system connected by a GPIB interface. An online plasma parameter diagnostic system is developed for measuring plasma properties for non-thermal plasma in vacuum. An algorithm is developed using LabVIEW platform. V-I characteristics of plasma are plotted with respect to different filament current values and different locations of Langmuir probe with reference to plasma source. V-I characteristics is also plotted for forward and reverse voltage sweep generated programmatically from the source meter. (author)

  9. Probing ultrafast dynamics of solid-density plasma generated by high-contrast intense laser pulses

    Science.gov (United States)

    Jana, Kamalesh; Blackman, David R.; Shaikh, Moniruzzaman; Lad, Amit D.; Sarkar, Deep; Dey, Indranuj; Robinson, Alex P. L.; Pasley, John; Ravindra Kumar, G.

    2018-01-01

    We present ultrafast dynamics of solid-density plasma created by high-contrast (picosecond contrast ˜10-9), high-intensity (˜4 × 1018 W/cm2) laser pulses using time-resolved pump-probe Doppler spectrometry. Experiments show a rapid rise in blue-shift at early time delay (2-4.3 ps) followed by a rapid fall (4.3-8.3 ps) and then a slow rise in blue-shift at later time delays (>8.3 ps). Simulations show that the early-time observations, specifically the absence of any red-shifting of the reflected probe, can only be reproduced if the front surface is unperturbed by the laser pre-pulse at the moment that the high intensity pulse arrives. A flexible diagnostic which is capable of diagnosing the presence of low-levels of pre-plasma formation would be useful for potential applications in laser-produced proton and ion production, such as cancer therapy and security imaging.

  10. Drift resonance in high density non-neutral plasmas

    International Nuclear Information System (INIS)

    Kaup, D.J.

    2006-01-01

    Theoretical studies of the operation of crossed-field electron vacuum devices such as magnetrons and crossed-field amplifiers (CFA) have usually centered on their initial growth, taking this as an indication of their operating modes. In such an analysis one solves the equations for the density profile, the operating frequency, the growth rate, and other features of these devices. What one really obtains then are only the conditions for the device to turn on. The dominant interaction in this stage is a Rayleigh-type instability which initiates a quasilinear diffusion process whereby the electron density profile redistributes itself into a profile which will be in equilibrium with the ponderomotive-like forces produced by the growing rf fields. Eventually the rf fields will saturate and an operating device will settle into a stationary operating regime. This stage of a device's operation is called the ''saturation stage.'' This latter stage involves a different set of physical interactions from the initiation stage. No longer is there a growth rate; rather the rf amplitudes have saturated and as a result, the ponderomotive-like forces have also vanished along with the quasilinear diffusion. In this saturation stage, we find that new rf modes appear. In fact, there are a total of five rf modes, two of which are the usual slow modes of the initiation stage, and three of which have fast oscillations in the vertical direction. One fast mode corresponds to a drift plasma oscillation while the other two fast modes are drift cyclotron modes. In this paper, we will describe how the drift plasma oscillation interacts and couples with the slow rf modes at the diocotron resonance

  11. Modeling ionization by helicon waves

    International Nuclear Information System (INIS)

    Degeling, A.W.; Boswell, R.W.

    1997-01-01

    The response of the electron distribution function in one dimension to a traveling wave electric field is modeled for parameters relevant to a low-pressure helicon wave plasma source, and the resulting change in the ionization rate calculated. This is done by calculating the trajectories of individual electrons in a given wave field and assuming no collisions to build up the distribution function as the distance from the antenna is increased. The ionization rate is calculated for argon by considering the ionization cross section and electron flux at a specified position and time relative to the left-hand boundary, where the distribution function is assumed to be Maxwellian and the wave travels to the right. The simulation shows pulses in the ionization rate that move away from the antenna at the phase velocity of the wave, demonstrating the effect of resonant electrons trapped in the wave close-quote s frame of reference. It is found that the ionization rate is highest when the phase velocity of the wave is between 2 and 3x10 6 m/s, where the electrons interacting strongly with the wave (i.e., electrons with velocities inside the wave close-quote s open-quotes trapping widthclose quotes) have initial energies just below the ionization threshold. Results from the model are compared with experimental data and show reasonable qualitative agreement. copyright 1997 American Institute of Physics

  12. Interferometric density measurements in the divertor and edge plasma regions for the additionally heated JT-60 plasmas

    International Nuclear Information System (INIS)

    Fukuda, T.; Yoshida, H.; Nagashima, A.; Ishida, S.; Kikuchi, M.; Yokomizo, H.

    1989-01-01

    The first divertor plasma density measurement and the interferometric edge plasma density measurement with boundary condition preserving millimeter waveguides were demonstrated to elucidate the mutual correlation among the divertor plasma, scrape-off layer plasma and the bulk plasma properties in the additionally heated JT-60 plasmas. The electron density in the divertor region exhibited a nonlinear dependence on the bulk plasma density for the joule-heated plasmas. When neutral beam heating is applied on the plasmas with the electron density above 2x10 19 /m 3 , however, the bulk plasma density is scraped off from the outer region to lead to density clamping, and the electron density in the divertor region rapidly increases over 1x10 20 /m 3 , from which we can deduce that the particle flow along the magnetic field is dominant, resulting in the apparent degradation of the particle confinement time. As for the case when neutral beam injection is applied to low-density plasmas, the bulk plasma electron density profile becomes flattened to yield a smaller density increase in the divertor region and no density clamping of the bulk plasma was observed. Simulation analysis which correlates the transport of the divertor plasma and the scrape-off layer plasma was also carried out to find the consistency with the experimental results. (orig.)

  13. One-dimensional time-dependent fluid model of a very high density low-pressure inductively coupled plasma

    Science.gov (United States)

    Chaplin, Vernon H.; Bellan, Paul M.

    2015-12-01

    A time-dependent two-fluid model has been developed to understand axial variations in the plasma parameters in a very high density (peak ne≳ 5 ×1019 m-3 ) argon inductively coupled discharge in a long 1.1 cm radius tube. The model equations are written in 1D with radial losses to the tube walls accounted for by the inclusion of effective particle and energy sink terms. The ambipolar diffusion equation and electron energy equation are solved to find the electron density ne(z ,t ) and temperature Te(z ,t ) , and the populations of the neutral argon 4s metastable, 4s resonant, and 4p excited state manifolds are calculated to determine the stepwise ionization rate and calculate radiative energy losses. The model has been validated through comparisons with Langmuir probe ion saturation current measurements; close agreement between the simulated and measured axial plasma density profiles and the initial density rise rate at each location was obtained at pA r=30 -60 mTorr . We present detailed results from calculations at 60 mTorr, including the time-dependent electron temperature, excited state populations, and energy budget within and downstream of the radiofrequency antenna.

  14. High density internal transport barriers for burning plasma operation

    Energy Technology Data Exchange (ETDEWEB)

    Ridolfini, V Pericoli [Associazione EURATOM-ENEA sulla Fusione, CR Frascati, Rome (Italy); Barbato, E [Associazione EURATOM-ENEA sulla Fusione, CR Frascati, Rome (Italy); Buratti, P [Associazione EURATOM-ENEA sulla Fusione, CR Frascati, Rome (Italy)] (and others)

    2005-12-15

    A tokamak plasma with internal transport barriers (ITBs) is the best candidate for a steady ITER operation, since the high energy confinement allows working at plasma currents (I{sub p}) lower than the reference scenario. To build and sustain an ITB at the ITER high density ({>=}10{sup 20} m{sup -3}) and largely dominant electron (e{sup -}) heating is not trivial in most existing tokamaks. FTU can instead meet both requests, thanks to its radiofrequency heating systems, lower hybrid (LH, up to 1.9 MW) and electron cyclotron (EC up to 1.2 MW). By the combined use of them, ITBs are obtained up to peak densities n{sub e0} > 1.3 x 10{sup 20} m{sup -3}, with central e{sup -} temperatures T{sub e0} {approx} 5.5 keV, and are sustained for as long as the heating pulse is applied (>35 confinement times, {tau}{sub E}). At n{sub e0} {approx} 0.8 x 10{sup 20} m{sup -3} T{sub e0} can be larger than 11 keV. Almost full current drive (CD) and an overall good steadiness is attained within about one {tau}{sub E}, 20 times faster than the ohmic current relaxation time. The ITB extends over a central region with an almost flat or slightly reversed q profile and q{sub min} {approx} 1.3 that is fully sustained by off-axis lower hybrid current drive. Consequent to this is the beneficial good alignment of the bootstrap current, generated by the ITB large pressure gradients, with the LH driven current. Reflectometry shows a clear change in the turbulence close to the ITB radius, consistent with the reduced e{sup -} transport. Ions (i{sup +}) are significantly heated via collisions, but thermal equilibrium with electrons cannot be attained since the e{sup -}-i{sup +} equipartition time is always 4-5 times longer than {tau}{sub E}. No degradation of the overall ion transport, rather a reduction of the i{sup +} heat diffusivity, is observed inside the ITB. The global confinement has been improved up to 1.6 times over the scaling predictions. The ITB radius can be controlled by adjusting the

  15. High-density carbon ablator ignition path with low-density gas-filled rugby hohlraum

    International Nuclear Information System (INIS)

    Amendt, Peter; Ho, Darwin D.; Jones, Ogden S.

    2015-01-01

    A recent low gas-fill density (0.6 mg/cc 4 He) cylindrical hohlraum experiment on the National Ignition Facility has shown high laser-coupling efficiency (>96%), reduced phenomenological laser drive corrections, and improved high-density carbon capsule implosion symmetry [Jones et al., Bull. Am. Phys. Soc. 59(15), 66 (2014)]. In this Letter, an ignition design using a large rugby-shaped hohlraum [Amendt et al., Phys. Plasmas 21, 112703 (2014)] for high energetics efficiency and symmetry control with the same low gas-fill density (0.6 mg/cc 4 He) is developed as a potentially robust platform for demonstrating thermonuclear burn. The companion high-density carbon capsule for this hohlraum design is driven by an adiabat-shaped [Betti et al., Phys. Plasmas 9, 2277 (2002)] 4-shock drive profile for robust high gain (>10) 1-D ignition performance and large margin to 2-D perturbation growth

  16. High-density carbon ablator ignition path with low-density gas-filled rugby hohlraum

    Science.gov (United States)

    Amendt, Peter; Ho, Darwin D.; Jones, Ogden S.

    2015-04-01

    A recent low gas-fill density (0.6 mg/cc 4He) cylindrical hohlraum experiment on the National Ignition Facility has shown high laser-coupling efficiency (>96%), reduced phenomenological laser drive corrections, and improved high-density carbon capsule implosion symmetry [Jones et al., Bull. Am. Phys. Soc. 59(15), 66 (2014)]. In this Letter, an ignition design using a large rugby-shaped hohlraum [Amendt et al., Phys. Plasmas 21, 112703 (2014)] for high energetics efficiency and symmetry control with the same low gas-fill density (0.6 mg/cc 4He) is developed as a potentially robust platform for demonstrating thermonuclear burn. The companion high-density carbon capsule for this hohlraum design is driven by an adiabat-shaped [Betti et al., Phys. Plasmas 9, 2277 (2002)] 4-shock drive profile for robust high gain (>10) 1-D ignition performance and large margin to 2-D perturbation growth.

  17. High-density carbon ablator ignition path with low-density gas-filled rugby hohlraum

    Energy Technology Data Exchange (ETDEWEB)

    Amendt, Peter; Ho, Darwin D.; Jones, Ogden S. [Lawrence Livermore National Laboratory, Livermore, California 94551 (United States)

    2015-04-15

    A recent low gas-fill density (0.6 mg/cc {sup 4}He) cylindrical hohlraum experiment on the National Ignition Facility has shown high laser-coupling efficiency (>96%), reduced phenomenological laser drive corrections, and improved high-density carbon capsule implosion symmetry [Jones et al., Bull. Am. Phys. Soc. 59(15), 66 (2014)]. In this Letter, an ignition design using a large rugby-shaped hohlraum [Amendt et al., Phys. Plasmas 21, 112703 (2014)] for high energetics efficiency and symmetry control with the same low gas-fill density (0.6 mg/cc {sup 4}He) is developed as a potentially robust platform for demonstrating thermonuclear burn. The companion high-density carbon capsule for this hohlraum design is driven by an adiabat-shaped [Betti et al., Phys. Plasmas 9, 2277 (2002)] 4-shock drive profile for robust high gain (>10) 1-D ignition performance and large margin to 2-D perturbation growth.

  18. Radicals mediated magnetism in Ar plasma treated high-density polyethylene

    Science.gov (United States)

    Orendáč, M.; Čižmár, E.; Kažiková, V.; Orendáčová, A.; Řezníčková, A.; Kolská, Z.; Švorčík, V.

    2018-05-01

    Electron-spin resonance of high-density polyethylene treated by Ar plasma at 300 K was performed in X-band at temperatures from 2.1 K to 290 K. The observed spectra suggest presence of allyl radicals, whereas the central peak may be attributed to polyenyl radicals or dangled bonds. Pronounced narrowing of the resonance line observed above glassy temperature of polyethylene may be ascribed to thermally activated motional effect with the activation energy Ea /kB = 160 K. The absence of strong exchange interactions is suggested by negligible exchange narrowing found at 2.1 K. The suggestion is supported by the analysis of the temperature dependence of the intensity at low temperatures, which is explicable assuming the coexistence of non-interacting radicals and S = 1/2 dimers with a distribution of antiferromagnetic couplings varying from 2 K to nominally 25 K.

  19. Experimental investigation of opacity models for stellar interior, inertial fusion, and high energy density plasmas

    International Nuclear Information System (INIS)

    Bailey, J. E.; Rochau, G. A.; Mancini, R. C.; Iglesias, C. A.; MacFarlane, J. J.; Golovkin, I. E.; Blancard, C.; Cosse, Ph.; Faussurier, G.

    2009-01-01

    Theoretical opacities are required for calculating energy transport in plasmas. In particular, understanding stellar interiors, inertial fusion, and Z pinches depends on the opacities of mid-atomic-number elements over a wide range of temperatures. The 150-300 eV temperature range is particularly interesting. The opacity models are complex and experimental validation is crucial. For example, solar models presently disagree with helioseismology and one possible explanation is inadequate theoretical opacities. Testing these opacities requires well-characterized plasmas at temperatures high enough to produce the ion charge states that exist in the sun. Typical opacity experiments heat a sample using x rays and measure the spectrally resolved transmission with a backlight. The difficulty grows as the temperature increases because the heating x-ray source must supply more energy and the backlight must be bright enough to overwhelm the plasma self-emission. These problems can be overcome with the new generation of high energy density (HED) facilities. For example, recent experiments at Sandia's Z facility [M. K. Matzen et al., Phys. Plasmas 12, 055503 (2005)] measured the transmission of a mixed Mg and Fe plasma heated to 156±6 eV. This capability will also advance opacity science for other HED plasmas. This tutorial reviews experimental methods for testing opacity models, including experiment design, transmission measurement methods, accuracy evaluation, and plasma diagnostics. The solar interior serves as a focal problem and Z facility experiments illustrate the techniques.

  20. A new linear plasma device for various edge plasma studies at SWIP

    Science.gov (United States)

    Xu, Min; Zheng, Pengfei; Tynan, George; Che, Tong; Wang, Zhanhui; Guo, Dong; Wei, Ran

    2017-10-01

    To facilitate the plasma-material interactions (PMI) studies, Southwestern Institute of Physics (SWIP) has constructed a linear plasma device. It is comprised of a source chamber (Φ 0.4 m), a target chamber (Φ 0.9 m), 15 magnets with different sizes, and power supplies with the total power of a few hundred kilowatts, etc. A maximum magnetic field of 0.3 Tesla along the axial direction can be produced. The current of each of the 15 magnets can be independently controlled. More than 60 ports are available for diagnostics, with the sizes vary from Φ 50 mm to Φ 150 mm. Rectangular ports of 190 mm × 270 mm are also available. 12 ports looking at the sample holder are specially designed for ion beam injection, of which the axes are 25 to the chamber axis. The device is equipped with a LaB6 hot cathode plasma source, which is able to generate steady-state H/D/He plasmas with a diameter of Φ 100 mm, density of 1x1019 /m3 , and a particle flux of 1022 1023 n/m2 .s. The electron temperature is usually a few eV. Further, a Helicon RF plasma source is also planned for plasma transport studies. Int'l Sci & Tech Cooperation Program of China (No. 2015DFA61760).

  1. Interferometer for electron density measurement in exploding wire plasma

    International Nuclear Information System (INIS)

    Batra, Jigyasa; Jaiswar, Ashutosh; Kaushik, T.C.

    2016-12-01

    Mach-Zehnder Interferometer (MZI) has been developed for measuring electron density profile in pulsed plasmas. MZI is to be used for characterizing exploding wire plasmas for correlating electron density dynamics with x-rays emission. Experiments have been carried out for probing electron density in pulsed plasmas produced in our laboratory like in spark gap and exploding wire plasmas. These are microsecond phenomenon. Changes in electron density have been registered in interferograms with the help of a streak camera for specific time window. Temporal electron density profiles have been calculated by analyzing temporal fringe shifts in interferograms. This report deals with details of MZI developed in our laboratory along with its theory. Basic introductory details have also been provided for exploding wire plasmas to be probed. Some demonstrative results of electron density measurements in pulsed plasmas of spark gap and single exploding wires have been described. (author)

  2. Low pressure plasmas and microstructuring technology

    CERN Document Server

    Franz, Gerhard

    2009-01-01

    A monograph that presents a perspective of gas discharge physics and its applications to various industries. It presents an overview of the different types to generate plasmas by DC discharges, capacitive and inductive radiofrequency coupling, helicon waves including electron cyclotron resonance, and ion beams.

  3. Battery-powered pulsed high density inductively coupled plasma source for pre-ionization in laboratory astrophysics experiments.

    Science.gov (United States)

    Chaplin, Vernon H; Bellan, Paul M

    2015-07-01

    An electrically floating radiofrequency (RF) pre-ionization plasma source has been developed to enable neutral gas breakdown at lower pressures and to access new experimental regimes in the Caltech laboratory astrophysics experiments. The source uses a customized 13.56 MHz class D RF power amplifier that is powered by AA batteries, allowing it to safely float at 3-6 kV with the electrodes of the high voltage pulsed power experiments. The amplifier, which is capable of 3 kW output power in pulsed (<1 ms) operation, couples electrical energy to the plasma through an antenna external to the 1.1 cm radius discharge tube. By comparing the predictions of a global equilibrium discharge model with the measured scalings of plasma density with RF power input and axial magnetic field strength, we demonstrate that inductive coupling (rather than capacitive coupling or wave damping) is the dominant energy transfer mechanism. Peak ion densities exceeding 5 × 10(19) m(-3) in argon gas at 30 mTorr have been achieved with and without a background field. Installation of the pre-ionization source on a magnetohydrodynamically driven jet experiment reduced the breakdown time and jitter and allowed for the creation of hotter, faster argon plasma jets than was previously possible.

  4. Direct evidence of plasma - density structuring in the auroral F-region ionosphere

    International Nuclear Information System (INIS)

    Tsunoda, R.T.; Haeggstroem, I.; Pellinen-Wannberg, A.; Steen, Aa.; Wannberg, G.

    1985-03-01

    We investigate the hypothesis that large-scale plasma-density enhancements found in the auroral F layer become structured via a magnetic-flux-tube interchange (MFTI) process. In such a process, plasma structure is produced when spatially irregular electric fields transport higher number-density plasma into a region containing lower number-density plasma, and vice versa. Direct experimental evidence of this process can be obtained by measuring concurrently the spatial distributions of F-region plasma density and electric field. Using the tristatic EISCAT radar facility, we measured these quantities in a two-dimensional plane transverse to the geomagnetic field, at 300-km altitude. We show, in a case study, that plasma-density structure found along the poleward wall of a blob was indeed accompanied by similar-scale variations in the ionospheric electric field, and that the sense of relative motion between high- and low-number-density plasma is consistent with ongoing structuring of the plasma via a MFTI process. From the estimated growth rate of 3 x 10 -3 s -1 , the observed plasma structure could have been produced in several minutes by the irregular electic field pattern. The source of the MFTI process, however, is not clear. The MFTI process did not appear to be driven by F-region polarization electric fields, a conclusion based on (1) the apparent lack of inverse correlation between plasma density and 'slip' velocity patterns, and (2) the positive growth rate found along the poleward wall of the blob in the presence of a westward Pedersen current. This conclusion excludes (at least for this data set) the gradient-drift and current-convective instabilities as primary sources of the ongoing structuring process. (Author)

  5. Analysis of plasma equilibrium based on orbit-driven current density profile in steady-state plasma on QUEST

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, K., E-mail: nakamura@triam.kyushu-u.ac.jp [RIAM, Kyushu University, Kasuga 816-8580 (Japan); Alam, M.M. [IGSES, Kyushu University, Kasuga 816-8580 (Japan); Jiang, Y.Z. [Tsinghua University, Beijing 100084 (China); Mitarai, O. [Tokai University, Kumamoto 862-8652 (Japan); Kurihara, K.; Kawamata, Y.; Sueoka, M.; Takechi, M. [Japan Atomic Energy Agency, Naka 311-0193 (Japan); Hasegawa, M.; Tokunaga, K.; Araki, K.; Zushi, H.; Hanada, K.; Fujisawa, A.; Idei, H.; Nagashima, Y.; Kawasaki, S.; Nakashima, H.; Higashijima, A.; Nagata, T. [RIAM, Kyushu University, Kasuga 816-8580 (Japan); and others

    2016-11-01

    Highlights: • High energy particle guiding center orbit is calculated as a contour plot of conserved variable. • Current density profile is analyzed based on the orbit-driven current. • Plasma equilibrium is reconstructed by considering the hollow current profile. - Abstract: In the present RF-driven (ECCD) steady-state plasma on QUEST (B{sub t} = 0.25 T, R = 0.68 m, a = 0.40 m), plasma current seems to flow in the open magnetic surface outside of the closed magnetic surface in the low-field region according to plasma current fitting (PCF) method. We consider that the current in the open magnetic surface is due to orbit-driven current by high-energy particles in RF-driven plasma. So based on the analysis of current density profile based on the orbit-driven current, plasma equilibrium is to be calculated. We calculated high energy particles guiding center orbits as a contour plot of conserved variable in Hamiltonian formulation and considered particles initial position with different levels of energy and pitch angles that satisfy resonance condition. Then the profile of orbit-driven current is estimated by multiplying the particle density on the resonance surface and the velocity on the orbits. This analysis shows negative current near the magnetic axis and hollow current profile is expected even if pressure driven current is considered. Considering the hollow current profile shifted toward the low-field region, the equilibrium is fitted by J-EFIT coded by MATLAB.

  6. Plasma density enhancement in atmospheric-pressure dielectric-barrier discharges by high-voltage nanosecond pulse in the pulse-on period: a PIC simulation

    International Nuclear Information System (INIS)

    Sang Chaofeng; Sun Jizhong; Wang Dezhen

    2010-01-01

    A particle-in-cell (PIC) plus Monte Carlo collision simulation is employed to investigate how a sustainable atmospheric pressure single dielectric-barrier discharge responds to a high-voltage nanosecond pulse (HVNP) further applied to the metal electrode. The results show that the HVNP can significantly increase the plasma density in the pulse-on period. The ion-induced secondary electrons can give rise to avalanche ionization in the positive sheath, which widens the discharge region and enhances the plasma density drastically. However, the plasma density stops increasing as the applied pulse lasts over certain time; therefore, lengthening the pulse duration alone cannot improve the discharge efficiency further. Physical reasons for these phenomena are then discussed.

  7. Plasma density enhancement in atmospheric-pressure dielectric-barrier discharges by high-voltage nanosecond pulse in the pulse-on period: a PIC simulation

    Science.gov (United States)

    Sang, Chaofeng; Sun, Jizhong; Wang, Dezhen

    2010-02-01

    A particle-in-cell (PIC) plus Monte Carlo collision simulation is employed to investigate how a sustainable atmospheric pressure single dielectric-barrier discharge responds to a high-voltage nanosecond pulse (HVNP) further applied to the metal electrode. The results show that the HVNP can significantly increase the plasma density in the pulse-on period. The ion-induced secondary electrons can give rise to avalanche ionization in the positive sheath, which widens the discharge region and enhances the plasma density drastically. However, the plasma density stops increasing as the applied pulse lasts over certain time; therefore, lengthening the pulse duration alone cannot improve the discharge efficiency further. Physical reasons for these phenomena are then discussed.

  8. Temporal evolution of plasma density in femtosecond light filaments

    International Nuclear Information System (INIS)

    Wang Haitao; Fan Chengyu; Shen Hong; Qiao Chunhong; Zhang Jinghui; Zhang Pengfei; Ma Huimin; Xu Huiling

    2012-01-01

    By using a legible and comprehensive physical model describing the generation and evolvement of ion densities in the plasma channel induced by intense femtosecond laser pulse, the work studied the temporal evolution of the plasma densities in femtosecond light filaments. It shows that the contribution of the ionization of oxygen and nitrogen molecules to the total electron densities varies much for different laser pulse shapes, and the pulse shapes have more effects on the lifetime of the higher density plasma. It is necessary to control the pulse shape for efficient using of the plasma channel. Pulses of long duration and short wavelength can obtain a plasma channel with higher electron density, but the channel lifetime thoroughly depends on the later evolution of the self-guided channel. (authors)

  9. X-ray spectroscopy for high energy-density X pinch density and temperature measurements (invited)

    International Nuclear Information System (INIS)

    Pikuz, S.A.; Shelkovenko, T.A.; Chandler, K.M.; Mitchell, M.D.; Hammer, D.A.; Skobelev, I.Y.; Shlyaptseva, A.S.; Hansen, S.B.

    2004-01-01

    X pinch plasmas produced from fine metal wires can reach near solid densities and temperatures of 1 keV or even more. Plasma conditions change on time scales as short as 5-10 ps as determined using an x-ray streak camera viewing a focusing crystal spectrograph or directly viewing the plasma through multiple filters on a single test. As a result, it is possible to determine plasma conditions from spectra with ∼10 ps time resolution. Experiments and theory are now coming together to give a consistent picture of the dynamics and kinetics of these high energy density plasmas with very high temporal and spatial precision. A set of diagnostic techniques used in experiments for spectrally, temporally, and spatially resolved measurements of X pinch plasmas is described. Results of plasma parameter determination from these measurements are presented. X ray backlighting of one x-pinch by another with ∼30 ps x-ray pulses enables the dynamics and kinetics to be correlated in time

  10. High Densities of Tumor-Associated Plasma Cells Predict Improved Prognosis in Triple Negative Breast Cancer

    Directory of Open Access Journals (Sweden)

    Joe Yeong

    2018-05-01

    Full Text Available Breast cancer is the most common malignancy affecting women, but the heterogeneity of the condition is a significant obstacle to effective treatment. Triple negative breast cancers (TNBCs do not express HER2 or the receptors for estrogen or progesterone, and so often have a poor prognosis. Tumor-infiltrating T cells have been well-characterized in TNBC, and increased numbers are associated with better outcomes; however, the potential roles of B cells and plasma cells have been large. Here, we conducted a retrospective correlative study on the expression of B cell/plasma cell-related genes, and the abundance and localization of B cells and plasma cells within TNBCs, and clinical outcome. We analyzed 269 TNBC samples and used immunohistochemistry to quantify tumor-infiltrating B cells and plasma cells, coupled with NanoString measurement of expression of immunoglobulin metagenes. Multivariate analysis revealed that patients bearing TNBCs with above-median densities of CD38+ plasma cells had significantly better disease-free survival (DFS (HR = 0.44; 95% CI 0.26–0.77; p = 0.004 but not overall survival (OS, after adjusting for the effects of known prognostic factors. In contrast, TNBCs with higher immunoglobulin gene expression exhibited improved prognosis (OS p = 0.029 and DFS p = 0.005. The presence of B cells and plasma cells was positively correlated (p < 0.0001, R = 0.558, while immunoglobulin gene IGKC, IGHM, and IGHG1 mRNA expression correlated specifically with the density of CD38+ plasma cells (IGKC p < 0.0001, R = 0.647; IGHM p < 0.0001, R = 0.580; IGHG1 p < 0.0001, R = 0.655. Interestingly, after adjusting the multivariate analysis for the effect of intratumoral CD38+ plasma cell density, the expression levels of all three genes lost significant prognostic value, suggesting a biologically important role of plasma cells. Last but not least, the addition of intratumoral CD38+ plasma cell

  11. First-principles equation-of-state table of silicon and its effects on high-energy-density plasma simulations

    Science.gov (United States)

    Hu, S. X.; Gao, R.; Ding, Y.; Collins, L. A.; Kress, J. D.

    2017-04-01

    Using density-functional theory-based molecular-dynamics simulations, we have investigated the equation of state for silicon in a wide range of plasma density and temperature conditions of ρ =0.001 -500 g /c m3 and T =2000 -108K . With these calculations, we have established a first-principles equation-of-state (FPEOS) table of silicon for high-energy-density (HED) plasma simulations. When compared with the widely used SESAME-EOS model (Table 3810), we find that the FPEOS-predicted Hugoniot is ˜20% softer; for off-Hugoniot plasma conditions, the pressure and internal energy in FPEOS are lower than those of SESAME EOS for temperatures above T ≈ 1-10 eV (depending on density), while the former becomes higher in the low-T regime. The pressure difference between FPEOS and SESAME 3810 can reach to ˜50%, especially in the warm-dense-matter regime. Implementing the FPEOS table of silicon into our hydrocodes, we have studied its effects on Si-target implosions. When compared with the one-dimensional radiation-hydrodynamics simulation using the SESAME 3810 EOS model, the FPEOS simulation showed that (1) the shock speed in silicon is ˜10% slower; (2) the peak density of an in-flight Si shell during implosion is ˜20% higher than the SESAME 3810 simulation; (3) the maximum density reached in the FPEOS simulation is ˜40% higher at the peak compression; and (4) the final areal density and neutron yield are, respectively, ˜30% and ˜70% higher predicted by FPEOS versus the traditional simulation using SESAME 3810. All of these features can be attributed to the larger compressibility of silicon predicted by FPEOS. These results indicate that an accurate EOS table, like the FPEOS presented here, could be essential for the precise design of targets for HED experiments.

  12. High Confinement and High Density with Stationary Plasma Energy and Strong Edge Radiation Cooling in Textor-94

    Science.gov (United States)

    Messiaen, A. M.

    1996-11-01

    A new discharge regime has been observed on the pumped limiter tokamak TEXTOR-94 in the presence of strong radiation cooling and for different scenarii of additional hearing. The radiated power fraction (up to 90%) is feedback controlled by the amount of Ne seeded in the edge. This regime meets many of the necessary conditions for a future fusion reactor. Energy confinement increases with increasing densities (reminiscent of the Z-mode obtained at ISX-B) and as good as ELM-free H-mode confinement (enhancement factor verus ITERH93-P up to 1.2) is obtained at high densities (up to 1.2 times the Greenwald limit) with peaked density profiles showing a peaking factor of about 2 and central density values around 10^14cm-3. In experiments where the energy content of the discharges is kept constant with an energy feedback loop acting on the amount of ICRH power, stable and stationary discharges are obtained for intervals of more than 5s, i.e. 100 times the energy confinement time or about equal to the skin resistive time, even with the cylindrical q_α as low as 2.8 β-values up to the β-limits of TEXTOR-94 are achieved (i.e. β n ≈ 2 of and β p ≈ 1.5) and the figure of merit for ignition margin f_Hqa in these discharges can be as high as 0.7. No detrimental effects of the seeded impurity on the reactivity of the plasma are observed. He removal in these discharges has also been investigated. [1] Laboratoire de Physique des Plasmas-Laboratorium voor Plasmafysica, Association "EURATOM-Belgian State", Ecole Royale Militaire-Koninklijke Militaire School, Brussels, Belgium [2] Institut für Plasmaphysik, Forschungszentrum Jülich, GmbH, Association "EURATOM-KFA", Jülich, Germany [3] Fusion Energy Research Program, Mechanical Engineering Division, University of California at San Diego, La Jolla, USA [4] FOM Institüt voor Plasmafysica Rijnhuizen, Associatie "FOM-EURATOM", Nieuwegein, The Netherlands [*] Researcher at NFSR, Belgium itemize

  13. Magnetic energy density and plasma energy density in the Venus wake

    Science.gov (United States)

    Perez De Tejada, H. A.; Durand-Manterola, H. J.; Lundin, R.; Barabash, S.; Zhang, T.; Reyes-Ruiz, M.; Sauvaud, J.

    2013-05-01

    Magnetic energy density and plasma energy density in the Venus wake H. Pérez-de-Tejada1, H. Durand-Manterola1, R. Lundin2, S. Barabash2, T. L. Zhang3, A. Sauvaud4, M. Reyes-Ruiz5. 1 - Institute of Geophysics, UNAM, México, D. F. 2 - Swedish Institute of Space Physics, Umea, Sweden 3 - Space Research Institute, Graz, Austria 4 - CESR, Toulouse, France 5 - Institute of Astronomy, UNAM, Ensenada, México Measurements conducted in the Venus wake with the magnetometer and the Aspera-4 plasma instrument of the Venus Express spacecraft show that average values of the kinetic energy density of the plasma in that region are comparable to average local values of the magnetic energy density. Observations were carried out in several orbits of the Venus Express near the midnight plane and suggest that the total energy content in the Venus wake is distributed with nearly comparable values between the plasma and the magnetic field. Processes associated with the solar wind erosion of planetary ions from the polar magnetic regions of the ionosphere are involved in the comparable distribution of both energy components.

  14. PWFA plasma source - interferometric diagnostics for Li vapor density measurements

    International Nuclear Information System (INIS)

    Sivakumaran, V.; Mohandas, K.K.; Singh, Sneha; Ravi Kumar, A.V.

    2015-01-01

    A prototype (40 cm long) plasma source based on Li heat pipe oven has been developed for the Plasma Wakefield Acceleration (PWFA) experiments at IPR (IPR), Gujarat as a part of the ongoing Accelerator Programme. Li vapor in the oven is produced by heating solid Li in helium buffer gas. A uniform column of Li plasma is generated by UV photo ionization (193 nm) of the Li vapor in the heat pipe oven. In these experiments, an accurate measurement of Li vapor density is important as it has got a direct consequence on the plasma electron density. In the present experiment, the vapor density is measured optically by using Hook method (spectrally resolved white light interferometry). The hook like structure formed near the vicinity of the Li 670.8 nm resonance line was recorded with a white light Mach Zehnder interferometer crossed with an imaging spectrograph to estimate the Li vapor density. The vapor density measurements have been carried out as a function of external oven temperature and the He buffer gas pressure. This technique has the advantage of being insensitive to line broadening and line shape, and its high dynamic range even with optically thick absorption line. Here, we present the line integrated Lithium vapor density measurement using Hook method and also compare the same with other optical diagnostic techniques (White light absorption and UV absorption) for Li vapor density measurements. (author)

  15. Interacting Eigenmodes of a plasma diode with a density gradient

    International Nuclear Information System (INIS)

    Loefgren, T.; Gunell, H.

    1997-08-01

    The formation of narrow high frequency electric field spikes in plasma density gradients is investigated using one-dimensional particle in cell simulations. It is found that the shape of the plasma density gradient is very important for the spike formation. The spike appears also in simulations with immobile ions showing that a coupling to the ion motion, as for example in wave interactions, is not necessary for the formation of HF spikes. However, the HF spike influences the ion motion, and ion waves are seen in the simulations. It has been found, in experiments and simulations, that the electron velocity distribution function deviates from the Maxwellian distribution. Dispersion relations are calculated using realistic distribution functions. The spike can be seen as a coupled system of two Eigenmodes of a plasma diode fed by the beam-plasma interaction. Based on a simplified fluid description of such Eigenmodes, explanations for the localization of the spike, spatially and in frequency, are given. The density amplitude is comparable with the DC density level close to the cathode. Space charge limits of waves in this region seem to determine the amplitude of the spike through the Poisson's equation

  16. Chemical modeling of a high-density inductively-coupled plasma reactor containing silane

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Boogaard, A.; Brunets, I.; Holleman, J.; Schmitz, Jurriaan

    We carried out the modeling of chemical reactions in a silane-containing remote Inductively Coupled Plasma Enhanced Chemical Vapor Deposition (ICPECVD) system, intended for deposition of silicon, silicon oxide, and silicon nitride layers. The required electron densities and Electron Energy

  17. Confinement properties of JET plasmas with different temperature and density profiles

    International Nuclear Information System (INIS)

    Watkins, M.L.; Balet, B.; Bhatnagar, V.P.

    1989-01-01

    The confinement properties of plasmas with substantially different temperature and density profiles have been analysed. The effects of fast particles and energy pedestals on the overall confinement of plasma energy in limiter (L-mode) and X-point (L- and H-modes) discharges heated by NBI or ICRF or both are determined. The importance of the bootstrap current when such energy pedestals are formed is noted. Using sets of consistent experimental data, including ion temperature profile measurements, the local transport properties are compared in the L- and H-phases of a single null X-point medium density NBI heated discharge, the ''enhanced'' confinement phase of a limiter high density pellet-fuelled and ICRF heated discharge, the hot-ion phase of a double null X-point low density NBI heated discharge and the hot-ion and H-phases of a double null X-point low density high temperature NBI heated discharge. (author)

  18. High power plasma heating experiments on the Proto-MPEX facility

    Science.gov (United States)

    Bigelow, T. S.; Beers, C. J.; Biewer, T. M.; Caneses, J. F.; Caughman, J. B. O.; Diem, S. J.; Goulding, R. H.; Green, D. L.; Kafle, N.; Rapp, J.; Showers, M. A.

    2017-10-01

    Work is underway to maximize the power delivered to the plasma that is available from heating sources installed on the Prototype Materials Plasma Exposure eXperiment (Proto-MPEX) at ORNL. Proto-MPEX is a linear device that has a >100 kW, 13.56 MHz helicon plasma generator available and is intended for material sample exposure to plasmas. Additional plasma heating systems include a 10 kW 18 GHz electron cyclotron heating (ECH) system, a 25 kW 8 MHz ion cyclotron heating ICH system, and a 200 kW 28 GHz electron Bernstein wave (EBW) and ECH system. Most of the heating systems have relatively good power transmission efficiency, however, the 28 GHz EBW system has a lower efficiency owing to stringent requirements on the microwave launch characteristics for EBW coupling combined with the lower output mode purity of the early-model gyrotron in use and its compact mode converter system. A goal for the Proto-MPEX is to have a combined heating power of 200 kW injected into the plasma. Infrared emission diagnostics of the target plate combined with Thomson Scattering, Langmuir probe, and energy analyzer measurements near the target are utilized to characterize the plasmas and coupling efficiency of the heating systems. ORNL is managed by UT-Battelle, LLC, for the U.S. DOE under contract DE-AC-05-00OR22725.

  19. Preparation of Ta(C)N films by pulsed high energy density plasma

    Energy Technology Data Exchange (ETDEWEB)

    Feng Wenran [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Chen Guangliang [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Zhang Yan [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Gu Weichao [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Zhang Guling [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Niu Erwu [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Liu Chizi [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Yang Size [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China)

    2007-04-07

    The pulsed high energy density plasma (PHEDP) is generated in the working gas due to a high-voltage high-current discharge, within a coaxial gun. In PHEDP surface modification, discharge is applied for preparing the amorphous and nanostructured high-melting materials as thin films deposited on various substrates. In this investigation, Ta(C)N films were deposited using PHEDP on stainless steel. Pure tantalum and graphite were used as the inner and outer electrodes of the PHEDP coaxial gun, respectively. Nitrogen was used as the working gas and also one of the reactants. Preliminary study on the films prepared under different conditions shows that the formation of Ta(C)N is drastically voltage dependent. At lower gun voltage, no Ta(C)N was detected in the films; when the gun voltage reaches or exceeds 3.0 kV, Ta(C)N occurred. The films are composed of densely stacked nanocrystallines with diameter less than 30 nm, and some grains are within 10 nm in diameter.

  20. Density and geometry of single component plasmas

    International Nuclear Information System (INIS)

    Speck, A.; Gabrielse, G.; Larochelle, P.; Le Sage, D.; Levitt, B.; Kolthammer, W.S.; McConnell, R.; Wrubel, J.; Grzonka, D.; Oelert, W.; Sefzick, T.; Zhang, Z.; Comeau, D.; George, M.C.; Hessels, E.A.; Storry, C.H.; Weel, M.; Walz, J.

    2007-01-01

    The density and geometry of p-bar and e + plasmas in realistic trapping potentials are required to understand and optimize antihydrogen (H-bar) formation. An aperture method and a quadrupole oscillation frequency method for characterizing such plasmas are compared for the first time, using electrons in a cylindrical Penning trap. Both methods are used in a way that makes it unnecessary to assume that the plasmas are spheroidal, and it is shown that they are not. Good agreement between the two methods illustrates the possibility to accurately determine plasma densities and geometries within non-idealized, realistic trapping potentials

  1. Density and geometry of single component plasmas

    CERN Document Server

    Speck, A; Larochelle, P; Le Sage, D; Levitt, B; Kolthammer, W S; McConnell, R; Wrubel, J; Grzonka, D; Oelert, W; Sefzick, T; Zhang, Z; Comeau, D; George, M C; Hessels, E A; Storry, C H; Weel, M; Walz, J

    2007-01-01

    The density and geometry of p¯ and e+ plasmas in realistic trapping potentials are required to understand and optimize antihydrogen (H¯) formation. An aperture method and a quadrupole oscillation frequency method for characterizing such plasmas are compared for the first time, using electrons in a cylindrical Penning trap. Both methods are used in a way that makes it unnecessary to assume that the plasmas are spheroidal, and it is shown that they are not. Good agreement between the two methods illustrates the possibility to accurately determine plasma densities and geometries within non-idealized, realistic trapping potentials.

  2. Siemens HELICON

    International Nuclear Information System (INIS)

    Reichert, T.; Bittner, G.; Heinzelmann, K.G.; Solner, L.; Vetter, J.

    1988-01-01

    A new superconducting 2 tesla magnet for whole-body magnetic resonance imaging and spectroscopy has been developed as a serial product. The HELICON magnet has the following features: Cryogen losses including ramping losses are eliminated due to helium refrigerator cooling. The magnet size is moderate despite of a large warm bore. The magnet can be ramped in 25 min from zero field to 2 Tesla. The field stability is 5 ppm/hour immediately after ramping and settles to 1 ppm/hour within 1 hour. Shimmed homogeneity band width is less than +- 5 ppm on a 50 cm diameter spherical volume using an 11-plane field plot. The magnet can be combined with a specially designed iron-yoke shield which reduces the extension of the 0.5 tesla stray field line at 2 tesla operating field to 7.4 m axially and 5.6 m radially. Cold shipment of the magnet is possible without special precautions

  3. 2.5-dimensional numerical modeling of the formation of a plasma channel due to ion redistribution during the propagation of a finite sequence of relativistic electron bunches through high-density and low-density plasmas

    International Nuclear Information System (INIS)

    Karas, V.I.; Karas, I.V.; Levchenko, V.D.; Sigov, Yu.S.; Fainberg, Ya.B.

    1997-01-01

    Results of numerical simulations of the excitation of wake fields in high- and low-density plasmas are presented. The propagation of relativistic electron bunches in a plasma is described by a closed set of relativistic Vlasov equations for two spatial coordinates and three velocity coordinates for each plasma component and the nonlinear Maxwell equations for self-consistent electromagnetic fields. Numerical modeling shows that, under ordinary experimental conditions (when the length and radius of the bunch are much less than the skin depth), the radius of the bunches propagating in a plasma varies over a wide range. In this case, the dynamics of both the plasma and the bunches is nonlinear. The radial redistribution of the plasma ions in self-consistent fields leads to the formation of a plasma channel. Incorporating this phenomenon is important for studying the propagation of relativistic electron bunches in a plasma

  4. ADX: a high field, high power density, Advanced Divertor test eXperiment

    Science.gov (United States)

    Vieira, R.; Labombard, B.; Marmar, E.; Irby, J.; Shiraiwa, S.; Terry, J.; Wallace, G.; Whyte, D. G.; Wolfe, S.; Wukitch, S.; ADX Team

    2014-10-01

    The MIT PSFC and collaborators are proposing an advanced divertor experiment (ADX) - a tokamak specifically designed to address critical gaps in the world fusion research program on the pathway to FNSF/DEMO. This high field (6.5 tesla, 1.5 MA), high power density (P/S ~ 1.5 MW/m2) facility would utilize Alcator magnet technology to test innovative divertor concepts for next-step DT fusion devices (FNSF, DEMO) at reactor-level boundary plasma pressures and parallel heat flux densities while producing high performance core plasma conditions. The experimental platform would also test advanced lower hybrid current drive (LHCD) and ion-cyclotron range of frequency (ICRF) actuators and wave physics at the plasma densities and magnetic field strengths of a DEMO, with the unique ability to deploy launcher structures both on the low-magnetic-field side and the high-field side - a location where energetic plasma-material interactions can be controlled and wave physics is most favorable for efficient current drive, heating and flow drive. This innovative experiment would perform plasma science and technology R&D necessary to inform the conceptual development and accelerate the readiness-for-deployment of FNSF/DEMO - in a timely manner, on a cost-effective research platform. Supported by DE-FC02-99ER54512.

  5. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  6. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  7. A control approach for plasma density in tokamak machines

    Energy Technology Data Exchange (ETDEWEB)

    Boncagni, Luca, E-mail: luca.boncagni@enea.it [EURATOM – ENEA Fusion Association, Frascati Research Center, Division of Fusion Physics, Rome, Frascati (Italy); Pucci, Daniele; Piesco, F.; Zarfati, Emanuele [Dipartimento di Ingegneria Informatica, Automatica e Gestionale ' ' Antonio Ruberti' ' , Sapienza Università di Roma (Italy); Mazzitelli, G. [EURATOM – ENEA Fusion Association, Frascati Research Center, Division of Fusion Physics, Rome, Frascati (Italy); Monaco, S. [Dipartimento di Ingegneria Informatica, Automatica e Gestionale ' ' Antonio Ruberti' ' , Sapienza Università di Roma (Italy)

    2013-10-15

    Highlights: •We show a control approach for line plasma density in tokamak. •We show a control approach for pressure in a tokamak chamber. •We show experimental results using one valve. -- Abstract: In tokamak machines, chamber pre-fill is crucial to attain plasma breakdown, while plasma density control is instrumental for several tasks such as machine protection and achievement of desired plasma performances. This paper sets the principles of a new control strategy for attaining both chamber pre-fill and plasma density regulation. Assuming that the actuation mean is a piezoelectric valve driven by a varying voltage, the proposed control laws ensure convergence to reference values of chamber pressure during pre-fill, and of plasma density during plasma discharge. Experimental results at FTU are presented to discuss weaknesses and strengths of the proposed control strategy. The whole system has been implemented by using the MARTe framework [1].

  8. The density and velocity of plasma bullets propagating along one dielectric tube

    Directory of Open Access Journals (Sweden)

    Longfei Ji

    2015-08-01

    Full Text Available This study shows that the propagation of plasma bullets along one dielectric tube is strongly affected by many discharge parameters, such as the waveform of applied voltage (AC or pulsed DC, peak voltage, He flow rate, and the frequency of AC voltage. Analysis indicates that the density and velocity of plasma bullets are mainly determined by the electric field at the front of plasma bullets. These discharge parameters may significantly influence the distribution of plasma potential along the tube, thus control the electric field at the front of plasma bullets and their propagation. An increase in the pulsed DC voltage with its rise time of <40-50 ns can lead to an obvious improvement in the electric field at the front of plasma bullets, resulting in generation of a plasma in the high density gas and a fast propagation of plasma bullets. He flowing through the tube can contribute to the surface diffusion of charged species, and greatly increase the electric field at the front of plasma bullets. During the propagation of plasma bullets, their density is decreased due to the surface recombination of charged species, such as electrons and ions.

  9. Impurities, temperature, and density in a miniature electrostatic plasma and current source

    International Nuclear Information System (INIS)

    Den Hartog, D.J.; Craig, D.J.; Fiksel, G.; Sarff, J.S.

    1996-10-01

    We have spectroscopically investigated the Sterling Scientific miniature electrostatic plasma source-a plasma gun. This gun is a clean source of high density (10 19 - 10 20 m -3 ), low temperature (5 - 15 eV) plasma. A key result of our investigation is that molybdenum from the gun electrodes is largely trapped in the internal gun discharge; only a small amount escapes in the plasma flowing out of the gun. In addition, the gun plasma parameters actually improve (even lower impurity contamination and higher ion temperature) when up to 1 kA of electron current is extracted from the gun via the application of an external bias. This improvement occurs because the internal gun anode no longer acts as the current return for the internal gun discharge. The gun plasma is a virtual plasma electrode capable of sourcing an electron emission current density of 1 kA/cm 2 . The high emission current, small size (3 - 4 cm diameter), and low impurity generation make this gun attractive for a variety of fusion and plasma technology applications

  10. Numerical Studies of Electron Acceleration Behind Self-Modulating Proton Beam in Plasma with a Density Gradient

    CERN Document Server

    Petrenko, A.; Sosedkin, A.

    2016-01-01

    Presently available high-energy proton beams in circular accelerators carry enough momentum to accelerate high-intensity electron and positron beams to the TeV energy scale over several hundred meters of the plasma with a density of about 1e15 1/cm^3. However, the plasma wavelength at this density is 100-1000 times shorter than the typical longitudinal size of the high-energy proton beam. Therefore the self-modulation instability (SMI) of a long (~10 cm) proton beam in the plasma should be used to create the train of micro-bunches which would then drive the plasma wake resonantly. Changing the plasma density profile offers a simple way to control the development of the SMI and the acceleration of particles during this process. We present simulations of the possible use of a plasma density gradient as a way to control the acceleration of the electron beam during the development of the SMI of a 400 GeV proton beam in a 10 m long plasma. This work is done in the context of the AWAKE project --- the proof-of-prin...

  11. Interaction of modulated REB with plasma, formed at its transit through high-density neutral gases

    International Nuclear Information System (INIS)

    Kiselev, V.A.; Linnik, A.F.; Sotnikov, G.V.; Uskov, V.V.

    2003-01-01

    The theoretical and experimental results of investigations of the relativistic electron beam interactions with plasma, created during its penetration into neutral gas of large pressure, are presented. It is shown that by using of deeply modulated beam it is possible to avoid the depressive influence of dissipation and longitudinal nonuniform plasma density on the beam-plasma interaction efficiency

  12. Progress toward Kelvin-Helmholtz instabilities in a High-Energy-Density Plasma on the Nike laser

    Science.gov (United States)

    Harding, E. C.; Drake, R. P.; Gillespie, R. S.; Grosskopf, M. J.; Huntington, C. M.; Aglitskiy, Y.; Weaver, J. L.; Velikovich, A. L.; Plewa, T.; Dwarkadas, V. V.

    2008-04-01

    In the realm of high-energy-density (HED) plasmas, there exist three primary hydrodynamic instabilities of concern: Rayleigh-Taylor (RT), Richtmyer-Meshkov (RM), and Kelvin-Helmholtz (KH). Although the RT and the RM instabilities have been readily observed and diagnosed in the laboratory, the KH instability remains relatively unexplored in HED plasmas. Unlike the RT and RM instabilities, the KH instability is driven by a lifting force generated by a strong velocity gradient in a stratified fluid. Understanding the KH instability mechanism in HED plasmas will provide essential insight into oblique shock systems, jets, mass stripping, and detailed RT-spike development. In addition, our KH experiment will help provide the groundwork for future transition to turbulence experiments. We present 2D FLASH simulations and experimental data from our initial attempts to create a pure KH system using the Nike laser at the Naval Research Laboratory.

  13. Particle Heating in Space and Laboratory Plasmas

    Science.gov (United States)

    Scime, E. E.; Keesee, A. M.; Aquirre, E.; Good, T.

    2017-12-01

    We report spatially resolved perpendicular and parallel ion velocity distribution function (IVDF) measurements in an expanding argon helicon plasma. The parallel IVDFs, obtained through laser induced fluorescence (LIF), show an ion beam with v ˜ 8 km/s flowing downstream that is confined to the center of the discharge. The ion beam is confined to within a few centimeters radially and is measurable for tens of centimeters axially before the LIF signal fades, likely a result of metastable quenching of the beam ions. The axial ion beam velocity slows in agreement with collisional processes. The perpendicular IVDFs show an ion population with a radially outward flow that increases with radial location. The DC electric field, electron temperature, and the plasma density in the double layer plume are all consistent with magnetic field aligned structures. The upstream and downstream electric field measurements show clear evidence of an ion hole that maps along the magnetic field at the edge of the plasma. Current theories and simulations of double layers, which are one-dimensional, completely miss these critically important two-dimensional features.

  14. Density measurements of microsecond-conduction-time POS plasmas

    International Nuclear Information System (INIS)

    Hinshelwood, D.; Goodrich, P.J.; Weber, B.V.; Commisso, R.J.; Grossmann, J.M.; Kellogg, J.C.

    1993-01-01

    Measurements of the electron density in a coaxial microsecond conduction time plasma opening switch during switch operation are described. Current conduction is observed to cause a radial redistribution of the switch plasma. A local reduction in axial line density of more than an order of magnitude occurs by the time opening begins. This reduction, and the scaling of conduction current with plasma density, indicate that current conduction in this experiment is limited by hydrodynamic effects. It is hypothesized that the density reduction allows the switch to open by an erosion mechanism. Initial numerical modeling efforts have reproduced the principal observed results. A model that predicts accurately the conduction current is presented

  15. CENTER FOR PULSED POWER DRIVEN HIGH ENERGY DENSITY PLASMA STUDIES

    Energy Technology Data Exchange (ETDEWEB)

    Professor Bruce R. Kusse; Professor David A. Hammer

    2007-04-18

    This annual report summarizes the activities of the Cornell Center for Pulsed-Power-Driven High-Energy-Density Plasma Studies, for the 12-month period October 1, 2005-September 30, 2006. This period corresponds to the first year of the two-year extension (awarded in October, 2005) to the original 3-year NNSA/DOE Cooperative Agreement with Cornell, DE-FC03-02NA00057. As such, the period covered in this report also corresponds to the fourth year of the (now) 5-year term of the Cooperative Agreement. The participants, in addition to Cornell University, include Imperial College, London (IC), the University of Nevada, Reno (UNR), the University of Rochester (UR), the Weizmann Institute of Science (WSI), and the P.N. Lebedev Physical Institute (LPI), Moscow. A listing of all faculty, technical staff and students, both graduate and undergraduate, who participated in Center research activities during the year in question is given in Appendix A.

  16. Electron density measurement of a colliding plasma using soft x-ray laser interferometry

    International Nuclear Information System (INIS)

    Wan, A.S.; Back, C.A.; Barbee, T.W.Jr.; Cauble, R.; Celliers, P.; DaSilva, L.B.; Glenzer, S.; Moreno, J.C.; Rambo, P.W.; Stone, G.F.; Trebes, J.E.; Weber, F.

    1996-05-01

    The understanding of the collision and subsequent interaction of counter-streaming high-density plasmas is important for the design of indirectly-driven inertial confinement fusion (ICF) hohlraums. We have employed a soft x-ray Mach-Zehnder interferometer, using a Ne- like Y x-ray laser at 155 angstrom as the probe source, to study interpenetration and stagnation of two colliding plasmas. We observed a peaked density profile at the symmetry axis with a wide stagnation region with width of order 100 μm. We compare the measured density profile with density profiles calculated by the radiation hydrodynamic code LASNEX and a multi-specie fluid code which allows for interpenetration. The measured density profile falls in between the calculated profiles using collisionless and fluid approximations. By using different target materials and irradiation configurations, we can vary the collisionality of the plasma. We hope to use the soft x-ray laser interferometry as a mechanism to validate and benchmark our numerical codes used for the design and analysis of high-energy- density physics experiments

  17. Low-frequency oscillations at high density in JFT-2

    International Nuclear Information System (INIS)

    Maeno, Masaki; Katagiri, Masaki; Suzuki, Norio; Fujisawa, Noboru

    1977-12-01

    Low-frequency oscillations in a plasma were measured with magnetic probes and Si surface-barrier detectors, and behaviour of the high density plasmas was studied. The plasma current profile in the phase of decreasing density after the interruption of gas input is more peaked than during gas input. The introduction of hydrogen during a discharge results in a reduction of the impurities flux. The increase of density by fast gas input is limited with a negative voltage spike. Immediately before a negative voltage spike, oscillations of m=1,2 grow, leading to the spike. (auth.)

  18. Dosimetric Properties of Plasma Density Effects on Laser-Accelerated VHEE Beams Using a Sharp Density-Transition Scheme

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Seung Hoon; Cho, Sungho; Kim, Eun Ho; Park, Jeong Hoon; Jung, Won-Gyun; Kim, Geun Beom; Kim, Kum Bae [Korea Institute of Radiological and Medical Sciences, Seoul (Korea, Republic of); Min, Byung Jun [Sungkyunkwan University School of Medicine, Seoul (Korea, Republic of); Kim, Jaehoon [Korea Electrotechnology Research Institute, Ansan (Korea, Republic of); Jeong, Hojin [Gyeongsang National University Hospital, Jinju (Korea, Republic of); Lee, Kitae [Korea Atomic Energy Research Institute, Deajeon (Korea, Republic of); Park, Sung Yong [Karmanos Cancer Institute, Michigan (United States)

    2017-01-15

    In this paper, the effects of the plasma density on laser-accelerated electron beams for radiation therapy with a sharp density transition are investigated. In the sharp density-transition scheme for electron injection, the crucial issue is finding the optimum density conditions under which electrons injected only during the first period of the laser wake wave are accelerated further. In this paper, we report particle-in-cell simulation results for the effects of both the scale length and the density transition ratio on the generation of a quasi-mono-energetic electron bunch. The effects of both the transverse parabolic channel and the plasma length on the electron-beam's quality are investigated. Also, we show the experimental results for the feasibility of a sharp density-transition structure. The dosimetric properties of these very high-energy electron beams are calculated using Monte Carlo simulations.

  19. CH spectroscopy for carbon chemical erosion analysis in high density low temperature hydrogen plasma

    NARCIS (Netherlands)

    Westerhout, J.; Cardozo, N. J. L.; Rapp, J.; van Rooij, G. J.

    2009-01-01

    The CH A-X molecular band is measured upon seeding the hydrogen plasma in the linear plasma generator Pilot-PSI [electron temperature T-e=0.1-2.5 eV and electron density n(e)=(0.5-5) X 10(20) m(-3)] with methane. Calculated inverse photon efficiencies for these conditions range from 3 up to

  20. Modelling of density limit phenomena in toroidal helical plasmas

    International Nuclear Information System (INIS)

    Itoh, Kimitaka; Itoh, Sanae-I.

    2001-01-01

    The physics of density limit phenomena in toroidal helical plasmas based on an analytic point model of toroidal plasmas is discussed. The combined mechanism of the transport and radiation loss of energy is analyzed, and the achievable density is derived. A scaling law of the density limit is discussed. The dependence of the critical density on the heating power, magnetic field, plasma size and safety factor in the case of L-mode energy confinement is explained. The dynamic evolution of the plasma energy and radiation loss is discussed. Assuming a simple model of density evolution, of a sudden loss of density if the temperature becomes lower than critical value, then a limit cycle oscillation is shown to occur. A condition that divides the limit cycle oscillation and the complete radiation collapse is discussed. This model seems to explain the density limit oscillation that has been observed on the Wendelstein 7-AS (W7-AS) stellarator. (author)

  1. Modelling of density limit phenomena in toroidal helical plasmas

    International Nuclear Information System (INIS)

    Itoh, K.; Itoh, S.-I.

    2000-03-01

    The physics of density limit phenomena in toroidal helical plasmas based on an analytic point model of toroidal plasmas is discussed. The combined mechanism of the transport and radiation loss of energy is analyzed, and the achievable density is derived. A scaling law of the density limit is discussed. The dependence of the critical density on the heating power, magnetic field, plasma size and safety factor in the case of L-mode energy confinement is explained. The dynamic evolution of the plasma energy and radiation loss is discussed. Assuming a simple model of density evolution, of a sudden loss of density if the temperature becomes lower than critical value, then a limit cycle oscillation is shown to occur. A condition that divides the limit cycle oscillation and the complete radiation collapse is discussed. This model seems to explain the density limit oscillation that has been observed on the W7-AS stellarator. (author)

  2. Feasibility study of the plasma electron density measurement by electromagnetic radiation from the laser-driven plasma wave

    International Nuclear Information System (INIS)

    Jang, D G; Kim, J J; Suk, H; Hur, M S

    2012-01-01

    When an intense laser beam is focused in a plasma, a plasma wake wave is generated and the oscillatary motion of the plasma electrons produces a strong electromagnetic wave by a Cherenkov-like process. Spectrum of the genetated electromagnetic wave has dependence on the plasma density. In this paper, we propose to use the emitted electromagnetic radiation for plasma diagnostic, which may provide an accurate information for local electron densities of the plasma and will be very useful for three-dimensional plasma density profiles by changing the focal point location of the laser beam. Two-dimensional (2-D) particle-in-cell (PIC) simulation is used to study the correlation between the spectrum of the emitted radiation and plasma density, and the results demonstrate that this method is promising for the electron density measurement in the plasma.

  3. Large plasma density enhancements occurring in the northern polar region during the 6 April 2000 superstorm

    Science.gov (United States)

    Horvath, Ildiko; Lovell, Brian C.

    2014-06-01

    We focus on the ionospheric response of northern high-latitude region to the 6 April 2000 superstorm and aim to investigate how the storm-enhanced density (SED) plume plasma became distributed in the regions of auroral zone and polar cap plus to study the resultant ionospheric features and their development. Multi-instrument observational results combined with model-generated, two-cell convection maps permitted identifying the high-density plasma's origin and the underlying plasma transportation processes. Results show the plasma density feature of polar cap enhancement (PCE; 600 × 103 i+/cm3) appearing for 7 h during the main phase and characterized by increases reaching up to 6 times of the quiet time values. Meanwhile, strong westward convections ( 17,500 m/s) created low plasma densities in a wider region of the dusk cell. Oppositely, small ( 750 m/s) but rigorous westward drifts drove the SED plume plasma through the auroral zone, wherein plasma densities doubled. As the SED plume plasma traveled along the convection streamlines and entered the polar cap, a continuous enhancement of the tongue of ionization (TOI) developed under steady convection conditions. However, convection changes caused slow convections and flow stagnations and thus segmented the TOI feature by locally depleting the plasma in the affected regions of the auroral zone and polar cap. From the strong correspondence of polar cap potential drop and subauroral polarization stream (SAPS), we conclude that the SAPS E-field strength remained strong, and under its prolonged influence, the SED plume provided a continuous supply of downward flowing high-density plasma for the development and maintenance of PCEs.

  4. Simultaneous streak and frame interferometry for electron density measurements of laser produced plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Quevedo, H. J., E-mail: hjquevedo@utexas.edu; McCormick, M.; Wisher, M.; Bengtson, Roger D.; Ditmire, T. [Center for High Energy Density Science, Department of Physics, University of Texas at Austin, Austin, Texas 78712 (United States)

    2016-01-15

    A system of two collinear probe beams with different wavelengths and pulse durations was used to capture simultaneously snapshot interferograms and streaked interferograms of laser produced plasmas. The snapshots measured the two dimensional, path-integrated, electron density on a charge-coupled device while the radial temporal evolution of a one dimensional plasma slice was recorded by a streak camera. This dual-probe combination allowed us to select plasmas that were uniform and axisymmetric along the laser direction suitable for retrieving the continuous evolution of the radial electron density of homogeneous plasmas. Demonstration of this double probe system was done by measuring rapidly evolving plasmas on time scales less than 1 ns produced by the interaction of femtosecond, high intensity, laser pulses with argon gas clusters. Experiments aimed at studying homogeneous plasmas from high intensity laser-gas or laser-cluster interaction could benefit from the use of this probing scheme.

  5. Atomic processes in high-density plasmas

    International Nuclear Information System (INIS)

    More, R.M.

    1982-01-01

    This review covers dense atomic plasmas such as that produced in inertial confinement fusion. The target implosion physics along with the associated atomic physics, i.e., free electron collision phenomena, electron states I, electron states II, and nonequilibrium plasma states are described

  6. Hybrid Fluid/Kinetic Modeling Of Magnetized High Energy Density Plasmas

    Science.gov (United States)

    Hansen, David; Held, Eric; King, Jacob; Stoltz, Peter; Masti, Robert; Srinivasan, Bhuvana

    2017-10-01

    MHD modeling with an equation of state (EOS) of the Rayleigh-Taylor (RT) instabily in Z indicates that it is seeded by the electro-thermal instability. Large thermodynamic drives associated with gradients at the interface between the liner and the coronal regions distort distribution functions and likely lead to non-local transport effects in a plasma which varies from weakly to strongly coupled. In this work, we discuss using effective potential theory along with a Chapman-Ensksog-like (CEL) formalism to develop hybrid fluid/kinetic modeling capabilities for these plasmas. Effective potential theory addresses the role of Coulomb collisions on transport across coupling regimes and the CEL approach bridges the gap between full-blow kinetic simulations and the EOS tables, which only depend locally on density and temperature. Quantitative results on the Spitzer problem across coupling coupling regimes will be presented as a first step. DOE Grant No. DE-SC0016525.

  7. Interaction of the modulated electron beam with inhomogeneous plasma: plasma density profile deformation and langmuir waves excitation

    International Nuclear Information System (INIS)

    Anisimov, I.O.; Kelnyk, O.I.; Soroka, S.V.; Siversky, T.V.

    2005-01-01

    Nonlinear deformation of the initially linear plasma density profile due to the modulated electron beam is studied via computer simulation. In the initial time period the field slaves to the instantaneous profile of the plasma density. Langmuir waves excitation is suppressed by the density profile deformation. The character of the plasma density profile deformation for the late time period depends significantly on the plasma properties. Particularly, for plasma with hot electrons quasi-periodic generation of ion-acoustic pulses takes place in the vicinity of the initial point of plasma resonance

  8. Preliminary scaling laws for plasma current, ion kinetic temperature, and plasma number density in the NASA Lewis bumpy torus plasma

    Science.gov (United States)

    Roth, J. R.

    1976-01-01

    Parametric variation of independent variables which may affect the characteristics of bumpy torus plasma have identified those which have a significant effect on the plasma current, ion kinetic temperature, and plasma number density, and those which do not. Empirical power law correlations of the plasma current, and the ion kinetic temperature and number density were obtained as functions of potential applied to the midplane electrode rings, the background neutral gas pressure, and the magnetic field strength. Additional parameters studied included the type of gas, the polarity of the midplane electrode rings, the mode of plasma operation, and the method of measuring the plasma number density. No significant departures from the scaling laws appear to occur at the highest ion kinetic temperatures or number densities obtained to date.

  9. Critical density for Landau damping in a two-electron-component plasma

    Energy Technology Data Exchange (ETDEWEB)

    Rupp, Constantin F.; López, Rodrigo A.; Araneda, Jaime A. [Departamento de Física, Facultad de Ciencias Físicas y Matemáticas, Universidad de Concepción, Concepción (Chile)

    2015-10-15

    The asymptotic evolution of an initial perturbation in a collisionless two-electron-component plasma with different temperatures is studied numerically. The transition between linear and nonlinear damping regimes is determined by slowly varying the density of the secondary electron-component using high-resolution Vlasov-Poisson simulations. It is shown that, for fixed amplitude perturbations, this transition behaves as a critical phenomenon with time scales and field amplitudes exhibiting power-law dependencies on the threshold density, similar to the critical amplitude behavior in a single-component plasma.

  10. Influence of plasma density and plasma sheath dynamics on the ion implantation by plasma immersion technique

    OpenAIRE

    Ensinger, Wolfgang

    1996-01-01

    Influence of plasma density and plasma sheath dynamics on the ion implantation by plasma immersion technique / B. Rauschenbach ... - In: Nuclear instruments and methods in physics research. B. 113. 1996. S. 266-269

  11. Relativistic self-focusing of ultra-high intensity X-ray laser beams in warm quantum plasma with upward density profile

    International Nuclear Information System (INIS)

    Habibi, M.; Ghamari, F.

    2014-01-01

    The results of a numerical study of high-intensity X-ray laser beam interaction with warm quantum plasma (WQP) are presented. By means of an upward ramp density profile combined with quantum factors specially the Fermi velocity, we have demonstrated significant relativistic self-focusing (RSF) of a Gaussian electromagnetic beam in the WQP where the Fermi temperature term in the dielectric function is important. For this purpose, we have considered the quantum hydrodynamics model that modifies refractive index of inhomogeneous WQPs with the inclusion of quantum correction through the quantum statistical and diffraction effects in the relativistic regime. Also, to better illustration of the physical difference between warm and cold quantum plasmas and their effect on the RSF, we have derived the envelope equation governing the spot size of X-ray laser beam in Q-plasmas. In addition to the upward ramp density profile, we have found that the quantum effects would be caused much higher oscillation and better focusing of X-ray laser beam in the WQP compared to that of cold quantum case. Our computational results reveal the importance of the use of electrons density profile and Fermi speed in enhancing self-focusing of laser beam

  12. Density dependence of line intensities and application to plasma diagnostics

    International Nuclear Information System (INIS)

    Masai, Kuniaki.

    1993-02-01

    Electron density dependence of spectral lines are discussed in view of application to density diagnostics of plasmas. The dependence arises from competitive level population processes, radiative and collisional transitions from the excited states. Results of the measurement on tokamak plasmas are presented to demonstrate the usefulness of line intensity ratios for density diagnostics. Also general characteristics related to density dependence are discussed with atomic-number scaling for H-like and He-like systems to be helpful for application to higher density plasmas. (author)

  13. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  14. Charge density fluctuation of low frequency in a dusty plasma

    Institute of Scientific and Technical Information of China (English)

    李芳; 吕保维; O.Havnes

    1997-01-01

    The charge density fluctuation of low frequency in a dusty plasma, which is derived from the longitudinal dielectric permittivity of the dusty plasma, has been studied by kinetic theory. The results show that the P value, which describes the relative charge density on the dust in the plasma, and the charging frequency of a dust particle Ωc, which describes the ratio of charge changing of the dust particles, determine the character of the charge density fluctuation of low frequency. For a dusty plasma of P<<1, when the charging frequency Ωc is much smaller than the dusty plasma frequency wd, there is a strong charge density fluctuation which is of character of dust acoustic eigen wave. For a dusty plasma of P>>1, when the frequency Ωc, is much larger than wd there are weaker fluctuations with a wide spectrum. The results have been applied to the ionosphere and the range of radius and density of dust particles is found, where a strong charge density fluctuation of low frequency should exist.

  15. The effect of insulin deficiency on the plasma clearance and exchange of high-density-lipoprotein phosphatidylcholine in rats.

    Science.gov (United States)

    Martins, I J; Redgrave, T G

    1992-01-01

    Triolein/cholesteryl oleate/cholesterol/phosphatidylcholine emulsions designed to model the lipid composition of chylomicrons were injected intravenously into control and streptozotocin-treated insulin-deficient rats. As previously described for lymph chylomicrons, the emulsion triolein was hydrolysed and phosphatidylcholine was transferred to the plasma high-density lipoproteins (HDL). This mechanism was used to introduce a phospholipid label into HDL in vivo. The subsequent clearance of phospholipid radioactivity from the plasma of insulin-deficient rats was significantly slower than in controls (P less than 0.025). Plasma clearance was similarly slower in insulin-deficient rats after injection of HDL that was previously labelled with radioactive phospholipids. After injection, the phospholipid label redistributed rapidly between the large-particle fraction of plasma lipoproteins (very-low- and low-density lipoproteins), and the lighter and heavier fractions of HDL. Compared with control rats, in insulin-deficient rats less of the phospholipid label was distributed to the lighter HDL fraction and more to the heavier HDL fraction, and this difference was not due to changes in activity of lecithin: cholesterol acyltransferase or in the apparent activity of phospholipid transfer protein. In insulin-deficient rats the changes in HDL phospholipid clearance and exchange appeared to be secondary to the associated hypertriglyceridaemia and the related changes in distribution of phospholipids between classes of plasma lipoproteins. PMID:1536661

  16. PIII Plasma Density Enhancement by a New DC Power Source

    International Nuclear Information System (INIS)

    Lopez-Callejas, R.; Godoy-Cabrera, O. G.; Granda-Gutierrez, E. E.; Piedad-Beneitez, A. de la; Munoz-Castro, A. E.; Valencia A, R.; Barocio, S. R.; Mercado-Cabrera, A.; Pena-Eguiluz, R.

    2006-01-01

    In practical terms, those plasmas produced by a DC voltage power supply do not attain densities above the 108 to 109 cm-3 band. Here we present a power supply, controlled in current and voltage, which has been successfully designed and constructed delivering plasma densities in the orders of 109 - 1010 cm-3. Its experimental performance test was conducted within one toroidal and one cylindrical chambers capable of 29 and 35 litres, respectively, using nitrogen gas. The DC plasma was characterized by a double electric probe. Several physical phenomena present in the PIII process have been keenly investigated including plasma sheath dynamics, interaction of plasma and surface, etc. In this paper we analyze the effect of the implantation voltage, plasma density and pulse time in the PIII average heating power and fluence density

  17. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  18. Formation and termination of High ion temperature mode in Heliotron/torsatron plasmas

    International Nuclear Information System (INIS)

    Ida, K.; Kondo, K.; Nagasaki, K.

    1997-01-01

    Physics of the formation and termination of High ion temperature mode (high T i mode) are studied by controlling density profiles and radial electric field. High ion temperature mode is observed for neutral beam heated plasmas in Heliotron/torsatron plasmas (Heliotron-E). This high T i mode plasma is characterized by a peaked ion temperature profile and is associated with a peaked electron density profile produced by neutral beam fueling with low wall recycling. This high T i mode is terminated by flattening the electron density caused by either gas puffing or second harmonic ECH (core density 'pump-out'). (author)

  19. A Guillemin type E pulse forming network as the driver for a pulsed, high density plasma source.

    Science.gov (United States)

    Rathod, Priyavandna J; Anitha, V P; Sholapurwala, Z H; Saxena, Y C

    2014-06-01

    A Guillemin type E pulse forming network (PFN) has been designed, developed, and tested for its application in generating high density (~1 × 10(18) m(-3)) plasmas. In the present study, plasma thus generated is utilized to investigate the interaction of high power microwaves (HPMs) with plasma in an experimental architecture known as SYMPLE (System for Microwave PLasma Experiment). Plasma discharges of ~100 μs (max) duration are to be produced, by delivering energy of 5 kJ stored in a PFN to the plasma source, a washer gun. The output of the PFN, in terms of its rise time, flat top and amplitude, needs to be tailored, depending on the experimental requirements. An ignitron (NL8900) trigger generator (ITG) is developed in-house to control the PFN discharge through the gun. This ITG is also to be used in a circuit that synchronizes the HPM and plasma shots, to ensure that HPM-plasma interaction takes place during a temporal regime where appropriate parametric conditions are satisfied. Hence it is necessary to retain the jitter within ±2.5 μs. Further, requirement on plasma quiescence (~10%) necessitates maintaining the ripple within 5%. The developmental work of the PFN, keeping in view the above criteria and the test results, is presented in this paper. The parameters of the PFN have been analytically approximated and verified with PSPICE simulation. The test results presented include rise time ~5-8 μs, flat top variable in the range 20-100 μs, ripple within ~1.5%, and jitter within ±2.5 μs, producing quiescent (plasma discharge meeting the experimental requirements.

  20. Transport of energetic electrons in a magnetically expanding helicon double layer plasma

    International Nuclear Information System (INIS)

    Takahashi, Kazunori; Charles, Christine; Boswell, Rod; Cox, Wes; Hatakeyama, Rikizo

    2009-01-01

    Peripheral magnetic field lines extending from the plasma source into the diffusion chamber are found to separate two regions of Maxwellian electron energy probability functions: the central, ion-beam containing region with an electron temperature of 5 eV, and region near the chamber walls with electrons at 3 eV. Along the peripheral field lines a bi-Maxwellian population with a hot tail at 9 eV is shown to both originate from electrons in the source traveling downstream across the double layer and correspond to a local maximum in ion and electron densities.

  1. Electron and current density measurements on tokamak plasmas

    International Nuclear Information System (INIS)

    Lammeren, A.C.A.P. van.

    1991-01-01

    The first part of this thesis describes the Thomson-scattering diagnostic as it was present at the TORTUR tokamak. For the first time with this diagnostic a complete tangential scattering spectrum was recorded during one single laser pulse. From this scattering spectrum the local current density was derived. Small deviations from the expected gaussian scattering spectrum were observed indicating the non-Maxwellian character of the electron-velocity distribution. The second part of this thesis describes the multi-channel interferometer/ polarimeter diagnostic which was constructed, build and operated on the Rijnhuizen Tokamak Project (RTP) tokamak. The diagnostic was operated routinely, yielding the development of the density profiles for every discharge. When ECRH (Electron Cyclotron Resonance Heating) is switched on the density profile broadens, the central density decreases and the total density increases, the opposite takes place when ECRH is switched off. The influence of MHD (magnetohydrodynamics) activity on the density was clearly observable. In the central region of the plasma it was measured that in hydrogen discharges the so-called sawtooth collapse is preceded by an m=1 instability which grows rapidly. An increase in radius of this m=1 mode of 1.5 cm just before the crash is observed. In hydrogen discharges the sawtooth induced density pulse shows an asymmetry for the high- and low-field side propagation. This asymmetry disappeared for helium discharges. From the location of the maximum density variations during an m=2 mode the position of the q=2 surface is derived. The density profiles are measured during the energy quench phase of a plasma disruption. A fast flattening and broadening of the density profile is observed. (author). 95 refs.; 66 figs.; 7 tabs

  2. Impact of gas puffing location on density control and plasma parameters in TJ-II

    International Nuclear Information System (INIS)

    Tabares, F.L.; Garcia-Cortes, I.; Estrada, T.; Tafalla, D.; Hidalgo, A.; Ferreira, J.A.; Pastor, I.; Herranz, J.; Ascasibar, E.

    2005-01-01

    Under pure Electron Cyclotron Resonance Heating (ECRH) conditions in TJ-II plasmas (P<300 kW, 53.2 GHz, 2nd harmonic X-mode, power density < 25 W/m''3), plasma start-up and good density control are obtained only by the proper combination of wall conditions and gas puffing characteristics. Such a control is particularly critical for the optimisation of the NBI power transfer to the target plasmas. The relatively low cut-off limit is easily reached due not only to the unfavourable wall/puffing-fuelling ratio but also due to the steep density profiles developed during the Enhanced Particle Confinement (EPC) modes. These modes are triggered by the gas puffing waveform, and they cannot be achieved for high iota magnetic configurations in TJ-II. Comparative experiments under metallic and boronised wall conditions have shown that the sensitivity of the EPC modes to the puffing rate is at least partially related to the energy balance at the plasma periphery under central heating scenarios. In this work, the impact of gas-fuelling location on the plasma parameters and density control is described. For that purpose, three different fuelling locations have been investigated; broad distribution from a side ports, localized injection from long tubes at different poloidal positions and highly localized injection through a movable limiter. Edge density and temperature profiles from a broad set of diagnostics (atomic beams, reflectometry, Thompson Scattering ECE, etc...) are analysed and compared. It has been found that preventing from transition to the EPC mode is achieved by using slow puffing rates, while neutral penetration into the plasma core can be enhanced for highly localized gas sources. Wall inventory, however, has been found to pl ay a dominant role in the fuelling of the plasma under most conditions. (author)

  3. Comparison of human plasma low- and high-density lipoproteins as substrates for lecithin: cholesterol acyltransferase.

    Science.gov (United States)

    Barter, P J; Hopkins, G J; Gorjatschko, L

    1984-01-17

    A recent observation that lecithin: cholesterol acyltransferase (EC 2.3.1.43) interacts with both low-density lipoproteins (LDL) and high-density lipoproteins (HDL) in human plasma is in apparent conflict with an earlier finding that the purified enzyme, while highly reactive with isolated HDL, was only minimally reactive with LDL. There is evidence, however, that lecithin: cholesterol acyltransferase may exist physiologically as a component of a complex with other proteins and that studies with the isolated enzyme may therefore provide misleading results. Consequently, interactions of the enzyme with isolated human lipoproteins have been re-examined in incubations containing lecithin: cholesterol acyltransferase as a component of human lipoprotein-free plasma in which a physiologically active complex of the enzyme with other proteins may have been preserved. In this system there was a ready esterification of the free cholesterol associated with both LDL and HDL-subfraction 3 (HDL3) in reactions that obeyed typical enzyme-saturation kinetics. For a given preparation of lipoprotein-free plasma the Vmax values with LDL and with HDL3 were virtually identical. The apparent Km for free cholesterol associated with HDL3 was 5.6 X 10(-5) M, while for that associated with LDL it was 4.1 X 10(-4) M. This implied that, in terms of free cholesterol concentration, the affinity of HDL3 for lecithin: cholesterol acyltransferase was about 7-times greater than that of LDL. When expressed in terms of lipoprotein particle concentration, however, it was apparent that the affinity of LDL for the enzyme was considerably greater than that of HDL3. When the lipoprotein fractions were equated in terms of lipoprotein surface area, the apparent affinities of the two fractions for the enzyme were found to be comparable.

  4. The optical properties and applications of AlN thin films prepared by a helicon sputtering system

    CERN Document Server

    Chiu, W Y; Kao, H L; Jeng, E S; Chen, J S; Jaing, C C

    2002-01-01

    AlN thin films were grown on SiO sub 2 /Si and quartz substrates using a helicon sputtering system. The dependence of film quality on growth parameters, such as total sputtering pressure, substrate temperature, and nitrogen concentration has been studied. There is a good correlation of thin film crystallinity addressed by x-ray diffraction (XRD) and spectroscopic ellipsometer. The optimized films exhibit highly oriented, with only (002) peak shown in a theta-2 theta scan XRD pattern, and extremely smooth surface with rms roughness of 2 Aa. The extinction coefficient of the film was 4x10 sup - sup 4 , which is lower than that of AlN films grown by conventional sputtering. Double-layer antireflection (DLAR) coating using AlN and Al sub 3 O sub 3 grown on quartz has been demonstrated. The transmittance of DLAR was high as 96% compared to 93% of bare substrates with the measurement error less than 0.2%. AlN films prepared by Helicon sputtering thus are potential for optical application.

  5. Thomson scattering from near-solid density plasmas using soft x-ray free electron lasers

    Energy Technology Data Exchange (ETDEWEB)

    Holl, A; Bornath, T; Cao, L; Doppner, T; Dusterer, S; Forster, E; Fortmann, C; Glenzer, S H; Gregori, G; Laarmann, T; Meiwes-Broer, K H; Przystawik, A; Radcliffe, P; Redmer, R; Reinholz, H; Ropke, G; Thiele, R; Tiggesbaumker, J; Toleikis, S; Truong, N X; Tschentscher, T; Uschmann, I; Zastrau, U

    2006-11-21

    We propose a collective Thomson scattering experiment at the VUV free electron laser facility at DESY (FLASH) which aims to diagnose warm dense matter at near-solid density. The plasma region of interest marks the transition from an ideal plasma to a correlated and degenerate many-particle system and is of current interest, e.g. in ICF experiments or laboratory astrophysics. Plasma diagnostic of such plasmas is a longstanding issue. The collective electron plasma mode (plasmon) is revealed in a pump-probe scattering experiment using the high-brilliant radiation to probe the plasma. The distinctive scattering features allow to infer basic plasma properties. For plasmas in thermal equilibrium the electron density and temperature is determined from scattering off the plasmon mode.

  6. Calibration of a High Resolution X-ray Spectrometer for High-Energy-Density Plasmas on NIF

    Science.gov (United States)

    Kraus, B.; Gao, L.; Hill, K. W.; Bitter, M.; Efthimion, P.; Schneider, M. B.; Chen, H.; Ayers, J.; Beiersdorfer, P.; Liedahl, D.; Macphee, A. G.; Thorn, D. B.; Bettencourt, R.; Kauffman, R.; Le, H.; Nelson, D.

    2017-10-01

    A high-resolution, DIM-based (Diagnostic Instrument Manipulator) x-ray crystal spectrometer has been calibrated for and deployed at the National Ignition Facility (NIF) to diagnose plasma conditions and mix in ignition capsules near stagnation times. Two conical crystals in the Hall geometry focus rays from the Kr He- α, Ly- α, and He- β complexes onto a streak camera for time-resolved spectra, in order to measure electron density and temperature by observing Stark broadening and relative intensities of dielectronic satellites. Signals from these two crystals are correlated with a third crystal that time-integrates the intervening energy range. The spectrometer has been absolutely calibrated using a microfocus x-ray source, an array of CCD and single-photon-counting detectors, and K- and L-absorption edge filters. Measurements of the integrated reflectivity, energy range, and energy resolution for each crystal will be presented. The implications of the calibration on signal levels from NIF implosions and x-ray filter choices will be discussed. This work was performed under the auspices of the U.S. DoE by Princeton Plasma Physics Laboratory under contract DE-AC02-09CH11466 and by Lawrence Livermore National Laboratory under contract DE-AC52-07NA27344.

  7. The calculation of electron density of the non-ideal argon plasma

    International Nuclear Information System (INIS)

    Jiang Ming; Cheng Xinlu; Yang Xiangdong

    2004-01-01

    By the screened hydrogenic model, the paper calculates the electron densities of shock-generated argon plasma with temperature T∼2.0 eV and density of plasma ρ∼0.01 g/cm 3 -0.49 g/cm 3 , and studies the influence on electron density caused by interparticle interaction at the different temperature and density of plasma. (author)

  8. Exploration of one-dimensional plasma current density profile for K-DEMO steady-state operation

    Energy Technology Data Exchange (ETDEWEB)

    Kang, J.S. [Seoul National University, Seoul 151-742 (Korea, Republic of); Jung, L. [National Fusion Research Institute, Daejeon (Korea, Republic of); Byun, C.-S.; Na, D.H.; Na, Y.-S. [Seoul National University, Seoul 151-742 (Korea, Republic of); Hwang, Y.S., E-mail: yhwang@snu.ac.kr [Seoul National University, Seoul 151-742 (Korea, Republic of)

    2016-11-01

    Highlights: • One-dimensional current density and its optimization for the K-DEMO are explored. • Plasma current density profile is calculated with an integrated simulation code. • The impact of self and external heating profiles is considered self-consistently. • Current density is identified as a reference profile by minimizing heating power. - Abstract: Concept study for Korean demonstration fusion reactor (K-DEMO) is in progress, and basic design parameters are proposed by targeting high magnetic field operation with ITER-sized machine. High magnetic field operation is a favorable approach to enlarge relative plasma performance without increasing normalized beta or plasma current. Exploration of one-dimensional current density profile and its optimization process for the K-DEMO steady-state operation are reported in this paper. Numerical analysis is conducted with an integrated plasma simulation code package incorporating a transport code with equilibrium and current drive modules. Operation regimes are addressed with zero-dimensional system analysis. One-dimensional plasma current density profile is calculated based on equilibrium, bootstrap current analysis, and thermal transport analysis. The impact of self and external heating profiles on those parameters is considered self-consistently, where thermal power balance and 100% non-inductive current drive are the main constraints during the whole exploration procedure. Current and pressure profiles are identified as a reference steady-state profile by minimizing the external heating power with desired fusion power.

  9. Research Opportunities in High Energy Density Laboratory Plasmas on the NDCX-II Facility

    International Nuclear Information System (INIS)

    Barnard, John; Cohen, Ron; Friedman, Alex; Grote, Dave; Lund, Steven; Sharp, Bill; Bieniosek, Frank; Ni, Pavel; Roy, Prabir; Henestroza, Enrique; Jung, Jin-Young; Kwan, Joe; Lee, Ed; Leitner, Matthaeus; Lidia, Steven; Logan, Grant; Seidl, Peter; Vay, Jean-Luc; Waldron, Will

    2009-01-01

    Intense beams of heavy ions offer a very attractive tool for fundamental research in high energy density physics and inertial fusion energy science. These applications build on the significant recent advances in the generation, compression and focusing of intense heavy ion beams in the presence of a neutralizing background plasma. Such beams can provide uniform volumetric heating of the target during a time-scale shorter than the hydrodynamic response time, thereby enabling a significant suite of experiments that will elucidate the underlying physics of dense, strongly-coupled plasma states, which have been heretofore poorly understood and inadequately diagnosed, particularly in the warm dense matter regime. The innovations, fundamental knowledge, and experimental capabilities developed in this basic research program is also expected to provide new research opportunities to study the physics of directly-driven ion targets, which can dramatically reduce the size of heavy ion beam drivers for inertial fusion energy applications. Experiments examining the behavior of thin target foils heated to the warm dense matter regime began at the Lawrence Berkeley National Laboratory in 2008, using the Neutralized Drift Compression Experiment - I (NDCX-I) facility, and its associated target chamber and diagnostics. The upgrade of this facility, called NDCX-II, will enable an exciting set of scientific experiments that require highly uniform heating of the target, using Li + ions which enter the target with kinetic energy in the range of 3 MeV, slightly above the Bragg peak for energy deposition, and exit with energies slightly below the Bragg peak. This document briefly summarizes the wide range of fundamental scientific experiments that can be carried out on the NDCX-II facility, pertaining to the two charges presented to the 2008 Fusion Energy Science Advisory Committee (FESAC) panel on High Energy Density Laboratory Plasmas (HEDLP). These charges include: (1) Identify the

  10. Plasma density measurements from the GEOS-1 relaxation sounder

    International Nuclear Information System (INIS)

    Etcheto, J.; Bloch, J.J.

    1978-01-01

    The relaxation sounder uses the characteristics of the propagation of radiowaves to sound the plasma surrounding the spacecraft. It determines, in particular, the plasma frequency, which gives the electron density. Measurements over the whole dayside of the magnetosphere, from the evening to the night sectors, are now available. The behaviour of the plasma resonance depends on local time, the nighttime echoes being generally weaker. Density measurements thus obtained are shown and discussed in the context of what is presently known about the plasma distribution in the magnetosphere. In particular, the density around apogee is studied as a function of magnetic activity. On the dayside, it appears to vary between a few and a few tens of electrons per cubic centimeter. The evolution of the density profile for several consecutive days is studied and interpreted tracing back the drift of the particles. (Auth.)

  11. Quadrature interferometry for plasma density measurements

    International Nuclear Information System (INIS)

    Warthen, B.J.; Shlachter, J.S.

    1995-01-01

    A quadrature interferometer has been used routinely in several pulsed power experiments to measure the line-averaged electron density. The optical source is a 30 mW, continuous wave Nd-YAG laser operating at 1,300 nm. The light is coupled directly to an optical fiber and split into reference and scene beams with a fiber splitter. The scene beam is transported to and from the plasma using single mode optical fibers up to 100 m in length. To simplify alignment through the plasma, the authors have used GRIN lenses on both the launch and receive sides of the single pass transmission diagnostic where this is possible. The return beam passes through a half-wave plate which is used to compensate for polarization rotation associated with slow (hour) time scale drift in the single mode fibers. The reference beam is sent through a quarter-wave plate to produce circular polarization; mixing of the reference and scene beams is accomplished using a non-polarizing beam splitter, and the interference signals are focused into additional fibers which relay the light to fast photodiodes. The quadrature optics allow for an unambiguous determination of the slope of the density changes at inflection points. All of the beam processing optics are located on a stable optical table which is remote and protected from the experiment. Final setup of the interferometer is facilitated by looking at the Lissajous figure generated from the two quadrature components. The authors have used this interferometer to diagnose the background density in the Pegasus-II power flow channel, to study the plasma plume generated in foil implosion experiments, to measure the plasma blowoff during implosions, and to understand the plasma formation mechanism in a fusion target plasma system

  12. ANTHEM simulation of the early time magnetic field penetration of the plasma surrounding a high density Z-pinch

    International Nuclear Information System (INIS)

    Mason, R.J.

    1989-01-01

    The early time penetration of magnetic field into the low density coronal plasma of a Z-pinch fiber is studied with the implicit plasma simulation code ANTHEM. Calculations show the emission of electrons from the cathode, pinching of the electron flow, magnetic insulation of the electrons near the anode, and low density ion blow off. PIC-particle ion calculations show a late time clumping of the ion density not seen with a fluid ion treatment. 4 refs., 4 figs

  13. Optimum design of a microwave interferometer for plasma density measurement

    International Nuclear Information System (INIS)

    Lindberg, L.; Eriksson, A.

    1980-11-01

    Theoretical and practical problems arising in the application of microwave interferometry to density measurements on transient plasmas are discussed. The conditions for unambiquous measurements in a density range as wide as possible are analyzed. It is shown that the initial zero adjustment of the interferometer bridge recommended in many text books is the worst possible choice of initial condition when the aim is high initial sensitivity at low densities. The analytic expressions needed for unambiquous evaluation of any phase shift from a few degrees to several times π (counting of fringes) are derived. The practical design of the interferometer circuit and its inherent error sources due to reflexions and non-ideal component properties are discussed. The results are applied to an interferometer operating at 80 GHz used on a pulsed plasma experiment. The minimum measurable phase shift is 2deg and the range of linear densities that have been measured is = 1 . 10 16 - 3 . 10 18 m -2

  14. Dynamics of low density coronal plasma in low current x-pinches

    International Nuclear Information System (INIS)

    Haas, D; Bott, S C; Vikhrev, V; Eshaq, Y; Ueda, U; Zhang, T; Baranova, E; Krasheninnikov, S I; Beg, F N

    2007-01-01

    Experiments were performed on an x-pinch using a pulsed power current generator capable of producing an 80 kA current with a rise time of 50 ns. Molybdenum wires with and without gold coating were employed to study the effect of high z coating on the low-density ( 18 cm -3 ) coronal plasma dynamics. A comparison of images from XUV frames and optical probing shows that the low density coronal plasma from the wires initially converges at the mid-plane immediately above and below the cross-point. A central jet is formed which moves with a velocity of 6 x 10 4 ms -1 towards both electrodes forming a z-pinch column before the current maximum. A marked change in the low density coronal plasma dynamics was observed when molybdenum wires coated with ∼ 0.09 μm of gold were used. The processes forming the jet structure were delayed relative to bare Mo x-pinches, and the time-resolved x-ray emission also showed differences. An m = 0 instability was observed in the coronal plasma along the x-pinch legs, which were consistent with x-ray PIN diode signals in which x-ray pulses were observed before x-ray spot formation. These early time x-ray pulses were not observed with pure molybdenum x-pinches. These observations indicate that a thin layer of gold coating significantly changes the coronal plasma behaviour. Two dimensional MHD simulations were performed and qualitatively agree with experimental observations of low density coronal plasma

  15. High density matter at RHIC

    Indian Academy of Sciences (India)

    QCD predicts a phase transition between hadronic matter and a quark-gluon plasma at high energy density. The relativistic heavy ion collider (RHIC) at Brookhaven National Laboratory is a new facility dedicated to the experimental study of matter under extreme conditions. Already the first round of experimental results at ...

  16. High-Speed Imaging of Dusty Plasma Instabilities

    International Nuclear Information System (INIS)

    Tawidian, H.; Mikikian, M.; Lecas, T.; Boufendi, L.; Coueedel, L.; Vallee, O.

    2011-01-01

    Dust particles in a plasma acquire negative charges by capturing electrons. If the dust particle density is high, a huge loss of free electrons can trigger unstable behaviors in the plasma. Several types of plasma behaviors are analyzed thanks to a high-speed camera like dust particle growth instabilities (DPGI) and a new phenomenon called plasma spheroids. These small plasma spheroids are about a few mm, have a slightly enhanced luminosity, and are observed in the vicinity of the electrodes. Different behaviors are identified for these spheroids like a rotational motion, or a chaotic regime (fast appearance and disappearance).

  17. High-Speed Imaging of Dusty Plasma Instabilities

    Science.gov (United States)

    Tawidian, H.; Couëdel, L.; Mikikian, M.; Lecas, T.; Boufendi, L.; Vallée, O.

    2011-11-01

    Dust particles in a plasma acquire negative charges by capturing electrons. If the dust particle density is high, a huge loss of free electrons can trigger unstable behaviors in the plasma. Several types of plasma behaviors are analyzed thanks to a high-speed camera like dust particle growth instabilities (DPGI) and a new phenomenon called plasma spheroids. These small plasma spheroids are about a few mm, have a slightly enhanced luminosity, and are observed in the vicinity of the electrodes. Different behaviors are identified for these spheroids like a rotational motion, or a chaotic regime (fast appearance and disappearance).

  18. On lower hybrid wave scattering by plasma density fluctuations

    International Nuclear Information System (INIS)

    Petrzilka, V.

    1988-01-01

    The scattering of lower hybrid waves on plasma density fluctuations in a thin turbulent layer at the plasma periphery is studied numerically. The lower hybrid waves are supposed to be radiated by a four-waveguide grill used on the CASTOR tokamak. A great number of calculated scattered wave spectra show that the scattered spectrum shifts to larger values of the parallel-to-magnetic-field component of the wave vector (to slower waves) with increasing central plasma density and with the decreasing safety factor at the boundary. As known, this shift of the wave spectra results in a decrease in current drive efficiency. The current drive efficiency will hence decrease with growing plasma density and with decreasing safety factor. (J.U.). 2 figs., 4 refs

  19. High performance H-mode plasmas at densities above the Greenwald limit

    International Nuclear Information System (INIS)

    Mahdavi, M.A.; Osborne, T.H.; Leonard, A.W.

    2001-01-01

    Densities up to 40 percent above the Greenwald limit are reproducibly achieved in high confinement (H ITER89p =2) ELMing H-mode discharges. Simultaneous gas fueling and divertor pumping were used to obtain these results. Confinement of these discharges, similar to moderate density H-mode, is characterized by a stiff temperature profile, and therefore sensitive to the density profile. A particle transport model is presented that explains the roles of divertor pumping and geometry for access to high densities. Energy loss per ELM at high density is a factor of five lower than predictions of an earlier scaling, based on data from lower density discharges. (author)

  20. Anomalous plasma heating induced by modulation of the current-density profile

    International Nuclear Information System (INIS)

    Lopes Cardozo, N.J.

    1985-05-01

    The usual plasma heating in a tokamak needs additional heating to reach ignition temperature (approx. 10 8 K). The method used in the TORTUR III experiment is to induce anomalous plasma resistivity by applying a short (10 microseconds) high-voltage pulse. A sharp rise of the plasma temperature is found almost simultaneously, but this effect, though considerable, is too short-lived to be of interest for a thermonuclear chain reaction. A second pulse gives a second rise of temperature, but this time a slow one, extending over several milliseconds. The mechanism of this delayed heating and the reservoir within the plasma supplying the energy are subjects of investigation in the TORTUR III experiments. Some conclusions concerning the plasma heating mechanism are presented. The conclusion is reached that the application of the high-voltage pulse results in a modulation of the current-density profile: the (normally already peaked) profile sharpens, the current concentrates in the centre of the plasma column. This is a non-equilibrium situation. It relaxes to the noraml current distribution within approximately 2 milliseconds. As long as this relaxation process is not finished, the dissipation is on an enhanced level and anomalous plasma heating is observed. Many plasma parameters are surveyed and evaluated: temperature (both of the ions and the electrons), density, emission spectrum (from microwaves to hard X-rays) and the fluctuation spectrum. Main subject of this report is the measurement and interpretation of the X-rays of the emission spectrum. Experimental results are presented and discussed

  1. Extremely high-power-density atmospheric-pressure thermal plasma jet generated by the nitrogen-boosted effect

    Science.gov (United States)

    Hanafusa, Hiroaki; Nakashima, Ryosuke; Nakano, Wataru; Higashi, Seiichiro

    2018-06-01

    In this study, the effect of N2 addition to an atmospheric-pressure Ar thermal plasma jet (TPJ) on ultrarapid heating was investigated. With increasing N2 flow rate, a boost of arc voltage to ∼36 V was observed, which significantly improved heating characteristics. As a result, a drastic power density increase from 10 to 125 kW/cm2 was achieved with the addition of 2.0 L/min N2 to 3.0 L/min Ar. The results of optical emission analysis and heating characteristics evaluation implied that dissociation and recombination of N2 molecules and the high thermal transport property of nitrogen gas play important roles in the increase in TPJ power density. Furthermore, we obtained TPJ extension with N2 addition that reached 300 mm, and it showed spatial enhancement of heat transport characteristics.

  2. The compact mirrors with high pressure plasmas

    International Nuclear Information System (INIS)

    Anikeev, A.V.; Bagryansky, P.A.; Ivanov, A.A.; Lizunov, A.A.; Murakhtin, S.V.; Prikhodko, V.V.; Collatz, S.; Noack, K.

    2004-01-01

    The gas dynamic trap (GDP) experimental facility at the Budker Institute Novosibirsk is a long axial-symmetric mirror system with a high mirror ratio variable in the range of 12.5 - 100 for the confinement of a two-component plasma. One component is a collisional plasma with ion and electron temperatures up to 100 eV and density up to 10 14 cm -3 . The second component is the population of high-energetic fast ions with energies of 2-18 keV and a density up to 10 13 cm -3 which is produced by neutral beam injection (NBI). GDP is currently undergoing an upgrade whose first stage is the achievement of the synthesized hot ion plasmoid experiment (SHIP). This experiment aims at the investigation of plasmas and at the knowledge of plasma parameters that have never been achieved before in magnetic mirrors. The paper presents the physical concept of the SHIP experiment, the results of numerical pre-calculations and draws conclusions regarding possible scenarios of experiments. The simulation of a maximal NBI power regime with hydrogen injection gave a fast ion density of 1.2*10 14 cm -3 with a mean energy of 14 keV. The calculation of the deuterium injection regime with 2 MW NBI power gave a maximal fast ion density of 1.9*10 14 cm -3 with a beam energy of 9 keV. The calculation of an experimental scenario with reduced magnetic field resulted in a maximal β-value of 62%, so this regime is recommended for the study of high-β effects in plasmas confined in axial-symmetric mirrors

  3. Density profile effects on confinement and MHD stability of currentless NBI plasmas in Heliotron E

    International Nuclear Information System (INIS)

    Sudo, Shigeru; Zushi, Hideki; Kondo, Katsumi

    1993-01-01

    Density profile effects on confinement and MHD stability of currentless NBI plasmas in Heliotron E are studied. The peaked density profile produced by pellet injection increases the stored energy by 20-30% compared to the gas puffed plasmas which obey the empirical stellarator/heliotron scaling in a moderate density range. In contrast to confinement, the peaked pressure profile tends to destabilize the plasma. By limiter insertion, MHD instability occurs (seems to locate near ι/2π=1) even in case of low β (β 0 ≤1%, where β 0 is the central β value) plasmas. On the other hand, the mode of m/n=3/2 at ι/2π=2/3, seems to be a key parameter to the major MHD instability in case of high β (β 0 ≥2%) plasmas. (author)

  4. Calculation of high-pressure argon plasma parameters produced by excimer laser

    International Nuclear Information System (INIS)

    Tsuda, Norio; Yamada, Jun

    2000-01-01

    When a XeCl excimer laser light was focused in a high-pressure argon gas up to 150 atm, a dense plasma developed not only backward but also forward. It is important to study on the electron density and temperature of the laser-induced plasma in the high-pressure gas. The electron density and temperature in high-pressure argon plasma produced by XeCl excimer laser has been calculated and compared with the experimental data. (author)

  5. Magnetohydrodynamically stable plasma with supercritical current density at the axis

    Energy Technology Data Exchange (ETDEWEB)

    Burdakov, A. V. [Budker Institute of Nuclear Physics, 11 Lavrentjev Avenue, 630090 Novosibirsk (Russian Federation); Novosibirsk State Technical University, 20 Karl Marks Avenue, 630092 Novosibirsk (Russian Federation); Postupaev, V. V., E-mail: V.V.Postupaev@inp.nsk.su; Sudnikov, A. V. [Budker Institute of Nuclear Physics, 11 Lavrentjev Avenue, 630090 Novosibirsk (Russian Federation); Novosibirsk State University, 2 Pirogova st., 630090 Novosibirsk (Russian Federation)

    2014-05-15

    In this work, an analysis of magnetic perturbations in the GOL-3 experiment is given. In GOL-3, plasma is collectively heated in a multiple-mirror trap by a high-power electron beam. During the beam injection, the beam-plasma interaction maintains a high-level microturbulence. This provides an unusual radial profile of the net current (that consists of the beam current, current of the preliminary discharge, and the return current). The plasma core carries supercritical current density with the safety factor well below unity, but as a whole, the plasma is stable with q(a) ≈ 4. The net plasma current is counter-directed to the beam current; helicities of the magnetic field in the core and at the edge are of different signs. This forms a system with a strong magnetic shear that stabilizes the plasma core in good confinement regimes. We have found that the most pronounced magnetic perturbation is the well-known n = 1, m = 1 mode for both stable and disruptive regimes.

  6. Investigation of Physical Processes Limiting Plasma Density in DIII--D

    Science.gov (United States)

    Maingi, R.

    1996-11-01

    Understanding the physical processes which limit operating density is crucial in achieving peak performance in confined plasmas. Studies from many of the world's tokamaks have indicated the existence(M. Greenwald, et al., Nucl. Fusion 28) (1988) 2199 of an operational density limit (Greenwald limit, n^GW_max) which is proportional to the plasma current and independent of heating power. Several theories have reproduced the current dependence, but the lack of a heating power dependence in the data has presented an enigma. This limit impacts the International Thermonuclear Experimental Reactor (ITER) because the nominal operating density for ITER is 1.5 × n^GW_max. In DIII-D, experiments are being conducted to understand the physical processes which limit operating density in H-mode discharges; these processes include X-point MARFE formation, high core recycling and neutral pressure, resistive MHD stability, and core radiative collapse. These processes affect plasma properties, i.e. edge/scrape-off layer conduction and radiation, edge pressure gradient and plasma current density profile, and core radiation, which in turn restrict the accessible density regime. With divertor pumping and D2 pellet fueling, core neutral pressure is reduced and X-point MARFE formation is effectively eliminated. Injection of the largest-sized pellets does cause transient formation of divertor MARFEs which occasionally migrate to the X-point, but these are rapidly extinguished in pumped discharges in the time between pellets. In contrast to Greenwald et al., it is found that the density relaxation time after pellets is largely independent of the density relative to the Greenwald limit. Fourier analysis of Mirnov oscillations indicates the de-stabilization and growth of rotating, tearing-type modes (m/n= 2/1) when the injected pellets cause large density perturbations, and these modes often reduce energy confinement back to L-mode levels. We are examining the mechanisms for de

  7. Plasma density control with ergodic divertor on Tore Supra; Controle de la densite du plasma en presence du divertor ergodique dans le tokamak Tore Supra

    Energy Technology Data Exchange (ETDEWEB)

    Meslin, B

    1998-04-30

    Plasma density control on the tokamak Tore Supra is important for the optimization of every experimental scenario dealing with the improvement of plasma performances. Specific conditions are required both in the plasma bulk and at the edge. Within the framework of the present study, a magnetic configuration is used in the e plasma edge of Tore Supra: the ergodic divertor configuration. A magnetic perturbation which is resonant with the permanent field destroys the plasma confinement locally, opening the field lines onto the material components. They aim of the study is the characterization of the edge density in every relevant scenario for Tore Supra. The first part of this work is dedicated to density and temperature measurements by a series of fixed Langmuir probes located at the very edge of the plasma. Thanks to them, density regimes have been put in evidence during experiments where the volume averaged density , an usual control parameter of the plasma, was varied. The analysis of heat and particle transport through the plasma edge region explains the mechanisms leading to those regimes. The essential factor in our analysis is the dependence of the electron conductivity and ionization depth on temperature. While heat conduction governs the heat transport, the edge density varies linearly according to . Below a critical temperature, reached when the ion flux amplification at constant power density is large enough, a parallel temperature gradient appears leading to a density gradient in the opposite direction in order to maintain the pressure constant along the field lines. A high recycling regime is obtained and the edge density varies like {sup 3}. The pressure conservation is no more satisfied during the detachment of the plasma, which is characterized by a high neutral density at low temperatures leading to a ion momentum loss by friction against the neutrals. The edge density drops in those conditions. These regimes are similar

  8. The effect of insulin deficiency on the plasma clearance and exchange of high-density-lipoprotein phosphatidylcholine in rats.

    OpenAIRE

    Martins, I J; Redgrave, T G

    1992-01-01

    Triolein/cholesteryl oleate/cholesterol/phosphatidylcholine emulsions designed to model the lipid composition of chylomicrons were injected intravenously into control and streptozotocin-treated insulin-deficient rats. As previously described for lymph chylomicrons, the emulsion triolein was hydrolysed and phosphatidylcholine was transferred to the plasma high-density lipoproteins (HDL). This mechanism was used to introduce a phospholipid label into HDL in vivo. The subsequent clearance of pho...

  9. Experimental investigation of ultraviolet laser induced plasma density and temperature evolution in air

    International Nuclear Information System (INIS)

    Thiyagarajan, Magesh; Scharer, John

    2008-01-01

    We present measurements and analysis of laser induced plasma neutral densities and temperatures in dry air by focusing 200 mJ, 10 MW high power, 193 nm ultraviolet ArF (argon fluoride) laser radiation to a 30 μm radius spot size. We examine these properties that result from multiphoton and collisional cascade processes for pressures ranging from 40 Torr to 5 atm. A laser shadowgraphy diagnostic technique is used to obtain the plasma electron temperature just after the shock front and this is compared with optical emission spectroscopic measurements of nitrogen rotational and vibrational temperatures. Two-color laser interferometry is employed to measure time resolved spatial electron and neutral density decay in initial local thermodynamic equilibrium (LTE) and non-LTE conditions. The radiating species and thermodynamic characteristics of the plasma are analyzed by means of optical emission spectroscopy (OES) supported by SPECAIR, a special OES program for air constituent plasmas. Core plasma rotational and vibrational temperatures are obtained from the emission spectra from the N 2 C-B(2+) transitions by matching the experimental spectrum results with the SPECAIR simulation results and the results are compared with the electron temperature just behind the shock wave. The plasma density decay measurements are compared with a simplified electron density decay model that illustrates the dominant three-and two-body recombination terms with good correlation

  10. Perspectives on High-Energy-Density Physics

    Science.gov (United States)

    Drake, R. Paul

    2008-11-01

    Much of 21st century plasma physics will involve work to produce, understand, control, and exploit very non-traditional plasmas. High-energy density (HED) plasmas are often examples, variously involving strong Coulomb interactions and few particles per Debeye sphere, dominant radiation effects, strongly relativistic effects, or strongly quantum-mechanical behavior. Indeed, these and other modern plasma systems often fall outside the early standard theoretical definitions of ``plasma''. This presentation will focus on two types of HED plasmas that exhibit non-traditional behavior. Our first example will be the plasmas produced by extremely strong shock waves. Shock waves are present across the entire realm of plasma densities, often in space or astrophysical contexts. HED shock waves (at pressures > 1 Mbar) enable studies in many areas, from equations of state to hydrodynamics to radiation hydrodynamics. We will specifically consider strongly radiative shocks, in which the radiative energy fluxes are comparable to the mechanical energy fluxes that drive the shocks. Modern HED facilities can produce such shocks, which are also present in dense, energetic, astrophysical systems such as supernovae. These shocks are also excellent targets for advanced simulations due to their range of spatial scales and complex radiation transport. Our second example will be relativistic plasmas. In general, these vary from plasmas containing relativistic particle beams, produced for some decades in the laboratory, to the relativistic thermal plasmas present for example in pulsar winds. Laboratory HED relativistic plasmas to date have been those produced by laser beams of irradiance ˜ 10^18 to 10^22 W/cm^2 or by accelerator-produced HED electron beams. These have applications ranging from generation of intense x-rays to production of proton beams for radiation therapy to acceleration of electrons. Here we will focus on electron acceleration, a spectacular recent success and a rare

  11. High density plasma gun generates plasmas at 190 kilometers per second

    Science.gov (United States)

    Espy, P. N.

    1971-01-01

    Gun has thin metal foil disc which positions or localizes gas to be ionized during electrical discharge cycle, overcoming major limiting factor in obtaining such plasmas. Expanding plasma front travels at 190 km/sec, compared to plasmas of 50 to 60 km/sec previously achieved.

  12. A High Temperature Liquid Plasma Model of the Sun

    Directory of Open Access Journals (Sweden)

    Robitaille P.-M.

    2007-01-01

    Full Text Available In this work, a liquid model of the Sun is presented wherein the entire solar mass is viewed as a high density/high energy plasma. This model challenges our current understanding of the densities associated with the internal layers of the Sun, advocating a relatively constant density, almost independent of radial position. The incompressible nature of liquids is advanced to prevent solar collapse from gravitational forces. The liquid plasma model of the Sun is a non-equilibrium approach, where nuclear reactions occur throughout the solar mass. The primary means of addressing internal heat transfer are convection and conduction. As a result of the convective processes on the solar surface, the liquid model brings into question the established temperature of the solar photosphere by highlighting a violation of Kirchhoff’s law of thermal emission. Along these lines, the model also emphasizes that radiative emission is a surface phenomenon. Evidence that the Sun is a high density/high energy plasma is based on our knowledge of Planckian thermal emission and condensed matter, including the existence of pressure ionization and liquid metallic hydrogen at high temperatures and pressures. Prior to introducing the liquid plasma model, the historic and scientific justifications for the gaseous model of the Sun are reviewed and the gaseous equations of state are also discussed.

  13. Plasma density profiles and finite bandwidth effects on electron heating

    International Nuclear Information System (INIS)

    Spielman, R.B.; Mizuno, K.; DeGroot, J.S.; Bollen, W.M.; Woo, W.

    1980-01-01

    Intense, p-polarized microwaves are incident on an inhomogeneous plasma in a cylindrical waveguide. Microwaves are mainly absorbed by resonant absorption near the critical surface (where the plasma frequency, ω/sub pe/, equals the microwave frequency, ω/sub o/). The localized plasma waves strongly modify the plasma density. Step-plateau density profiles or a cavity are created depending on the plasma flow speed. Hot electron production is strongly affected by the microwave bandwidth. The hot electron temperature varies as T/sub H/ is proportional to (Δ ω/ω) -0 25 . As the hot electron temperature decreases with increasing driver bandwidth, the hot electron density increases. This increase is such that the heat flux into the overdense region (Q is proportional to eta/sub H/T/sub H/ 3 2 ) is nearly constant

  14. Localized electron density enhancements in the high-altitude polar ionosphere and their relationships with storm-enhanced density (SED plumes and polar tongues of ionization (TOI

    Directory of Open Access Journals (Sweden)

    Y. Kitanoya

    2011-02-01

    Full Text Available Events of localized electron density increase in the high-altitude (>3000 km polar ionosphere are occasionally identified by the thermal plasma instruments on the Akebono satellite. In this paper, we investigate the vertical density structure in one of such events in detail using simultaneous observations by the Akebono and DMSP F15 satellites, the SuperDARN radars, and a network of ground Global Positioning System (GPS receivers, and the statistical characteristics of a large number (>10 000 of such events using Akebono data over half of an 11-year solar cycle. At Akebono altitude, the parallel drift velocity is remarkably low and the O+ ion composition ratio remarkably high, inside the high plasma-density regions at high altitude. Detailed comparisons between Akebono, DMSP ion velocity and density, and GPS total electron content (TEC data suggest that the localized plasma density increase observed at high altitude on Akebono was likely connected with the polar tongue of ionization (TOI and/or storm enhanced density (SED plume observed in the F-region ionosphere. Together with the SuperDARN plasma convection map these data suggest that the TOI/SED plume penetrated into the polar cap due to anti-sunward convection and the plume existed in the same convection channel as the dense plasma at high altitude; in other words, the two were probably connected to each other by the convecting magnetic field lines. The observed features are consistent with the observed high-density plasma being transported from the mid-latitude ionosphere or plasmasphere and unlikely a part of the polar wind population.

  15. Plasma Density Tapering for Laser Wakefield Acceleration of Electrons and Protons

    International Nuclear Information System (INIS)

    Ting, A.; Gordon, D.; Kaganovich, D.; Sprangle, P.; Helle, M.; Hafizi, B.

    2010-01-01

    Extended acceleration in a Laser Wakefield Accelerator can be achieved by tailoring the phase velocity of the accelerating plasma wave, either through profiling of the density of the plasma or direct manipulation of the phase velocity. Laser wakefield acceleration has also reached a maturity that proton acceleration by wakefield could be entertained provided we begin with protons that are substantially relativistic, ∼1 GeV. Several plasma density tapering schemes are discussed. The first scheme is called ''bucket jumping'' where the plasma density is abruptly returned to the original density after a conventional tapering to move the accelerating particles to a neighboring wakefield period (bucket). The second scheme is designed to specifically accelerate low energy protons by generating a nonlinear wakefield in a plasma region with close to critical density. The third scheme creates a periodic variation in the phase velocity by beating two intense laser beams with laser frequency difference equal to the plasma frequency. Discussions and case examples with simulations are presented where substantial acceleration of electrons or protons could be obtained.

  16. Influence of driving frequency on oxygen atom density in O2 radio frequency capacitively coupled plasma

    International Nuclear Information System (INIS)

    Kitajima, Takeshi; Noro, Kouichi; Nakano, Toshiki; Makabe, Toshiaki

    2004-01-01

    The influence of the driving frequency on the absolute oxygen atom density in an O 2 radio frequency (RF) capacitively coupled plasma (CCP) was investigated using vacuum ultraviolet absorption spectroscopy with pulse modulation of the main plasma. A low-power operation of a compact inductively coupled plasma light source was enabled to avoid the significant measurement errors caused by self-absorption in the light source. The pulse modulation of the main plasma enabled accurate absorption measurement for high plasma density conditions by eliminating background signals due to light emission from the main plasma. As for the effects of the driving frequency, the effect of VHF (100 MHz) drive on oxygen atom production was small because of the modest increase in plasma density of electronegative O 2 in contrast to the significant increase in electron density previously observed for electropositive Ar. The recombination coefficient of oxygen atoms on the electrode surface was obtained from a decay rate in the afterglow by comparison with a diffusion model, and it showed agreement with previously reported values for several electrode materials

  17. Dielectronic recombination of carbon, oxygen and iron in low-density and high-temperature plasmas

    International Nuclear Information System (INIS)

    Nakamura, Yukiharu; Kasai, Satoshi; Tazima, Teruhiko

    1977-03-01

    The coefficient of dielectronic recombination, which is one of the important atomic processes in tokamak plasmas, is evaluated by a semiclassical method neglecting the effects of the density and the radiation fields. Those of carbon, oxygen and iron, which play important roles in such as plasma resistivity and energy losses, are calculated numerically in the range of the electron temperature of 10 eV - 10 keV. Compared with the results obtained from Burgess equation, which is most useful for the ions with effective nuclear charge z 25 such as molybdenum. (auth.)

  18. Effect of exponential density transition on self-focusing of q-Gaussian laser beam in collisionless plasma

    Science.gov (United States)

    Valkunde, Amol T.; Vhanmore, Bandopant D.; Urunkar, Trupti U.; Gavade, Kusum M.; Patil, Sandip D.; Takale, Mansing V.

    2018-05-01

    In this work, nonlinear aspects of a high intensity q-Gaussian laser beam propagating in collisionless plasma having upward density ramp of exponential profiles is studied. We have employed the nonlinearity in dielectric function of plasma by considering ponderomotive nonlinearity. The differential equation governing the dimensionless beam width parameter is achieved by using Wentzel-Kramers-Brillouin (WKB) and paraxial approximations and solved it numerically by using Runge-Kutta fourth order method. Effect of exponential density ramp profile on self-focusing of q-Gaussian laser beam for various values of q is systematically carried out and compared with results Gaussian laser beam propagating in collisionless plasma having uniform density. It is found that exponential plasma density ramp causes the laser beam to become more focused and gives reasonably interesting results.

  19. Electron beam manipulation, injection and acceleration in plasma wakefield accelerators by optically generated plasma density spikes

    Energy Technology Data Exchange (ETDEWEB)

    Wittig, Georg; Karger, Oliver S.; Knetsch, Alexander [Institute of Experimental Physics, University of Hamburg, 22761 Hamburg (Germany); Xi, Yunfeng; Deng, Aihua; Rosenzweig, James B. [Particle Beam Physics Laboratory, UCLA, Los Angeles, CA 90095 (United States); Bruhwiler, David L. [RadiaSoft LLC, Boulder, CO 80304 (United States); RadiaBeam Technologies LLC (United States); Smith, Jonathan [Tech-X UK Ltd, Daresbury, Cheshire WA4 4FS (United Kingdom); Sheng, Zheng-Ming; Jaroszynski, Dino A.; Manahan, Grace G. [Physics Department, SUPA, University of Strathclyde, Glasgow G4 0NG (United Kingdom); Hidding, Bernhard [Institute of Experimental Physics, University of Hamburg, 22761 Hamburg (Germany); Physics Department, SUPA, University of Strathclyde, Glasgow G4 0NG (United Kingdom)

    2016-09-01

    We discuss considerations regarding a novel and robust scheme for optically triggered electron bunch generation in plasma wakefield accelerators [1]. In this technique, a transversely propagating focused laser pulse ignites a quasi-stationary plasma column before the arrival of the plasma wake. This localized plasma density enhancement or optical “plasma torch” distorts the blowout during the arrival of the electron drive bunch and modifies the electron trajectories, resulting in controlled injection. By changing the gas density, and the laser pulse parameters such as beam waist and intensity, and by moving the focal point of the laser pulse, the shape of the plasma torch, and therefore the generated trailing beam, can be tuned easily. The proposed method is much more flexible and faster in generating gas density transitions when compared to hydrodynamics-based methods, and it accommodates experimentalists needs as it is a purely optical process and straightforward to implement.

  20. Pulse compression radar reflectometry for density measurements on fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Costley, A; Prentice, R [Commission of the European Communities, Abingdon (United Kingdom). JET Joint Undertaking; Laviron, C [Compagnie Generale des Matieres Nucleaires (COGEMA), 78 - Velizy-Villacoublay (France); Prentice, R [Toulouse-3 Univ., 31 (France). Centre d` Etude Spatiale des Rayonnements

    1994-07-01

    On tokamaks and other toroidal machines, reflectometry is a very rapidly developing technique for density profile measurements, particularly near the edge. Its principle relies on the total reflection of an electromagnetic wave at a cutoff layer, where the critical density is reached and the local refractive index goes to zero. With the new fast frequency synthesizers now available, a method based on pulse compression radar is proposed for plasma reflectometry, overcoming the limitations of the previous reflectometry methods. The measurement can be made on a time-scale which is effectively very short relatively to the plasma fluctuations, and the very high reproducibility and stability of the source allows an absolute calibration of the waveguides to be made, which corrects for the effects of the parasitic reflections. 2 refs., 5 figs.

  1. Power accounting of plasma discharges in the linear device Proto-MPEX

    Science.gov (United States)

    Showers, M.; Piotrowicz, P. A.; Beers, C. J.; Biewer, T. M.; Caneses, J.; Canik, J.; Caughman, J. B. O.; Donovan, D. C.; Goulding, R. H.; Lumsdaine, A.; Kafle, N.; Owen, L. W.; Rapp, J.; Ray, H.

    2018-06-01

    Plasma material interaction (PMI) studies are crucial to the successful development of future fusion reactors. Prototype Material Plasma Exposure eXperiment (Proto-MPEX) is a prototype design for the MPEX, a steady-state linear device being developed to study PMI. The primary purpose of Proto-MPEX is developing the plasma heating source concepts for MPEX. A power accounting study of Proto-MPEX works to identify machine operating parameters that could improve its performance, thereby increasing its PMI research capabilities, potentially impacting the MPEX design concept. To build a comprehensive power balance, an analysis of the helicon region has been performed implementing a diagnostic suite and software modeling to identify mechanisms and locations of heat loss from the main plasma. Of the 106.3 kW of input power, up to 90.5% of the power has been accounted for in the helicon region. When the analysis was extended to encompass the device to its end plates, 49.2% of the input power was accounted for and verified diagnostically. Areas requiring further diagnostic analysis are identified. The required improvements will be implemented in future work. The data acquisition and analysis processes will be streamlined to form a working model for future power balance studies of Proto-MPEX. ).

  2. Real-time control of the plasma density profile on ASDEX upgrade

    International Nuclear Information System (INIS)

    Mlynek, Alexander

    2010-01-01

    The tokamak concept currently is the most promising approach to future power generation by controlled thermonuclear fusion. The spatial distribution of the particle density in the toroidally confined fusion plasma is of particular importance. This thesis work therefore focuses on the question as to what extent the shape of the density profile can be actively controlled by a feedback loop in the fusion experiment ASDEX Upgrade. There are basically two essential requirements for such feedback control of the density profile, which has been experimentally demonstrated within the scope of this thesis work: On the one hand, for this purpose the density profile must be continuously calculated under real-time constraints during a plasma discharge. The calculation of the density profile is based on the measurements of a sub-millimeter interferometer, which provides the line-integrated electron density along 5 chords through the plasma. Interferometric density measurements can suffer from counting errors by integer multiples of 2π when detecting the phase difference between a probing and a reference beam. As such measurement errors have severe impact on the reconstructed density profile, one major part of this work consists in the development of new readout electronics for the interferometer, which allows for detection of such measurement errors in real-time with high reliability. A further part of this work is the design of a computer algorithm which reconstructs the spatial distribution of the plasma density from the line-integrated measurements. This algorithm has to be implemented on a computer which communicates the measured data to other computers in real-time, especially to the tokamak control system. On the other hand, a second fundamental requirement for the successful implementation of a feedback controller is the identification of at least one actuator which enables a modification of the density profile. Here, electron cyclotron resonance heating (ECRH) has been

  3. Density and magnetic field measurements in the Tormac IV-c plasma

    International Nuclear Information System (INIS)

    Coonrod, J.W. Jr.

    1978-01-01

    Tormac is a concept for magnetically confining a high-β fusion plasma in a toroidal, stuffed line cusp. A Tormac plasma has two regions: an interior confined on the closed toroidal field lines of the stuffing field, and an exterior sheath on open, cusped field lines. The interior plasma gives the device a longer confinement time than a standard mirror, while the favorable curvature of the cusp fields allow the plasma to be stable at higher values of β (the ratio of the plasma pressure to magnetic pressure) than a totally closed configuration like Tokamak. This thesis describes the design, construction and operation of Tormac IV-c, and reports on the results, with emphasis on describing the behavior of the density compression and field penetration

  4. On impurity handling in high performance stellarator/heliotron plasmas

    International Nuclear Information System (INIS)

    Burhenn, R.; Feng, Y.; Ida, K.

    2008-10-01

    The Large Helical Device (LHD) and Wendelstein 7-X (W7-X, under construction) are experiments specially designed to demonstrate long pulse (quasi steady-state) operation, which is an intrinsic property of Stellarators and Heliotrons. Significant progress was made in establishment of high performance plasmas. A crucial point is the increasing impurity confinement towards high density as observed at several machines (TJ-II, W7-AS, LHD) which can lead to impurity accumulation and early pulse termination by radiation collapse at high density. In addition, theoretical predictions for non-axisymmetric configurations prognosticate the absence of impurity screening by ion temperature gradients in standard ion root plasmas. Nevertheless, scenarios were found where impurity accumulation was successfully avoided in LHD and/or W7-AS by the onset of drag forces in the high density and low temperature scrape-off-layer, the generation of magnetic islands at the plasma boundary and to a certain degree also by ELMs, flushing out impurities and reducing the net-impurity influx into the core. Additionally, a reduction of impurity core confinement was observed in the W7-AS High Density H-mode (HDH) regime and by application of sufficient ECRH heating power. The exploration of such purification mechanisms is a demanding task for successful steady-state operation. The impurity transport at the plasma edge/SOL was identified to play a major role for the global impurity behaviour in addition to the core confinement. (author)

  5. High-density plasma-induced etch damage of wafer-bonded AlGaInP/mirror/Si light-emitting diodes

    CERN Document Server

    Wuu, D S; Huang, S H; Chung, C R

    2002-01-01

    Dry etch of wafer-bonded AlGaInP/mirror/Si light-emitting diodes (LEDs) with planar electrodes was performed by high-density plasma using an inductively coupled plasma (ICP) etcher. The etching characteristics were investigated by varying process parameters such as Cl sub 2 /N sub 2 gas combination, chamber pressure, ICP power and substrate-bias power. The corresponding plasma properties (ion flux and dc bias), in situ measured by a Langmuir probe, show a strong relationship to the etch results. With a moderate etch rate of 1.3 mu m/min, a near vertical and smooth sidewall profile can be achieved under a Cl sub 2 /(Cl sub 2 +N sub 2) gas mixture of 0.5, ICP power of 800 W, substrate-bias power of 100 W, and chamber pressure of 0.67 Pa. Quantitative analysis of the plasma-induced damage was attempted to provide a means to study the mechanism of leakage current and brightness with various dc bias voltages (-110 to -328 V) and plasma duration (3-5 min) on the wafer-bonded LEDs. It is found that the reverse leaka...

  6. Direct Laser Writing of Low-Density Interdigitated Foams for Plasma Drive Shaping [Direct Laser Writing of Low Density Nanostitched Foams for Plasma Drive Shaping

    International Nuclear Information System (INIS)

    Oakdale, James S.; Smith, Raymond F.; Forien, Jean-Baptiste; Smith, William L.; Ali, Suzanne J.

    2017-01-01

    Monolithic porous bulk materials have many promising applications ranging from energy storage and catalysis to high energy density physics. High resolution additive manufacturing techniques, such as direct laser writing via two photon polymerization (DLW-TPP), now enable the fabrication of highly porous microlattices with deterministic morphology control. In this work, DLW-TPP is used to print millimeter-sized foam reservoirs (down to 0.06 g cm –3 ) with tailored density-gradient profiles, where density is varied by over an order of magnitude (for instance from 0.6 to 0.06 g cm –3 ) along a length of <100 µm. Taking full advantage of this technology, however, is a multiscale materials design problem that requires detailed understanding of how the different length scales, from the molecular level to the macroscopic dimensions, affect each other. The design of these 3D-printed foams is based on the brickwork arrangement of 100 × 100 × 16 µm 3 log-pile blocks constructed from sub-micrometer scale features. A block-to-block interdigitated stitching strategy is introduced for obtaining high density uniformity at all length scales. Lastly, these materials are used to shape plasma-piston drives during ramp-compression of targets under high energy density conditions created at the OMEGA Laser Facility.

  7. Triglycerides, total cholesterol, high density lipoprotein cholesterol and low density lipoprotein cholesterol in rats exposed to premium motor spirit fumes.

    Science.gov (United States)

    Aberare, Ogbevire L; Okuonghae, Patrick; Mukoro, Nathaniel; Dirisu, John O; Osazuwa, Favour; Odigie, Elvis; Omoregie, Richard

    2011-06-01

    Deliberate and regular exposure to premium motor spirit fumes is common and could be a risk factor for liver disease in those who are occupationally exposed. A possible association between premium motor spirit fumes and plasma levels of triglyceride, total cholesterol, high density lipoprotein cholesterol and low density lipoprotein cholesterol using a rodent model could provide new insights in the pathology of diseases where cellular dysfunction is an established risk factor. The aim of this study was to evaluate the possible effect of premium motor spirit fumes on lipids and lipoproteins in workers occupationally exposed to premium motor spirit fumes using rodent model. Twenty-five Wister albino rats (of both sexes) were used for this study between the 4(th) of August and 7(th) of September, 2010. The rats were divided into five groups of five rats each. Group 1 rats were not exposed to premium motor spirit fumes (control group), group 2 rats were exposed for 1 hour daily, group 3 for 3 hours daily, group 4 for 5 hours daily and group 5 for 7 hours daily. The experiment lasted for a period of 4 weeks. Blood samples obtained from all the groups after 4 weeks of exposure were used for the estimation of plasma levels of triglyceride, total cholesterol, high density lipoprotein- cholesterol and low density lipoprotein- cholesterol. Results showed significant increase in means of plasma total cholesterol and low density lipoprotein levels (P<0.05). The mean triglyceride and total body weight were significantly lower (P<0.05) in the exposed group when compared with the unexposed. The plasma level of high density lipoprotein, the ratio of low density lipoprotein to high density lipoprotein and the ratio of total cholesterol to high density lipoprotein did not differ significantly in exposed subjects when compared with the control group. These results showed that frequent exposure to petrol fumes may be highly deleterious to the liver cells.

  8. Laser Thomson scattering diagnostics of non-equilibrium high pressure plasmas

    International Nuclear Information System (INIS)

    Muraoka, K.; Uchino, K.; Bowden, M.D.; Noguchi, Y.

    2001-01-01

    For various applications of non-equilibrium high pressure plasmas, knowledge of electron properties, such as electron density, electron temperature and/or electron energy distribution function (eedf), is prerequisite for any rational approach to understanding physical and chemical processes occurring in the plasmas. For this purpose, laser Thomson scattering has been successfully applied for the first time to measure the electron properties in plasmas for excimer laser pumping and in microdischarges. Although this diagnostic technique is well established for measurements in high temperature plasmas, its applications to these glow discharge plasmas have had various inherent difficulties, such as a presence of high density neutral particles (>10 21 m -3 ) in the excimer laser pumping discharges and an extremely small plasma size (<0.1 mm) and the presence of nearby walls for microdischarges. These difficulties have been overcome and clear signals have been obtained. The measured results are presented and their implications in the respective discharge phenomena are discussed

  9. Si-compatible cleaning process for graphene using low-density inductively coupled plasma.

    Science.gov (United States)

    Lim, Yeong-Dae; Lee, Dae-Yeong; Shen, Tian-Zi; Ra, Chang-Ho; Choi, Jae-Young; Yoo, Won Jong

    2012-05-22

    We report a novel cleaning technique for few-layer graphene (FLG) by using inductively coupled plasma (ICP) of Ar with an extremely low plasma density of 3.5 × 10(8) cm(-3). It is known that conventional capacitively coupled plasma (CCP) treatments destroy the planar symmetry of FLG, giving rise to the generation of defects. However, ICP treatment with extremely low plasma density is able to remove polymer resist residues from FLG within 3 min at a room temperature of 300 K while retaining the carbon sp(2)-bonding of FLG. It is found that the carrier mobility and charge neutrality point of FLG are restored to their pristine defect-free state after the ICP treatment. Considering the application of graphene to silicon-based electronic devices, such a cleaning method can replace thermal vacuum annealing, electrical current annealing, and wet-chemical treatment due to its advantages of being a low-temperature, large-area, high-throughput, and Si-compatible process.

  10. Changes in plasma low-density lipoprotein (LDL)- and high-density lipoprotein cholesterol in hypo- and hyperthyroid patients are related to changes in free thyroxine, not to polymorphisms in LDL receptor or cholesterol ester transfer protein genes

    NARCIS (Netherlands)

    Diekman, M. J.; Anghelescu, N.; Endert, E.; Bakker, O.; Wiersinga, W. M.

    2000-01-01

    Thyroid function disorders lead to changes in lipoprotein metabolism. Both plasma low-density lipoprotein cholesterol (LDL-C) and high-density lipoprotein cholesterol (HDL-C) increase in hypothyroidism and decrease in hyperthyroidism. Changes in LDL-C relate to altered clearance of LDL particles

  11. Particle-in-Cell Modeling of Magnetized Argon Plasma Flow Through Small Mechanical Apertures

    Energy Technology Data Exchange (ETDEWEB)

    Adam B. Sefkow and Samuel A. Cohen

    2009-04-09

    Motivated by observations of supersonic argon-ion flow generated by linear helicon-heated plasma devices, a three-dimensional particle-in-cell (PIC) code is used to study whether stationary electrostatic layers form near mechanical apertures intersecting the flow of magnetized plasma. By self-consistently evaluating the temporal evolution of the plasma in the vicinity of the aperture, the PIC simulations characterize the roles of the imposed aperture and applied magnetic field on ion acceleration. The PIC model includes ionization of a background neutral-argon population by thermal and superthermal electrons, the latter found upstream of the aperture. Near the aperture, a transition from a collisional to a collisionless regime occurs. Perturbations of density and potential, with mm wavelengths and consistent with ion acoustic waves, propagate axially. An ion acceleration region of length ~ 200-300 λD,e forms at the location of the aperture and is found to be an electrostatic double layer, with axially-separated regions of net positive and negative charge. Reducing the aperture diameter or increasing its length increases the double layer strength.

  12. Particle-in-Cell Modeling of Magnetized Argon Plasma Flow Through Small Mechanical Apertures

    International Nuclear Information System (INIS)

    Sefkow, Adam B.; Cohen, Samuel A.

    2009-01-01

    Motivated by observations of supersonic argon-ion flow generated by linear helicon-heated plasma devices, a three-dimensional particle-in-cell (PIC) code is used to study whether stationary electrostatic layers form near mechanical apertures intersecting the flow of magnetized plasma. By self-consistently evaluating the temporal evolution of the plasma in the vicinity of the aperture, the PIC simulations characterize the roles of the imposed aperture and applied magnetic field on ion acceleration. The PIC model includes ionization of a background neutral-argon population by thermal and superthermal electrons, the latter found upstream of the aperture. Near the aperture, a transition from a collisional to a collisionless regime occurs. Perturbations of density and potential, with mm wavelengths and consistent with ion acoustic waves, propagate axially. An ion acceleration region of length ∼ 200-300 λ D,e forms at the location of the aperture and is found to be an electrostatic double layer, with axially-separated regions of net positive and negative charge. Reducing the aperture diameter or increasing its length increases the double layer strength

  13. Simulation of density measurements in plasma wakefields using photo acceleration

    CERN Document Server

    Kasim, Muhammad Firmansyah; Ceurvorst, Luke; Sadler, James; Burrows, Philip N; Trines, Raoul; Holloway, James; Wing, Matthew; Bingham, Robert; Norreys, Peter

    2015-01-01

    One obstacle in plasma accelerator development is the limitation of techniques to diagnose and measure plasma wakefield parameters. In this paper, we present a novel concept for the density measurement of a plasma wakefield using photon acceleration, supported by extensive particle in cell simulations of a laser pulse that copropagates with a wakefield. The technique can provide the perturbed electron density profile in the laser’s reference frame, averaged over the propagation length, to be accurate within 10%. We discuss the limitations that affect the measurement: small frequency changes, photon trapping, laser displacement, stimulated Raman scattering, and laser beam divergence. By considering these processes, one can determine the optimal parameters of the laser pulse and its propagation length. This new technique allows a characterization of the density perturbation within a plasma wakefield accelerator.

  14. Modification of K-line emission profiles in laser-created solid-density plasmas

    International Nuclear Information System (INIS)

    Sengebusch, A.; Reinholz, H.; Roepke, G.

    2010-01-01

    Complete text of publication follows. X-ray emissions in the keV energy range have shown to be suitable radiation to investigate the properties of laser-created solid-density plasmas. We use the modifications of inner shell transitions due to the environment to characterize these plasmas. A theoretical treatment of spectral line profiles based on a self-consistent ion sphere model is applied on moderately ionized mid-Z materials, such as titanium, silicon and chlorine. We observe large contributions of satellite transitions due to M-shell ionization and excitation. To determine the composition a mixture of various excited and ionized ionic states embedded in a plasma has to be considered. Plasma polarization effects that cause shifts of the emission and ionization energies are taken into account. K-line profiles are calculated for bulk temperatures up to 100 eV and free electron densities up to 10 24 cm -3 in order to analyze recent measurements with respect to the plasma parameters of electron heated target regions. Moreover, in high-intensity laser-matter interactions, inevitable prepulses are likely to create preplasma and shocks within the target before the main pulse arrives. We investigate the influence of density gradients due to prepulses on the spectral profiles. Further, radial bulk temperature distributions as well the composition of the created warm dense matter are inferred.

  15. High energy plasma accelerators

    International Nuclear Information System (INIS)

    Tajima, T.

    1985-05-01

    Colinear intense laser beams ω 0 , kappa 0 and ω 1 , kappa 1 shone on a plasma with frequency separation equal to the electron plasma frequency ω/sub pe/ are capable of creating a coherent large longitudinal electric field E/sub L/ = mc ω/sub pe//e of the order of 1GeV/cm for a plasma density of 10 18 cm -3 through the laser beat excitation of plasma oscillations. Accompanying favorable and deleterious physical effects using this process for a high energy beat-wave accelerator are discussed: the longitudinal dephasing, pump depletion, the transverse laser diffraction, plasma turbulence effects, self-steepening, self-focusing, etc. The basic equation, the driven nonlinear Schroedinger equation, is derived to describe this system. Advanced accelerator concepts to overcome some of these problems are proposed, including the plasma fiber accelerator of various variations. An advanced laser architecture suitable for the beat-wave accelerator is suggested. Accelerator physics issues such as the luminosity are discussed. Applications of the present process to the current drive in a plasma and to the excitation of collective oscillations within nuclei are also discussed

  16. Application of a high-density gas laser target to the physics of x-ray lasers and coronal plasmas

    International Nuclear Information System (INIS)

    Pronko, J.G.; Kohler, D.

    1996-01-01

    An experiment has been proposed to investigate a photopumped x-ray laser approach using a novel, high-density, laser heated supersonic gas jet plasma to prepare the lasant plasma. The scheme uses the He- like sodium 1.10027 nm line to pump the He-like neon 1s-4p transition at 1.10003 nm with the lasing transitions between the n=4 to n=2,3 states and the n=3 to n=2 state at 5.8 nm, 23.0 nm, and 8.2 nm, respectively. The experiment had been proposed in 1990 and funding began Jan. 1991; however circumstances made it impossible to pursue the research over the past 5 years, and it was decided not to pursue the research any further

  17. Improving the bonding between henequen fibers and high density polyethylene using atmospheric pressure ethylene-plasma treatments

    Directory of Open Access Journals (Sweden)

    A. Aguilar-Rios

    2014-07-01

    Full Text Available In order to improve the bonding between henequen fibers (Agave fourcroydes and High Density Polyethylene (HDPE, they were treated in an ethylene-dielectric barrier discharge (DBD plasma operating at atmospheric pressure. A 23 factorial experimental design was used to study the effects of the plasma operational parameters, namely, frequency, flow rate and exposure time, over the fiber tensile mechanical properties and its adhesion to HDPE. The fiber-matrix Interfacial Shear Strength (IFSS was evaluated by means of the single fiber pull-out test. The fiber surface chemical changes were assessed by photoacoustic Fourier transform infrared spectroscopy (PAS-FTIR and the changes in surface morphology with scanning electron microscopy (SEM. The results indicate that individual operational parameters in the DBD plasma treatment have different effects on the tensile properties of the henequen fibers and on its bonding to HDPE. The SEM results show that the plasma treatment increased the roughness of the fiber surface. The FTIR result seems to indicate the presence of a hydrocarbon-like polymer film, bearing some vinyl groups deposited onto the fibers. These suggests that the improvement in the henequen-HDPE bonding could be the result of the enhancement of the mechanical interlocking, due the increment in roughness, and the possible reaction of the vinyl groups on the film deposited onto the fiber with the HDPE.

  18. High Efficacy but Low Potency of δ-Opioid Receptor-G Protein Coupling in Brij-58-Treated, Low-Density Plasma Membrane Fragments.

    Science.gov (United States)

    Roubalova, Lenka; Vosahlikova, Miroslava; Brejchova, Jana; Sykora, Jan; Rudajev, Vladimir; Svoboda, Petr

    2015-01-01

    HEK293 cells stably expressing PTX-insensitive δ-opioid receptor-Gi1α (C351I) fusion protein were homogenized, treated with low concentrations of non-ionic detergent Brij-58 at 0°C and fractionated by flotation in sucrose density gradient. In optimum range of detergent concentrations (0.025-0.05% w/v), Brij-58-treated, low-density membranes exhibited 2-3-fold higher efficacy of DADLE-stimulated, high-affinity [32P]GTPase and [35S]GTPγS binding than membranes of the same density prepared in the absence of detergent. The potency of agonist DADLE response was significantly decreased. At high detergent concentrations (>0.1%), the functional coupling between δ-opioid receptors and G proteins was completely diminished. The same detergent effects were measured in plasma membranes isolated from PTX-treated cells. Therefore, the effect of Brij-58 on δ-opioid receptor-G protein coupling was not restricted to the covalently bound Gi1α within δ-opioid receptor-Gi1α fusion protein, but it was also valid for PTX-sensitive G proteins of Gi/Go family endogenously expressed in HEK293 cells. Characterization of the direct effect of Brij-58 on the hydrophobic interior of isolated plasma membranes by steady-state anisotropy of diphenylhexatriene (DPH) fluorescence indicated a marked increase of membrane fluidity. The time-resolved analysis of decay of DPH fluorescence by the "wobble in cone" model of DPH motion in the membrane indicated that the exposure to the increasing concentrations of Brij-58 led to a decreased order and higher motional freedom of the dye. Limited perturbation of plasma membrane integrity by low concentrations of non-ionic detergent Brij-58 results in alteration of δ-OR-G protein coupling. Maximum G protein-response to agonist stimulation (efficacy) is increased; affinity of response (potency) is decreased. The total degradation plasma membrane structure at high detergent concentrations results in diminution of functional coupling between

  19. Analysis of plasma channels in mm-scale plasmas formed by high intensity laser beams

    International Nuclear Information System (INIS)

    Murakami, R; Habara, H; Iwawaki, T; Uematsu, Y; Tanaka, K A; Ivancic, S; Anderson, K; Haberberger, D; Stoeckl, C; Theobald, W; Sakagami, H

    2016-01-01

    A plasma channel created by a high intensity infrared laser beam was observed in a long scale-length plasma (L ∼ 240 μm) with the angular filter refractometry technique, which indicated a stable channel formation up to the critical density. We analyzed the observed plasma channel using a rigorous ray-tracing technique, which provides a deep understanding of the evolution of the channel formation. (paper)

  20. Operational characteristics of the high flux plasma generator magnum-PSI

    NARCIS (Netherlands)

    Van Eck, H.J.N.; Abrams, T.; Van Den Berg, M.A.; Brons, S.D.N.; Van Eden, G.G.; Jaworski, M.A.; Kaita, R.; Van Der Meiden, H.J.; Morgan, T.W.; van de Pol, Marc J.; Scholten, J.; Smeets, P.H.M.; De Temmerman, G.; De Vries, P.C.; Zeijlmans Van Emmichoven, P.A.

    2014-01-01

    In Magnum-PSI (MAgnetized plasma Generator and NUMerical modeling for Plasma Surface Interactions), the high density, low temperature plasma of a wall stabilized dc cascaded arc is confined to a magnetized plasma beam by a quasi-steady state axial magnetic field up to 1.3 T. It aims at conditions

  1. Effects of low-dose simvastatin on the distribution of plasma cholesterol and oxidized low-density lipoprotein in three ultra-centrifugally separated low-density lipoprotein subfractions: 12- month, open-label trial.

    Science.gov (United States)

    Homma, Yasuhiko; Michishita, Ichiro; Hayashi, Hiroshi; Shigematsu, Hiroshi

    2010-10-27

    The effects of statins on the distribution of oxidized LDL in plasma LDL subfractions have not been well defined. Effects of 12-month treatment with low-dose simvastatin on the distribution of cholesterol and oxidized LDL in 3 ultracentrifugally separated plasma LDL subfractions were compared in patients with hypercholesterolemia. Simvastatin was administered to 30 hypercholesterolemic subjects for 12 months at an initial dose of 5 mg/day, which was increased to 20 mg/day via 10mg/day to decrease plasma LDL-cholesterol (C) lower than 130 mg/dL. Simvastatin dose was fixed after 3 months of treatment. The amounts of cholesterol and oxidized LDL in 3 ultracentrifugally separated plasma LDL subfractions were compared between 0 and 12 months of treatment. The distribution of ox-LDL skewed to denser LDL fractions, compared with cholesterol in plasma LDL subfractions. Plasma cholesterol in low-density LDL, medium-density LDL and high-density LDL decreased significantly by 31%, 30%, and 25%, respectively (pLDL was decreased from 70 U/L to 56 U/L in medium-density LDL (p=0.042). Oxidized LDL in low-density LDL and high-density LDL did not change significantly after 12 months of treatment. Treatment with low-dose simvastatin decreased plasma cholesterol in 3 LDL subfractions and oxidized LDL in medium-density LDL. The decrease of oxidized LDL seemed to be not due to the decrease of cholesterol in plasma LDL subfractions because the decreasing patterns of cholesterol and ox-LDL were different in 3 LDL subfractions.

  2. Emission spectra from super-critical rippled plasma density profiles illuminated by intense laser pulses

    International Nuclear Information System (INIS)

    Ondarza R, R.; Boyd, T.J.M.

    2000-01-01

    High-order harmonic emission from the interaction of intense femtosecond laser pulses with super-critical plasmas characterized by a rippled density profile at the vacuum-plasma interface has been observed from particle-in-cell (PIC) simulations. A plasma simulation box several laser wavelengths in extent was prepared with a rippled density of a fraction of a laser wavelength. Emission spectra at the very initial stage of the interaction were recorded with spectral characteristics dissimilar to those previously reported in the literature. The reflected light spectra were characterized by a strong emission at the plasma line and by a series of harmonics at multiples of the ripple frequency. Harmonic spectra were obtained for different values of the plasma ripple frequency. In all cases the harmonics were emitted at the precise multiple harmonic number of the ripple frequency. Another important feature apparent from the simulations was that the emission peaks appeared to havea complex structure as compared with those for unrippled plasmas. For the cases when the plasma was rippled the peaks that corresponded to the multiples of the rippled density typically showed a double peak for the first few harmonics. The reflected emission plots for the main laser pulse showed strong emission at the plasma frequency and at multiples of that frequency as reported by the authors in the literature. (Author)

  3. High Energy Density Physics and Exotic Acceleration Schemes

    International Nuclear Information System (INIS)

    Cowan, T.; Colby, E.

    2005-01-01

    The High Energy Density and Exotic Acceleration working group took as our goal to reach beyond the community of plasma accelerator research with its applications to high energy physics, to promote exchange with other disciplines which are challenged by related and demanding beam physics issues. The scope of the group was to cover particle acceleration and beam transport that, unlike other groups at AAC, are not mediated by plasmas or by electromagnetic structures. At this Workshop, we saw an impressive advancement from years past in the area of Vacuum Acceleration, for example with the LEAP experiment at Stanford. And we saw an influx of exciting new beam physics topics involving particle propagation inside of solid-density plasmas or at extremely high charge density, particularly in the areas of laser acceleration of ions, and extreme beams for fusion energy research, including Heavy-ion Inertial Fusion beam physics. One example of the importance and extreme nature of beam physics in HED research is the requirement in the Fast Ignitor scheme of inertial fusion to heat a compressed DT fusion pellet to keV temperatures by injection of laser-driven electron or ion beams of giga-Amp current. Even in modest experiments presently being performed on the laser-acceleration of ions from solids, mega-amp currents of MeV electrons must be transported through solid foils, requiring almost complete return current neutralization, and giving rise to a wide variety of beam-plasma instabilities. As keynote talks our group promoted Ion Acceleration (plenary talk by A. MacKinnon), which historically has grown out of inertial fusion research, and HIF Accelerator Research (invited talk by A. Friedman), which will require impressive advancements in space-charge-limited ion beam physics and in understanding the generation and transport of neutralized ion beams. A unifying aspect of High Energy Density applications was the physics of particle beams inside of solids, which is proving to

  4. PULSION registered HP: Tunable, High Productivity Plasma Doping

    International Nuclear Information System (INIS)

    Felch, S. B.; Torregrosa, F.; Etienne, H.; Spiegel, Y.; Roux, L.; Turnbaugh, D.

    2011-01-01

    Plasma doping has been explored for many implant applications for over two decades and is now being used in semiconductor manufacturing for two applications: DRAM polysilicon counter-doping and contact doping. The PULSION HP is a new plasma doping tool developed by Ion Beam Services for high-volume production that enables customer control of the dominant mechanism--deposition, implant, or etch. The key features of this tool are a proprietary, remote RF plasma source that enables a high density plasma with low chamber pressure, resulting in a wide process space, and special chamber and wafer electrode designs that optimize doping uniformity.

  5. Interaction of energetic ions with high-density plasmas

    International Nuclear Information System (INIS)

    Gericke, D.O.; Edie, D.; Grinenko, A.; Vorberger, J.

    2010-01-01

    Complete text of publication follows. The talk will review the importance of energetic ions in different inertial confinement fusion scenarios: i) heavy ion beams are very efficient drivers that can deliver the energy for compression in indirect as well as direct drive approaches; ii) the interaction of α-particles, that are created in a burning plasma, with the surrounding cold plasma is essential for creating a burn wave; iii) laser-produced ion beams are also a strong candidate to create the hot spot needed for fast ignition. In all applications the ions interact with dense matter that is characterized by strongly coupled ions and (possibly) partially degenerate electrons. Moreover, the coupling between beam ions and target electrons can be strong as well. Under these conditions, standard approaches for the beam-plasma interactions process are known to fail. The presentation will demonstrate how advanced models for the energy loss of ions in dense plasmas can resolve the issues mentioned above. These models are largely built on quantum kinetic theory that is able to describe degeneracy and strong coupling in a systematic way. In particular, strong interactions require a quantum description for electron-ion collisions in dense plasma environments, which is done by direct solutions of the Schroedinger equation. Degeneracy and collective excitations can be included via the Lenard-Balescu description where strong interactions may be included via a pseudo-potential approach. Finally, results are shown for all three fusion applications described above. The effects related to strong coupling and degeneracy mainly concern the end of the stopping range where the beam ion dose not have enough energy to excite all possible degrees of freedom and, thus, certain processes are frozen out. However, we also find a significant reduction of the range for swift heavy ions in the GeV-range when stopping in dense matter is considered. The stopping range of α-particles in the

  6. The Stark-crossing method for the simultaneous determination of the electron temperature and density in plasmas

    International Nuclear Information System (INIS)

    Torres, J; Carabano, O; Fernandez, M; Rubio, S; Alvarez, R; Rodero, A; Lao, C; Quintero, M C; Gamero, A; Sola, A

    2006-01-01

    The use of the Stark broadening of Balmer lines spontaneously emitted by atmospheric-pressure plasmas as a method to determine both the electron density and temperature in high-pressure plasmas is discussed in this paper. This method is applied to argon and helium plasmas produced in microwave discharges. Especially for Ar plasmas, valuable and reliable results are obtained

  7. Measurements of Laser Plasma Instability (LPI) and Electron Density/Temperature Profiles in Plasmas Produced by the Nike KrF Laser

    Science.gov (United States)

    Oh, Jaechul; Weaver, J. L.; Serlin, V.; Obenschain, S. P.

    2016-10-01

    We will present results of simultaneous measurements of LPI-driven light scattering and density/temperature profiles in CH plasmas produced by the Nike krypton fluoride laser (λ = 248 nm). The primary diagnostics for the LPI measurement are time-resolved spectrometers with absolute intensity calibration in spectral ranges relevant to the optical detection of stimulated Raman scattering or two plasmon decay. The spectrometers are capable of monitoring signal intensity relative to thermal background radiation from plasma providing a useful way to analyze LPI initiation. For further understanding of LPI processes, the recently implemented grid image refractometer (Nike-GIR)a is used to measure the coronal plasma profiles. In this experiment, Nike-GIR is equipped with a 5th harmonic probe laser (λ = 213 nm) in attempt to probe into a high density region over the previous peak density with λ = 263 nm probe light ( 4 ×1021 cm-3). The LPI behaviors will be discussed with the measured data sets. Work supported by DoE/NNSA.

  8. Simulation of density measurements in plasma wakefields using photon acceleration

    Directory of Open Access Journals (Sweden)

    Muhammad Firmansyah Kasim

    2015-03-01

    Full Text Available One obstacle in plasma accelerator development is the limitation of techniques to diagnose and measure plasma wakefield parameters. In this paper, we present a novel concept for the density measurement of a plasma wakefield using photon acceleration, supported by extensive particle in cell simulations of a laser pulse that copropagates with a wakefield. The technique can provide the perturbed electron density profile in the laser’s reference frame, averaged over the propagation length, to be accurate within 10%. We discuss the limitations that affect the measurement: small frequency changes, photon trapping, laser displacement, stimulated Raman scattering, and laser beam divergence. By considering these processes, one can determine the optimal parameters of the laser pulse and its propagation length. This new technique allows a characterization of the density perturbation within a plasma wakefield accelerator.

  9. Ghrelin plasma levels, gastric ghrelin cell density and bone mineral density in women with rheumatoid arthritis.

    Science.gov (United States)

    Maksud, F A N; Kakehasi, A M; Guimarães, M F B R; Machado, C J; Barbosa, A J A

    2017-05-18

    Generalized bone loss can be considered an extra-articular manifestation of rheumatoid arthritis (RA) that may lead to the occurrence of fractures, resulting in decreased quality of life and increased healthcare costs. The peptide ghrelin has demonstrated to positively affect osteoblasts in vitro and has anti-inflammatory actions, but the studies that correlate ghrelin plasma levels and RA have contradictory results. We aimed to evaluate the correlation between total ghrelin plasma levels, density of ghrelin-immunoreactive cells in the gastric mucosa, and bone mineral density (BMD) in twenty adult women with established RA with 6 months or more of symptoms (mean age of 52.70±11.40 years). Patients with RA presented higher ghrelin-immunoreactive cells density in gastric mucosa (P=0.008) compared with healthy females. There was a positive relationship between femoral neck BMD and gastric ghrelin cell density (P=0.007). However, these same patients presented a negative correlation between plasma ghrelin levels and total femoral BMD (P=0.03). The present results indicate that ghrelin may be involved in bone metabolism of patients with RA. However, the higher density of ghrelin-producing cells in the gastric mucosa of these patients does not seem to induce a corresponding elevation in the plasma levels of this peptide.

  10. Ghrelin plasma levels, gastric ghrelin cell density and bone mineral density in women with rheumatoid arthritis

    Directory of Open Access Journals (Sweden)

    F.A.N. Maksud

    Full Text Available Generalized bone loss can be considered an extra-articular manifestation of rheumatoid arthritis (RA that may lead to the occurrence of fractures, resulting in decreased quality of life and increased healthcare costs. The peptide ghrelin has demonstrated to positively affect osteoblasts in vitro and has anti-inflammatory actions, but the studies that correlate ghrelin plasma levels and RA have contradictory results. We aimed to evaluate the correlation between total ghrelin plasma levels, density of ghrelin-immunoreactive cells in the gastric mucosa, and bone mineral density (BMD in twenty adult women with established RA with 6 months or more of symptoms (mean age of 52.70±11.40 years. Patients with RA presented higher ghrelin-immunoreactive cells density in gastric mucosa (P=0.008 compared with healthy females. There was a positive relationship between femoral neck BMD and gastric ghrelin cell density (P=0.007. However, these same patients presented a negative correlation between plasma ghrelin levels and total femoral BMD (P=0.03. The present results indicate that ghrelin may be involved in bone metabolism of patients with RA. However, the higher density of ghrelin-producing cells in the gastric mucosa of these patients does not seem to induce a corresponding elevation in the plasma levels of this peptide.

  11. Characteristics of (Ti,Ta)N thin films prepared by using pulsed high energy density plasma

    Energy Technology Data Exchange (ETDEWEB)

    Feng Wenran [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100080 (China); Chen Guangliang [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100080 (China); Li Li [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100080 (China); Lv Guohua [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100080 (China); Zhang Xianhui [College of Science, Changchun University of Science and Technology, Changchun 130022, Jilin Province (China); Niu Erwu [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100080 (China); Liu Chizi [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100080 (China); Yang Size [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100080 (China)

    2007-07-21

    (Ti,Ta)N films were prepared by pulsed high energy density plasma (PHEDP) from a coaxial gun in N{sub 2} gas. The coaxial gun is composed of a tantalum inner electrode and a titanium outer one. Material characteristics of the (Ti,Ta)N film were investigated by x-ray photoelectron spectroscopy and x-ray diffraction. The microstructure of the film was observed by a scanning electron microscope. The elemental composition and the interface of the film/substrate were analysed using Auger electron spectrometry. Our results suggest that the binary metal nitride film (Ti,Ta)N, can be prepared by PHEDP. It also shows that dense nanocrystalline (Ti,Ta)N film can be achieved.

  12. Confinement properties of high energy density plasmas in the Wisconsin levitated octupole

    International Nuclear Information System (INIS)

    Twichell, J.C.

    1984-08-01

    The confinement of particles and energy is critically dependent on the plasma-wall interaction. Results of a study detailing this interaction are presented. High power ICRF heated and gun afterglow plasmas were studied to detail the mechanisms determining particle and energy confinement. An extensive zero-D simulation code is used to assist in interpreting the experimental data. Physically reasonable models for plasma surface interactions, time dependent coronal treatment of impurities and multiple region treatment of neutrals are used in modeling the plasma. Extensive diagnostic data are used to verify the model. Non-heated plasmas decay from 28 to 3 eV allowing clear identification of wall impact energy thresholds for desorption and particle reflection. The charge state distribution of impurities verifies the reflux to plasma diffusion rate ratio. Close agreement between the simulation and experimental data is found

  13. Analytic theory of the Rayleigh-Taylor instability in a uniform density plasma-filled ion diode

    International Nuclear Information System (INIS)

    Hussey, T.W.; Payne, S.S.

    1987-04-01

    The J-vector x B-vector forces associated with the surface current of a plasma-filled ion diode will accelerate this plasma fill toward the anode surface. It is well known that such a configuration with a high I is susceptible to the hydromagnetic Rayleigh-Taylor instability in certain geometries. A number of ion diode plasma sources have been proposed, most of which have a falling density going away from the wall. A somewhat more unstable case, however, is that of uniform density. In this report we attempt to establish an upper limit on this effect with a simple analytic model in which a uniform-density plasma is accelerated by the magnetic field anticipated in a PBFA-II diode. We estimate the number of linear e-foldings experienced by an unstable surface as well as the most damaging wavelength initial perturbation. This model, which accounts approximately for stabilization due to field diffusion, suggests that even with a uniform fill, densities in excess of a few 10 15 are probably not damaged by the instability. In addition, even lower densities might be tolerated if perturbations near the most damaging wavelength can be kept very small

  14. Interaction of a high-power laser pulse with supercritical-density porous materials

    International Nuclear Information System (INIS)

    Gus'kov, Sergei Yu; Rozanov, Vladislav B; Caruso, A; Strangio, C

    2000-01-01

    The properties of a nonequilibrium plasma produced by high-power laser pulses with intensities I L ∼ 10 14 -10 15 W cm -2 irradiating plane targets made of a porous material are investigated. The mean density of matter in targets was substantially higher than the critical plasma density corresponding to a plasma resonance. The density of porous material was ρ a ∼ 1 - 20 mg cm -3 , whereas the critical density at the wavelength of incident radiation was ρ cr ∼ 3 mg cm -3 . An anomalously high absorption (no less than 80%) of laser radiation inside a target was observed. Within the first 3 - 4 ns of interaction, the plasma flow through the irradiated target surface in the direction opposite of the direction of the laser beam was noticeably suppressed. Only about 5% of absorbed laser energy was transformed into the energy of particles in this flow during the laser pulse. Absorbed energy was stored as the internal plasma energy at this stage (the greenhouse effect). Then, this energy was transformed, similar to a strong explosion, into the energy of a powerful hydrodynamic flow of matter surrounding the absorption region. The specific features of the formation and evolution of a nonequilibrium laser-produced plasma in porous media are theoretically analysed. This study allows the results of experiments to be explained. In particular, we investigated absorption of laser radiation in the bulk of a target, volume evaporation of porous material, the expansion of a laser-produced plasma inside the pores, stochastic collisions of plasma flows, and hydrothermal energy dissipation. These processes give rise to long-lived oscillations of plasma density and lead to the formation of an internal region where laser radiation is absorbed. (invited paper)

  15. Assessment of plasma impedance probe for measuring electron density and collision frequency in a plasma with spatial and temporal gradients

    International Nuclear Information System (INIS)

    Hopkins, Mark A.; King, Lyon B.

    2014-01-01

    Numerical simulations and experimental measurements were combined to determine the ability of a plasma impedance probe (PIP) to measure plasma density and electron collision frequency in a plasma containing spatial gradients as well as time-varying oscillations in the plasma density. A PIP is sensitive to collision frequency through the width of the parallel resonance in the Re[Z]-vs.-frequency characteristic, while also being sensitive to electron density through the zero-crossing of the Im[Z]-vs.-frequency characteristic at parallel resonance. Simulations of the probe characteristic in a linear plasma gradient indicated that the broadening of Re[Z] due to the spatial gradient obscured the broadening due to electron collision frequency, preventing a quantitative measurement of the absolute collision frequency for gradients considered in this study. Simulation results also showed that the PIP is sensitive to relative changes in electron collision frequency in a spatial density gradient, but a second broadening effect due to time-varying oscillations made collision frequency measurements impossible. The time-varying oscillations had the effect of causing multiple zero-crossings in Im[Z] at parallel resonance. Results of experiments and simulations indicated that the lowest-frequency zero-crossing represented the lowest plasma density in the oscillations and the highest-frequency zero-crossing represented the highest plasma density in the oscillations, thus the PIP probe was found to be an effective tool to measure both the average plasma density as well as the maximum and minimum densities due to temporal oscillations

  16. Computational Simulation of High Energy Density Plasmas

    Science.gov (United States)

    2009-10-30

    the imploding liner. The PFS depends on a lithium barrier foil slowing the advance of deuterium up the coaxial gun to the corner. There the plasma ...the coaxial gun section, and Figure 4 shows the physical state of the plasma just prior to pinch. Figure 5 shows neutron yield reaching 1014 in this...details the channel geometry between the center cylinder and coaxial gas gun . The deuterium injection starts when the pressure of the deuterium gas in

  17. Experimental study of high beta toroidal plasmas

    International Nuclear Information System (INIS)

    Kellman, A.G.

    1983-09-01

    Experiments on the Wisconsin Levitated Toroidal Octupole have produced a wide range of stable high β plasmas with β significantly above single fluid MHD theory predictions. A stable β approx. 8% plasma, twice the fluid limit, is obtained with 5 rho/sub i/ approx. L/sub n/ and tau/sub β/ approx. = 6000 tau/sub Alfven/ = 600 μsec. The enhanced stability is explained with a kinetic treatment that includes the effect of finite ion gyroradius which couples the ballooning mode to an ion drift wave. In a more collisional, large gyroradius (2 rho/sub i/ approx. L/sub n/) regime, a stable β approx. 35% plasma is obtained with a decay time of 1000 Alfven times. Measurement of the equilibrium magnetic field in this regime indicates that the diamagnetic current density is five times smaller than predicted by ideal MHD, probably due to ion gyroviscosity. Particle transport is anomalous and ranges from agreement with the classical diffusion rate at the highest beta, lowest field plasma (B/sub P/ = 200 G), to thirteen times the classical rate in a β=11%, high field plasma (B/sub P/ = 860 G) where the level of enhancement increase with magnetic field. Fluctuations in density, electrostatic potential, and magnetic field have been studied in plasmas with β from 0.1% to 40%

  18. Experimental investigation of coaxial-gun-formed plasmas injected into a background transverse magnetic field or plasma

    Science.gov (United States)

    Zhang, Yue; Fisher, Dustin M.; Gilmore, Mark; Hsu, Scott C.; Lynn, Alan G.

    2018-05-01

    Injection of coaxial-gun-formed magnetized plasmas into a background transverse vacuum magnetic field or into a background magnetized plasma has been studied in the helicon-cathode (HelCat) linear plasma device at the University of New Mexico [M. Gilmore et al., J. Plasma Phys. 81, 345810104 (2015)]. A magnetized plasma jet launched into a background transverse magnetic field shows emergent kink stabilization of the jet due to the formation of a sheared flow in the jet above the kink stabilization threshold 0.1kVA [Y. Zhang et al., Phys. Plasmas 24, 110702 (2017)]. Injection of a spheromak-like plasma into a transverse background magnetic field led to the observation of finger-like structures on the side with a stronger magnetic field null between the spheromak and the background field. The finger-like structures are consistent with magneto-Rayleigh-Taylor instability. Jets or spheromaks launched into a background, low-β magnetized plasma show similar behavior as above, respectively, in both cases.

  19. Observations of electron heating during 28 GHz microwave power application in proto-MPEX

    Science.gov (United States)

    Biewer, T. M.; Bigelow, T. S.; Caneses, J. F.; Diem, S. J.; Green, D. L.; Kafle, N.; Rapp, J.; Proto-MPEX Team

    2018-02-01

    The Prototype Material Plasma Exposure Experiment at the Oak Ridge National Laboratory utilizes a variety of power systems to generate and deliver a high heat flux plasma onto the surface of material targets. In the experiments described here, a deuterium plasma is produced via a ˜100 kW, 13.56 MHz RF helicon source, to which ˜20 kW of 28 GHz microwave power is applied. The electron density and temperature profiles are measured using a Thomson scattering (TS) diagnostic, and indicate that the electron density is centrally peaked. In the core of the plasma column, the electron density is higher than the cut-off density (˜0.9 × 1019 m-3) for the launched mixture of X- and O-mode electron cyclotron heating waves to propagate. TS measurements indicate electron temperature increases from ˜5 eV to ˜20 eV during 28 GHz power application when the neutral deuterium pressure is reduced below 0.13 Pa (˜1 mTorr.).

  20. High-energy-density physics researches based on pulse power technology

    International Nuclear Information System (INIS)

    Horioka, Kazuhiko; Nakajima, Mitsuo; Kawamura, Tohru; Sasaki, Toru; Kondo, Kotaro; Yano, Yuuri

    2006-01-01

    Plasmas driven by pulse power device are of interest, concerning the researches on high-energy-density (HED) physics. Dense plasmas are produced using pulse power driven exploding discharges in water. Experimental results show that the wire plasma is tamped and stabilized by the surrounding water and it evolves through a strongly coupled plasma state. A shock-wave-heated, high temperature plasma is produced in a compact pulse power device. Experimental results show that strong shock waves can be produced in the device. In particular, at low initial pressure condition, the shock Mach number reaches 250 and this indicates that the shock heated region is dominated by radiation processes. (author)

  1. Comparative study of the electron density profiles in the compact torus plasma merging experiments

    International Nuclear Information System (INIS)

    Hayashiya, Hitoshi; Asaka, Takeo; Katsurai, Makoto

    2003-01-01

    Following two previous papers on the comparative studies of the electron density distributions for a single compact torus (CT) and a spherical tokamak (ST), and for the a single ST and a merged ST, a comparative study on the dynamics of the electron density profile and after the CT and ST plasma merging process was performed. The sharpness of the peak in the electron density profile around the mid-plane just after the merging of CT with a low safety factor (q value) such as RFP or spheromak is found to be related to the speed of the magnetic axis during the plasma merging process. It is also found that the electron density gradient near the plasma edge in a high q ST is larger than that of a low q CT. High q ST is found to be provided with the magnetic structure which is able to sustain a large thermal pressure by a strong j x B force. Despite these differences in the electron density profile between CT and ST during merging, the confinement characteristics evaluated from the number of electrons confined within the magnetic separatrix after the completion of the merging is almost similar between in the merging CT and in the merging ST. For all configurations, the electron density profiles after the completion of the merging are analogous to those of the corresponding single configuration produced without the merging process. (author)

  2. Electron density measurement of non-equilibrium atmospheric pressure plasma using dispersion interferometer

    Science.gov (United States)

    Yoshimura, Shinji; Kasahara, Hiroshi; Akiyama, Tsuyoshi

    2017-10-01

    Medical applications of non-equilibrium atmospheric plasmas have recently been attracting a great deal of attention, where many types of plasma sources have been developed to meet the purposes. For example, plasma-activated medium (PAM), which is now being studied for cancer treatment, has been produced by irradiating non-equilibrium atmospheric pressure plasma with ultrahigh electron density to a culture medium. Meanwhile, in order to measure electron density in magnetic confinement plasmas, a CO2 laser dispersion interferometer has been developed and installed on the Large Helical Device (LHD) at the National Institute for Fusion Science, Japan. The dispersion interferometer has advantages that the measurement is insensitive to mechanical vibrations and changes in neutral gas density. Taking advantage of these properties, we applied the dispersion interferometer to electron density diagnostics of atmospheric pressure plasmas produced by the NU-Global HUMAP-WSAP-50 device, which is used for producing PAM. This study was supported by the Grant of Joint Research by the National Institutes of Natural Sciences (NINS).

  3. Electron density measurement in gas discharge plasmas by optical and acoustic methods

    International Nuclear Information System (INIS)

    Biagioni, A.; Anania, M.P.; Bellaveglia, M.; Chiadroni, E.; Giovenale, D. Di; Pirro, G. Di; Ferrario, M.; Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F.; Cianchi, A.; Filippi, F.; Mostacci, A.; Zigler, A.

    2016-01-01

    Plasma density represents a very important parameter for both laser wakefield and plasma wakefield acceleration, which use a gas-filled capillary plasma source. Several techniques can be used to measure the plasma density within a capillary discharge, which are mainly based on optical diagnostic methods, as for example the well-known spectroscopic method using the Stark broadening effect. In this work, we introduce a preliminary study on an alternative way to detect the plasma density, based on the shock waves produced by gas discharge in a capillary. Firstly, the measurements of the acoustic spectral content relative to the laser-induced plasmas by a solid target allowed us to understand the main properties of the acoustic waves produced during this kind of plasma generation; afterwards, we have extended such acoustic technique to the capillary plasma source in order to calibrate it by comparison with the stark broadening method.

  4. Evolution of plasma wakes in density up- and down-ramps

    Science.gov (United States)

    Zhang, C. J.; Joshi, C.; Xu, X. L.; Mori, W. B.; Li, F.; Wan, Y.; Hua, J. F.; Pai, C. H.; Wang, J.; Lu, W.

    2018-02-01

    The time evolution of plasma wakes in density up- and down-ramps is examined through theory and particle-in-cell simulations. Motivated by observation of the reversal of a linear plasma wake in a plasma density upramp in a recent experiment (Zhang et al 2017 Phys. Rev. Lett. 119 064801) we have examined the behaviour of wakes in plasma ramps that always accompany any plasma source used for plasma-based acceleration. In the up-ramp case it is found that, after the passage of the drive pulse, the wavnumber/wavelength of the wake starts to decrease/increase with time until it eventually tends to zero/infinity, then the wake reverses its propagation direction and the wavenunber/wavelength of the wake begins to increase/shrink. The evolutions of the wavenumber and the phase velocity of the wake as functions of time are shown to be significantly different in the up-ramp and the down-ramp cases. In the latter case the wavenumber of the wake at a particular position in the ramp increases until the wake is eventually damped. It is also shown that the waveform of the wake at a particular time after being excited can be precisely controlled by tuning the initial plasma density profile, which may enable a new type of plasma-based ultrafast optics.

  5. Probing a dusty magnetized plasma with self-excited dust-density waves

    Science.gov (United States)

    Tadsen, Benjamin; Greiner, Franko; Piel, Alexander

    2018-03-01

    A cloud of nanodust particles is created in a reactive argon-acetylene plasma. It is then transformed into a dusty magnetized argon plasma. Plasma parameters are obtained with the dust-density wave diagnostic introduced by Tadsen et al. [Phys. Plasmas 22, 113701 (2015), 10.1063/1.4934927]. A change from an open to a cylindrically enclosed nanodust cloud, which was observed earlier, can now be explained by a stronger electric confinement if a vertical magnetic field is present. Using two-dimensional extinction measurements and the inverse Abel transform to determine the dust density, a redistribution of the dust with increasing magnetic induction is found. The dust-density profile changes from being peaked around the central void to being peaked at an outer torus ring resulting in a hollow profile. As the plasma parameters cannot explain this behavior, we propose a rotation of the nanodust cloud in the magnetized plasma as the origin of the modified profile.

  6. Do plasma proteins distinguish between liposomes of varying charge density?

    KAUST Repository

    Capriotti, Anna Laura

    2012-03-01

    Cationic liposomes (CLs) are one of the most employed nonviral nanovector systems in gene therapy. However, their transfection efficiency is strongly affected by interactions with plasma components, that lead to the formation of a "protein corona" onto CL surface. The interactions between nanoparticles entering the body and biomolecules have an essential role for their biodistribution. Because the knowledge of proteins adsorbed onto vector surface could be useful in the screening of new, more efficient and more biocompatible liposomal formulations, the behavior of three CLs with different membrane charge densities was investigated. The proteins of the three coronas were identified by nano-liquid chromatography-tandem mass spectrometry, and quantified with label-free spectral counting strategy. Fibrinogen displayed higher association with CLs with high membrane charge density, while apolipoproteins and C4b-binding protein with CLs with low membrane charge density. These results are discussed in terms of the different lipid compositions of CLs and may have a deep biological impact for in vivo applications. Surface charge of nanoparticles is emerging as a relevant factor determining the corona composition after interaction with plasma proteins. Remarkably, it is also shown that the charge of the protein corona formed around CLs is strongly related to their membrane charge density. © 2012 Elsevier B.V.

  7. Effect of plasma density profile of tokamak on Kelvin-Helmholtz instability

    International Nuclear Information System (INIS)

    Tang Fulin

    1984-01-01

    The purpose of this paper is to study the effect of radial distribution of plasma density profile of tokamak on Kelvin-Helmholtz instability caused by toroidal rotation. The effect of radial distribution of plasma rotational velocity on stability is also examine for comparison. It is found that within the range of tokamak parameters the only radial distribution of plasma rotational velocity cannot induce Kelvin-Helmholtz instability. On the contrary, when there is a radial distribution of plasma density, i.e. P 01 =P 0 e -tx and V 0 1 = const, plasma becomes unstable, and instability will increase proportionally to the value of t. Meanwhile when the value of t remains constant, the instability growth rate will decrease if P 0 grows or the distance between plasma and wall of container decreases too. It shows that the Kelvin-Helmoltz instability is not only influenced by the steepness of density profile but also by the inertia of plasma in central region, which is helpful for depressing the instability. (author). 5 refs, 4 figs, 2 tabs

  8. Plasma Treated High-Density Polyethylene (HDPE Medpor Implant Immobilized with rhBMP-2 for Improving the Bone Regeneration

    Directory of Open Access Journals (Sweden)

    Jin-Su Lim

    2014-01-01

    Full Text Available We investigate the bone generation capacity of recombinant human bone morphogenetic protein-2 (rhBMP-2 immobilized Medpor surface through acrylic acid plasma-polymerization. Plasma-polymerization was carried out at a 20 W at an acrylic acid flow rate of 7 sccm for 5 min. The plasma-polymerized Medpor surface showed hydrophilic properties and possessed a high density of carboxyl groups. The rhBMP-2 was immobilized with covalently attached carboxyl groups using 1-ethyl-3-(3-dimethylaminopropyl carbodiimide and N-hydroxysuccinimide. Carboxyl groups and rhBMP-2 immobilization on the Medpor surface were identified by Fourier transform infrared spectroscopy. The activity of Medpor with rhBMP-2 immobilized was examined using an alkaline phosphatase assay on MC3T3-E1 cultured Medpor. These results showed that the rhBMP-2 immobilized Medpor increased the level of MC3T3-E1 cell differentiation. These results demonstrated that plasma surface modification has the potential to immobilize rhBMP-2 on polymer implant such as Medpor and can be used for the binding of bioactive nanomolecules in bone tissue engineering.

  9. Balance of ionization and recombination of carbon ions in high density peripheral plasmas of the JT-60 U tokamak

    International Nuclear Information System (INIS)

    Nakano, T.; Kubo, H.; Asakura, N.; Shimizu, K.

    2009-01-01

    In high density and low temperature peripheral plasmas of JT-60 U, i.e. detached divertor plasmas, C III and C IV lines were observed by a visible and VUV spectrometers in order to investigate dominant radiators, radiation power and particle balance between the radiators. An emission peak was found between the inner strike and the X-point. With increasing electron density, the emission peak moved to the X-point with a constant electron temperature of ∼7 eV. In the case the emission peak was located on the X-point, the dominant radiators in the emission peak were C 2+ and C 3+ , which contributed 30% and 60% to the total radiative power. It was found that C 3+ was produced by the ionization of C 2+ and the volume recombination of C 4+ at a similar rates. However, the loss flux of C 3+ was lower by two orders of magnitude than the C 3+ production flux, indicating that another loss mechanism such as transport loss around the X-point was significant.

  10. DENSITY PERTURBATION BY ALFVÉN WAVES IN MAGNETO-PLASMA

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, S.; Moon, Y.-J. [School of Space Research, Kyung Hee University, Yongin, Gyeonggi-Do, 446-701 (Korea, Republic of); Sharma, R. P. [Centre for Energy Studies, Indian Institute of Technology (IIT) Delhi, Hauz Khas, New Delhi, 110016 (India)

    2016-12-20

    In this article, we attempt to investigate the density perturbations along magnetic field by ponderomotive effects due to inertial Alfvén waves (AWs) in auroral ionosphere. For this study, we take high-frequency inertial AWs (pump) and their nonlinear interactions with low-frequency slow modes of AWs in that region. The dynamical equations representing these wave modes are known as the Zakharov like equation, and are solved numerically. From the results presented here, we notice the density perturbations in the direction of background magnetic fields. We also find that the deepest density cavity is associated with the strongest magnetic fields. The main reason for these nonlinear structures could be the ponderomotive effects due to the pump waves. The amplitude of these density structures varies with time until the modulation instability saturates. From our results, we estimate the amplitude of most intense cavity as ∼15% of the unperturbed plasma number density n {sub 0}, which is consistent with the observations. These density structures could be the locations for particle energizations in this region.

  11. Super-high magnetic fields in spatially inhomogeneous plasma

    International Nuclear Information System (INIS)

    Nastoyashchiy, Anatoly F.

    2012-01-01

    The new phenomenon of a spontaneous magnetic field in spatially inhomogeneous plasma is found. The criteria for instability are determined, and both the linear and nonlinear stages of the magnetic field growth are considered; it is shown that the magnetic field can reach a considerable magnitude, namely, its pressure can be comparable with the plasma pressure. Especially large magnetic fields can arise in hot plasma with a high electron density, for example, in laser-heated plasma. In steady-state plasma, the magnetic field can be self-sustaining. The considered magnetic fields may play an important role in thermal insulation of the plasma. (author)

  12. Topics in high-intensity laser plasma interaction

    International Nuclear Information System (INIS)

    Leemans, W.P.

    1991-01-01

    The interaction of high intensity laser pulses with pre-formed and laser-produced plasmas is studied. Through experiments and simulations we have investigated stimulated Compton scattering in preformed plasmas and the plasma physics aspects of tunnel-ionized gases. A theoretical study is presented on the nonlinear dynamics of relativistic plasma waves driven by colinear optical mixing. The electron density-fluctuation spectra induced by stimulated Compton scattering have been directly observed for the first time. A CO2 laser was focused into pre-formed plasmas with densities n(e) varied from 0.4-6 x 10(exp 16) cu cm. The fluctuations corresponding to backscatter were probed using Thomson scattering. At low n(e), the scattered spectra peak at a frequency shift Delta omega is approximately kv e and appears to be in a linear regime. At the highest n(e), a nonlinear saturation of the SCS instability is observed due to a self-induced perturbation of the electron distribution function. Tunnel-ionized plasmas have been studied through experiments and particle simulations. Experimentally, qualitative evidence for plasma temperature control by varying the laser polarization was obtained by the measurement of stimulated Compton scattering fluctuation spectra and x-ray emission from such plasmas. A higher parallel temperature than expected from the single-particle tunneling model was observed. Simulations indicate that stochastic heating and the Weibel instability play an important role in plasma heating in all directions and isotropization. The non-linear dynamics associated with beatwave (Delta omega, Delta k) excited long wavelength plasma waves in the presence of strong, short wavelength density ripple have been examined, using the relativistic Lagrangian oscillator model. This model shows period doubling that roughly follows Feigenbaum scaling, and a transition to chaos

  13. Analysis of line integrated electron density using plasma position data on Korea Superconducting Tokamak Advanced Research

    International Nuclear Information System (INIS)

    Nam, Y. U.; Chung, J.

    2010-01-01

    A 280 GHz single-channel horizontal millimeter-wave interferometer system has been installed for plasma electron density measurements on the Korea Superconducting Tokamak Advanced Research (KSTAR) device. This system has a triangular beam path that does not pass through the plasma axis due to geometrical constraints in the superconducting tokamak. The term line density on KSTAR has a different meaning from the line density of other tokamaks. To estimate the peak density and the mean density from the measured line density, information on the position of the plasma is needed. The information has been calculated from tangentially viewed visible images using the toroidal symmetry of the plasma. Interface definition language routines have been developed for this purpose. The calculated plasma position data correspond well to calculation results from magnetic analysis. With the position data and an estimated plasma profile, the peak density and the mean density have been obtained from the line density. From these results, changes of plasma density themselves can be separated from effects of the plasma movements, so they can give valuable information on the plasma status.

  14. High density internal transport barriers for burning plasma operation

    International Nuclear Information System (INIS)

    Pericoli Ridolfini, V.

    2005-01-01

    One of the proposed ITER scenarios foresees the creation and sustainment of an internal transport barrier (ITB) in order to improve the confinement properties of the hot core plasma. The more stringent requests are: the ITB must be sustained with electron heating only with no or very small external momentum source, the strong collisional coupling at the envisaged density (line average >1.0 1020 m-3) must not prevent the barrier existence, the bootstrap current created by the large induced gradients must have a radial profile consistent with that requested by the barrier creation and sustainment. To all these items the studies carried out in FTU in the same density range (ne0 ?1.5 1020 m-3) provide encouraging prospects. With pure electron heating and current drive (LH+ECH) steady electron barrier are generated and maintained with central e- temperature >5.0 keV. Almost full CD conditions are established with a bootstrap current close to 25% of the total and well aligned with that driven by the LH waves and responsible for the barrier building. The clear change in the density fluctuations close to the ITB radius, observed by reflectometry, indicates stabilization of turbulence that is consistent with the drop of the thermal electron diffusivity inside the ITB to very low values, ?e<0.5 m2/s estimated by the transport analysis. The 10 fold neutron rate increase testifies a significant collisional ion heating, even though usually ?Ti0/Ti0 does not exceed 40%, because the e--i + equipartition time, always 4-5 times longer than the energy confinement time, does not allow thermal equilibrium with electrons to be attained. The ion thermal diffusivity inside the barrier must be lowered to the neoclassical level to account for the observed Ti(r) profiles, clearly indicating at least a non-degraded ion transport. The global confinement in turn improves by 1.6 times above the FTU L-scaling. The ITB radius can be controlled by varying the LH power deposition profile that is

  15. The O-X-B mode conversion scheme for ECRH of a high-density Tokamak plasma

    DEFF Research Database (Denmark)

    Hansen, F. R.; Lynov, Jens-Peter; Michelsen, Poul

    1985-01-01

    A method to apply electron cyclotron resonance heating (ECRH) to a Tokamak plasma with central density higher than the critical density for cut-off of the ordinary mode (O-mode) has been investigated. This method involves two mode conversions, from an O-mode via an extraordinary mode (X......-mode) into an electron Bernstein mode (B-mode). Radial profiles for the power deposition and the wave-drive current due to the B-waves are calculated for realistic antenna radiation patterns with parameters corresponding to the Danish DANTE Tokamak and to Princeton's PLT....

  16. Density profile measurements from a two-gun plasma focus device

    International Nuclear Information System (INIS)

    Tzeng, C.C.; Yen, C.K.; Yeh, T.R.; Kuo, Y.Y.; Shang, D.J.; Yu, Y.Z.; Hou, W.S.

    1990-01-01

    The dynamics of the plasma evolution in a two-gun plasma focus device has been studied using the laser shadowgraphy as well as the laser interferometry. The experiments were carried out from a 700 kJ two-gun plasma focus device reported earlier, which consisted of a pair of Mather type coaxial electrodes connected muzzle to muzzle. Previous results indicated that the simultaneous formation of the two deuterium plasma foci occurred earlier and then after ∼ 100 ns a disk-shaped plasma of ∼ 1.5 cm in diameter appeared in the middle region between the anodes. It is, therefore, the authors' goal to study the density profiles in the plasma foci and the middle region in order to understand further the formation of the plasma foci and their time evolution. The laser shadowgraphy was done with a XeCl excimer pumped dye laser system which operated at 550 nm with pulse width of ∼ 10 ns. The laser interferometry, on the other hand, was carried out using a TEA-TEA oscillator-amplifier N 2 -laser system with 337.1 nm and subnano-second pulse width. Both results show that the maximum electron density is ≥2 x 10 19 cm -3 and, in addition, the growth of the hydrodynamic instabilities are observed. These results together with the detailed density profiles are presented and discussed

  17. Conference on atomic processes in high temperature plasmas: a topical conference of the American Physical Society Division of Plasma Physics

    International Nuclear Information System (INIS)

    1977-01-01

    Abstracts are included for approximately 100 of the papers presented at the meeting. The following sessions were held at the conference: (1) electron ionization and excitation rates, (2) radiation from low density plasmas, (3) electron-ion cross sections and rates, (4) oscillator strengths and atomic structure, (5) spectroscopy and atomic structure, (6) astrophysical plasmas, (7) particle transport, (8) ion-atom cross sections and rates, (9) wall effects in laboratory plasmas, (10) spectroscopy and photoionization, and (11) radiation from high density plasmas

  18. Operational limits of high density H-modes in ASDEX Upgrade

    International Nuclear Information System (INIS)

    Mertens, V.; Borrass, K.; Kaufmann, M.; Lang, P.T.; Lang, R.; Mueller, H.W.; Neuhauser, J.; Schneider, R.; Schweinzer, J.; Suttrop, W.

    2001-01-01

    Systematic investigations of H-mode density limit (H→L-mode back transition) plasmas with gas fuelling and alternatively with additional pellet injection from the magnetic high-field-side HFS are being performed in the new closed divertor configuration DV-II. The resulting database covering a wide range of the externally controllable plasma parameters I p , B t and P heat confirms that the H-mode threshold power exceeds the generally accepted prediction P L→H heat ∝B-bar t dramatically when one approaches Greenwald densities. Additionally, in contrast to the Greenwald scaling a moderate B t -dependence of the H-mode density limit is found. The limit is observed to coincide with divertor detachment and a strong increase of the edge thermal transport, which has, however, no detrimental effect on global τ E . The pellet injection scheme from the magnetic high-field-side HFS, developed recently on ASDEX Upgrade, leads to fast particle drifts which are, contrary to the standard injection from the low-field-side, directed into the plasma core. This improves markedly the pellet particle fuelling efficiency. The responsible physical mechanism, the diamagnetic particle drift of the pellet ablatant was successfully verified recently. Other increased particle losses on respectively different time scales after the ablation process, however, still persist. Generally, a clear gain in achievable density and plasma stored energy is achieved with stationary HFS pellet injection compared to gas-puffing. (author)

  19. Density effects on electronic configurations in dense plasmas

    Science.gov (United States)

    Faussurier, Gérald; Blancard, Christophe

    2018-02-01

    We present a quantum mechanical model to describe the density effects on electronic configurations inside a plasma environment. Two different approaches are given by starting from a quantum average-atom model. Illustrations are shown for an aluminum plasma in local thermodynamic equilibrium at solid density and at a temperature of 100 eV and in the thermodynamic conditions of a recent experiment designed to characterize the effects of the ionization potential depression treatment. Our approach compares well with experiment and is consistent in that case with the approach of Stewart and Pyatt to describe the ionization potential depression rather than with the method of Ecker and Kröll.

  20. Cluster observations of near-Earth magnetospheric lobe plasma densities – a statistical study

    Directory of Open Access Journals (Sweden)

    K. R. Svenes

    2008-09-01

    Full Text Available The Cluster-mission has enabled a study of the near-Earth magnetospheric lobes throughout the waning part of solar cycle 23. During the first seven years of the mission the satellites crossed this region of space regularly from about July to October. We have obtained new and more accurate plasma densities in this region based on spacecraft potential measurements from the EFW-instrument. The plasma density measurements are found by converting the potential measurements using a functional relationship between these two parameters. Our observations have shown that throughout this period a full two thirds of the measurements were contained in the range 0.007–0.092 cm−3 irrespective of solar wind conditions or geomagnetic activity. In fact, the most probable density encountered was 0.047 cm−3, staying roughly constant throughout the entire observation period. The plasma population in this region seems to reflect an equilibrium situation in which the density is independent of the solar wind condition or geomagnetic activity. However, the high density tail of the population (ne>0.2 cm−3 seemed to decrease with the waning solar cycle. This points to a source region influenced by the diminishing solar UV/EUV-intensity. Noting that the quiet time polar wind has just such a development and that it is magnetically coupled to the lobes, it seems likely to assume that this is a prominent source for the lobe plasma.

  1. A final report to the Laboratory Directed Research and Development committee on Project 93-ERP-075: ''X-ray laser propagation and coherence: Diagnosing fast-evolving, high-density laser plasmas using X-ray lasers''

    International Nuclear Information System (INIS)

    Wan, A.S.; Cauble, R.; Da Silva, L.B.; Libby, S.B.; Moreno, J.C.

    1996-02-01

    This report summarizes the major accomplishments of this three-year Laboratory Directed Research and Development (LDRD) Exploratory Research Project (ERP) entitled ''X-ray Laser Propagation and Coherence: Diagnosing Fast-evolving, High-density Laser Plasmas Using X-ray Lasers,'' tracking code 93-ERP-075. The most significant accomplishment of this project is the demonstration of a new laser plasma diagnostic: a soft x-ray Mach-Zehnder interferometer using a neonlike yttrium x-ray laser at 155 angstrom as the probe source. Detailed comparisons of absolute two-dimensional electron density profiles obtained from soft x-ray laser interferograms and profiles obtained from radiation hydrodynamics codes, such as LASNEX, will allow us to validate and benchmark complex numerical models used to study the physics of laser-plasma interactions. Thus the development of soft x-ray interferometry technique provides a mechanism to probe the deficiencies of the numerical models and is an important tool for, the high-energy density physics and science-based stockpile stewardship programs. The authors have used the soft x-ray interferometer to study a number of high-density, fast evolving, laser-produced plasmas, such as the dynamics of exploding foils and colliding plasmas. They are pursuing the application of the soft x-ray interferometer to study ICF-relevant plasmas, such as capsules and hohlraums, on the Nova 10-beam facility. They have also studied the development of enhanced-coherence, shorter-pulse-duration, and high-brightness x-ray lasers. The utilization of improved x-ray laser sources can ultimately enable them to obtain three-dimensional holographic images of laser-produced plasmas

  2. Plasma density measurements on COMPASS-C tokamak from electron cyclotron emission cutoffs

    International Nuclear Information System (INIS)

    Chenna Reddy, D.; Edlington, T.

    1996-01-01

    Electron cyclotron emission (ECE) is a standard diagnostic in present day tokamak devices for temperature measurement. When the plasma density is high enough the emission at some frequencies is cut off. Of these cutoff frequencies, the first frequency to cut off depends on the shape of the density profile. If the density profile can be described by a few parameters, in some circumstances, this first cutoff frequency can be used to obtain two of these parameters. If more than two parameters are needed to describe the density profile, then additional independent measurements are required to find all the parameters. We describe a technique by which it is possible to obtain an analytical relation between the radius at which the first cutoff occurs and the profile parameters. Assuming that the shape of the profile does not change as the average density rises after the first cutoff, one can use the cutoffs at other frequencies to obtain the average density at the time of these cutoffs. The plasma densities obtained with this technique using the data from a 14 channel ECE diagnostic on COMPASS-C tokamak are in good agreement with those measured by a standard 2 mm interferometer. The density measurement using the ECE cutoffs is an independent measurement and requires only a frequency calibration of the ECE diagnostic. copyright 1996 American Institute of Physics

  3. Study on electron density and average degree of ionization for the non-ideal argon plasmas

    International Nuclear Information System (INIS)

    Jing Ming; Huang Hua; Zhou Yisu; Wang Caixia

    2008-01-01

    Electron density and average degree of ionization of the non-ideal argon plasmas under different plasma temperature and density are calculated by using SHM model. It comes to a conclusion that the average degree of ionization is less than 0.5 for the non-ideal argon plasmas at temperature T=2.0eV and plasma density ρ=(0.01-0.5)g·cm -3 , and the average degree of ionization is reduced with the increase of plasma density ρ. This indicates that the non-ideal argon plasma has a very low degree of ionization so that most argon has not been ionized. In addition, the discussion on the ionization decrease with the increase of plasma density ρ is given. (authors)

  4. Development of a low-energy and high-current pulsed neutral beam injector with a washer-gun plasma source for high-beta plasma experiments.

    Science.gov (United States)

    Ii, Toru; Gi, Keii; Umezawa, Toshiyuki; Asai, Tomohiko; Inomoto, Michiaki; Ono, Yasushi

    2012-08-01

    We have developed a novel and economical neutral-beam injection system by employing a washer-gun plasma source. It provides a low-cost and maintenance-free ion beam, thus eliminating the need for the filaments and water-cooling systems employed conventionally. In our primary experiments, the washer gun produced a source plasma with an electron temperature of approximately 5 eV and an electron density of 5 × 10(17) m(-3), i.e., conditions suitable for ion-beam extraction. The dependence of the extracted beam current on the acceleration voltage is consistent with space-charge current limitation, because the observed current density is almost proportional to the 3/2 power of the acceleration voltage below approximately 8 kV. By optimizing plasma formation, we successfully achieved beam extraction of up to 40 A at 15 kV and a pulse length in excess of 0.25 ms. Its low-voltage and high-current pulsed-beam properties enable us to apply this high-power neutral beam injection into a high-beta compact torus plasma characterized by a low magnetic field.

  5. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A relativistic electron beam generator or accelerator produces a high-voltage electron beam which is modulated to initiate electron bunching within the beam which is then applied to a high-density target plasma which typically comprises DT, DD, or similar thermonuclear gas at a density of 10 17 to 10 20 electrons per cubic centimeter. As a result, relativistic streaming instabilities are initiated within the high-density target plasma causing the relativistic electron beam to efficiently deposit its energy into a small localized region of the high-density plasma target. The high-temperature plasma can be used to heat a high Z material to generate radiation. Alternatively, a tunable radiation source is produced by using a moderate Z gas or a mixture of high Z and low Z gas as the target plasma. (author)

  6. Plasma and BIAS Modeling: Self-Consistent Electrostatic Particle-in-Cell with Low-Density Argon Plasma for TiC

    Directory of Open Access Journals (Sweden)

    Jürgen Geiser

    2011-01-01

    processes. In this paper we present a new model taken into account a self-consistent electrostatic-particle in cell model with low density Argon plasma. The collision model are based of Monte Carlo simulations is discussed for DC sputtering in lower pressure regimes. In order to simulate transport phenomena within sputtering processes realistically, a spatial and temporal knowledge of the plasma density and electrostatic field configuration is needed. Due to relatively low plasma densities, continuum fluid equations are not applicable. We propose instead a Particle-in-cell (PIC method, which allows the study of plasma behavior by computing the trajectories of finite-size particles under the action of an external and self-consistent electric field defined in a grid of points.

  7. Characterization of laser-produced plasma density profiles using grid image refractometry

    International Nuclear Information System (INIS)

    Craxton, R.S.; Turner, F.S.; Hoefen, R.; Darrow, C.; Gabl, E.F.; Busch, G.E.

    1993-01-01

    Grid image refractometry (GIR) is proposed as a technique for determining the two-dimensional density profiles of long scale-length laser-produced plasmas. Its distinctive feature is that an optical probe beam is broken up into ''rays'' by being passed through a grid before traversing the plasma. The refraction angles of the rays are measured by imaging the plasma at two or more object planes and are integrated to yield the phase front. For cylindrically symmetric plasmas the density profile is then determined using Abel inversion. The feasibility of GIR is illustrated by an experiment in which a thick CH target was irradiated with ∼100 J of 527 nm radiation and diagnosed with a 20 ps, 263 nm probe. The resulting density profile is substantially larger than any that have previously been reported using interferometry and compares quite closely with hydrodynamic simulations

  8. Plasma density evolution in plasma opening switch obtained by a time-resolved sensitive He-Ne interferometer

    Science.gov (United States)

    Chen, Lin; Ren, Jing; Guo, Fan; Zhou, LiangJi; Li, Ye; He, An; Jiang, Wei

    2014-03-01

    To understand the formation process of vacuum gap in coaxial microsecond conduction time plasma opening switch (POS), we have made measurements of the line-integrated plasma density during switch operation using a time-resolved sensitive He-Ne interferometer. The conduction current and conduction time in experiments are about 120 kA and 1 μs, respectively. As a result, more than 85% of conduction current has been transferred to an inductive load with rise time of 130 ns. The radial dependence of the density is measured by changing the radial location of the line-of-sight for shots with the same nominal POS parameters. During the conduction phase, the line-integrated plasma density in POS increases at all radial locations over the gun-only case by further ionization of material injected from the guns. The current conduction is observed to cause a radial redistribution of the switch plasma. A vacuum gap forms rapidly in the plasma at 5.5 mm from the center conductor, which is consistent with the location where magnetic pressure is the largest, allowing current to be transferred from the POS to the load.

  9. The theta-pinch - a versatile tool for the generation and study of high temperature plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Hintz, E. [Inst. fuer Plasmaphysik, Forschungszentrum-Juelich GmbH (Germany)

    2004-07-01

    The more general technical and physical features of theta-pinches are described. Special field of their application are high-ss plasmas. Two examples are analysed and studied in more detail: a high density plasma near thermal equilibrium and a low density plasma far from equilibrium. The latter is of special interest for future investigations. Possibilities of field-reversed configurations are pointed out. (orig.)

  10. The theta-pinch - a versatile tool for the generation and study of high temperature plasmas

    International Nuclear Information System (INIS)

    Hintz, E.

    2004-01-01

    The more general technical and physical features of theta-pinches are described. Special field of their application are high-ss plasmas. Two examples are analysed and studied in more detail: a high density plasma near thermal equilibrium and a low density plasma far from equilibrium. The latter is of special interest for future investigations. Possibilities of field-reversed configurations are pointed out. (orig.)

  11. Experimental profile evolution of a high-density field-reversed configuration

    International Nuclear Information System (INIS)

    Ruden, E. L.; Zhang, Shouyin; Intrator, T. P.; Wurden, G. A.

    2006-01-01

    A field-reversed configuration (FRC) gains angular momentum over time, eventually resulting in an n=2 rotational instability (invariant under rotation by π) terminating confinement. To study this, a laser interferometer probes the time history of line integrated plasma density along eight chords of the high-density (∼10 17 cm -3 ) field-reversed configuration experiment with a liner. Abel and tomographic inversions provide density profiles during the FRC's azimuthally symmetric phase, and over a period when the rotational mode has saturated and rotates with a roughly fixed profile, respectively. During the latter part of the symmetric phase, the FRC approximates a magnetohydrodynamic (MHD) equilibrium, allowing the axial magnetic-field profile to be calculated from pressure balance. Basic FRC properties such as temperature and poloidal flux are then inferred. The subsequent two-dimensional n=2 density profiles provide angular momentum information needed to set bounds on prior values of the stability relevant parameter α (rotational to ion diamagnetic drift frequency ratio), in addition to a view of plasma kinematics useful for benchmarking plasma models of higher order than MHD

  12. Long pulse operation of high performance plasmas in JT-60U

    International Nuclear Information System (INIS)

    Ide, Shunsuke

    2005-01-01

    Recent experimental progress in JT-60U advanced tokamak research is presented; sustainment of the normalized beta (β N ) - 3 in a normal magnetic shear plasma, the bootstrap current fraction (f BS ) - 45% in a weak shear plasma and ∼75% in a reversed magnetic shear plasma in a nearly full non-inductive current drive condition for longer than the current relaxation time. Achievement of high-density high-radiation fraction together with high-confinement in advanced plasmas was demonstrated. Achievement and foundings in long pulse operations after system modification are presented as well. A 65 s discharge of I p =0.7 MA was successfully obtained. As a result, high-β N of 2.3 was successfully sustained for a very long period of 22.3 s. In addition, a 30 s standard ELMy H-mode plasma of I p up to 1.4 MA has also been obtained. Effectiveness of divertor pumping to control particle recycling and the electron density under the wall retention was saturated was demonstrated. These achievement and issues in the development will be discussed. (author)

  13. Density Dependence of Particle Transport in ECH Plasmas of the TJ-II Stellarator

    Energy Technology Data Exchange (ETDEWEB)

    Vargas, V. I.; Lopez-Bruna, D.; Guasp, J.; Herranz, J.; Estrada, T.; Medina, F.; Ochando, M.A.; Velasco, J.L.; Reynolds, J.M.; Ferreira, J.A.; Tafalla, D.; Castejon, F.; Salas, A.

    2009-05-21

    We present the experimental dependence of particle transport on average density in electron cyclotron heated (ECH) hydrogen plasmas of the TJ-II stellarator. The results are based on: (I) electron density and temperature data from Thomson Scattering and reflectometry diagnostics; (II) a transport model that reproduces the particle density profiles in steady state; and (III) Eirene, a code for neutrals transport that calculates the particle source in the plasma from the particle confinement time and the appropriate geometry of the machine/plasma. After estimating an effective particle diffusivity and the particle confinement time, a threshold density separating qualitatively and quantitatively different plasma transport regimes is found. The poor confinement times found below the threshold are coincident with the presence of ECH-induced fast electron losses and a positive radial electric field all over the plasma. (Author) 40 refs.

  14. High frequency parametric wave phenomena and plasma heating: a review

    International Nuclear Information System (INIS)

    Porkolab, M.

    1975-11-01

    A survey of parametric instabilities in plasma, and associated particle heating, is presented. A brief summary of linear theory is given. The physical mechanism of decay instability, the purely growing mode (oscillating two-stream instability) and soliton and density cavity formation is presented. Effects of density gradients are discussed. Possible nonlinear saturation mechanisms are pointed out. Experimental evidence for the existence of parametric instabilities in both unmagnetized and magnetized plasmas is reviewed in some detail. Experimental observation of plasma heating associated with the presence of parametric instabilities is demonstrated by a number of examples. Possible application of these phenomena to heating of pellets by lasers and heating of magnetically confined fusion plasmas by high power microwave sources is discussed

  15. Semi-analytical model of laser resonance absorption in plasmas with a parabolic density profile

    International Nuclear Information System (INIS)

    Pestehe, S J; Mohammadnejad, M

    2010-01-01

    Analytical expressions for mode conversion and resonance absorption of electromagnetic waves in inhomogeneous, unmagnetized plasmas are required for laboratory and simulation studies. Although most of the analyses of this problem have concentrated on the linear plasma density profile, there are a few research works that deal with different plasma density profiles including the parabolic profile. Almost none of them could give clear analytical formulae for the electric and magnetic components of the electromagnetic field propagating through inhomogeneous plasmas. In this paper, we have considered the resonant absorption of laser light near the critical density of plasmas with parabolic electron density profiles followed by a uniform over-dense region and have obtained expressions for the electric and magnetic vectors of laser light propagating through the plasma. An estimation of the fractional absorption of laser energy has also been carried out. It has been shown that, in contrast to the linear density profile, the energy absorption depends explicitly on the value of collision frequency as well as on a new parameter, N, called the over-dense density order.

  16. Consideration of neutral beam prompt loss in the design of a tokamak helicon antenna

    International Nuclear Information System (INIS)

    Pace, D.C.; Van Zeeland, M.A.; Fishler, B.; Murphy, C.

    2016-01-01

    Highlights: • Neutral beam prompt losses place appreciable power on an in-vessel tokamak antenna. • Simulations predict prompt loss power and inform protective tile design. • Experiments confirm the validity of the prompt loss simulations. - Abstract: Neutral beam prompt losses (injected neutrals that ionize such that their first poloidal transit intersects with the wall) can put appreciable power on the outer wall of tokamaks, and this power may damage the wall or other internal components. These prompt losses are simulated including a protruding helicon antenna installation in the DIII-D tokamak and it is determined that 160 kW of power will impact the antenna during the injection of a particular neutral beam. Protective graphite tiles are designed in response to this modeling and the wall shape of the installed antenna is precisely measured to improve the accuracy of these calculations. Initial experiments confirm that the antenna component temperature increases according to the amount of neutral beam energy injected into the plasma. In this case, only injection of beams that are aimed counter to the plasma current produce an appreciable power load on the outer wall, suggesting that the effect is of little concern for tokamaks featuring only co-current neutral beam injection. Incorporating neutral beam prompt loss considerations into the design of this in-vessel component serves to ensure that adequate protection or cooling is provided.

  17. Consideration of neutral beam prompt loss in the design of a tokamak helicon antenna

    Energy Technology Data Exchange (ETDEWEB)

    Pace, D.C., E-mail: pacedc@fusion.gat.com; Van Zeeland, M.A.; Fishler, B.; Murphy, C.

    2016-11-15

    Highlights: • Neutral beam prompt losses place appreciable power on an in-vessel tokamak antenna. • Simulations predict prompt loss power and inform protective tile design. • Experiments confirm the validity of the prompt loss simulations. - Abstract: Neutral beam prompt losses (injected neutrals that ionize such that their first poloidal transit intersects with the wall) can put appreciable power on the outer wall of tokamaks, and this power may damage the wall or other internal components. These prompt losses are simulated including a protruding helicon antenna installation in the DIII-D tokamak and it is determined that 160 kW of power will impact the antenna during the injection of a particular neutral beam. Protective graphite tiles are designed in response to this modeling and the wall shape of the installed antenna is precisely measured to improve the accuracy of these calculations. Initial experiments confirm that the antenna component temperature increases according to the amount of neutral beam energy injected into the plasma. In this case, only injection of beams that are aimed counter to the plasma current produce an appreciable power load on the outer wall, suggesting that the effect is of little concern for tokamaks featuring only co-current neutral beam injection. Incorporating neutral beam prompt loss considerations into the design of this in-vessel component serves to ensure that adequate protection or cooling is provided.

  18. Exploring the temporally resolved electron density evolution in extreme ultra-violet induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Nijdam, S; Kroesen, G M W

    2014-01-01

    We measured the electron density in an extreme ultra-violet (EUV) induced plasma. This is achieved in a low-pressure argon plasma by using a method called microwave cavity resonance spectroscopy. The measured electron density just after the EUV pulse is 2.6 × 10 16  m −3 . This is in good agreement with a theoretical prediction from photo-ionization, which yields a density of 4.5 × 10 16  m −3 . After the EUV pulse the density slightly increases due to electron impact ionization. The plasma (i.e. electron density) decays in tens of microseconds. (fast track communication)

  19. Aperture method to determine the density and geometry of antiparticle plasmas

    International Nuclear Information System (INIS)

    Oxley, P.; Bowden, N.S.; Parrott, R.; Speck, A.; Storry, C.H.; Tan, J.N.; Wessels, M.; Gabrielse, G.; Grzonka, D.; Oelert, W.; Schepers, G.; Sefzick, T.; Walz, J.; Pittner, H.; Haensch, T.W.; Hessels, E.A.

    2004-01-01

    The density and geometry of p-bar and e + plasmas in realistic trapping potentials are required if the rate of antihydrogen formation from them is to be understood. A new measurement technique determines these properties of trapped positron (e + ) and antiproton (p-bar) plasmas, the latter for the first time. The method does not require the common assumption of a spheroidal plasma geometry, which only pertains for a perfect electrostatic quadrupole trapping potential. Plasma densities, diameters, aspect ratios and angular momenta are deduced by comparing the number of particles that survive transmission through an aperture, to that obtained from self-consistent solutions of Poisson's equation. For p-bar the results differ substantially from the spheroid plasmas of an ideal Penning trap. The angular momentum of the plasma emerges as smooth function of the number of particles in the plasma, independent of the depth of the potential well that confines them

  20. Density gradient instabilities in a neutron inhomogeneous guiding-centre plasma

    International Nuclear Information System (INIS)

    Shoucri, M.M.; Gagne, R.R.J.

    1977-01-01

    The guiding-centre equations for a plasma of cold ions and thermal electrons admit neutral and non-neutral inhomogeneous equilibrium solutions, and the linear stability of these solutions has been recently investigated numerically by Shoucri and Knorr (1975). With arbitrary density profiles, numerical techniques appear to be the only practical way to study the linear stability of the inhomogeneous equilibrium solutions for the guiding centre plasma. However, analytical methods can be applied to some simple types of density profiles. The purpose of the present note is to present some analytical results on the linear instabilities of an inhomogeneous neutral guiding centre plasma. (U.K.)

  1. An x-ray backlit Talbot-Lau deflectometer for high-energy-density electron density diagnostics

    Science.gov (United States)

    Valdivia, M. P.; Stutman, D.; Stoeckl, C.; Theobald, W.; Mileham, C.; Begishev, I. A.; Bromage, J.; Regan, S. P.

    2016-02-01

    X-ray phase-contrast techniques can measure electron density gradients in high-energy-density plasmas through refraction induced phase shifts. An 8 keV Talbot-Lau interferometer consisting of free standing ultrathin gratings was deployed at an ultra-short, high-intensity laser system using K-shell emission from a 1-30 J, 8 ps laser pulse focused on thin Cu foil targets. Grating survival was demonstrated for 30 J, 8 ps laser pulses. The first x-ray deflectometry images obtained under laser backlighting showed up to 25% image contrast and thus enabled detection of electron areal density gradients with a maximum value of 8.1 ± 0.5 × 1023 cm-3 in a low-Z millimeter sized sample. An electron density profile was obtained from refraction measurements with an error of x-ray source-size, similar to conventional radiography.

  2. Measurements of plasma temperature and electron density in laser

    Indian Academy of Sciences (India)

    The temperature and electron density characterizing the plasma are measured by time-resolved spectroscopy of neutral atom and ion line emissions in the time window of 300–2000 ns. An echelle spectrograph coupled with a gated intensified charge coupled detector is used to record the plasma emissions.

  3. Time dependent density functional theory of light absorption in dense plasmas: application to iron-plasma

    International Nuclear Information System (INIS)

    Grimaldi, F.; Grimaldi-Lecourt, A.; Dharma-Wardana, M.W.C.

    1986-10-01

    The objective of this paper is to present a simple time-dependent calculation of the light absorption cross section for a strongly coupled partially degenerate plasma so as to transcend the usual single-particle picture. This is achieved within the density functional theory (DFT) of plasmas by generalizing the method given by Zangwill and Soven for atomic calculations at zero temperature. The essential feature of the time dependent DFT is the correct treatment of the relaxation of the system under the external field. Exploratory calculations for a Fe-plasma at 100 eV show new features in the absorption cross section which are absent in the usual single particle theory. These arise from inter-shell correlations, channel mixing and self-energy effects. These many-body effects introduce significant modifications to the radiative properties of plasmas and are shown to be efficiently calculable by time dependent density functional theory (TD-DFT)

  4. Time dependent density functional theory of light absorption in dense plasmas: application to iron-plasma

    International Nuclear Information System (INIS)

    Grimaldi, F.; Grimaldi-Lecourt, A.; Dharma-Wardana, M.W.C.

    1985-02-01

    The objective of this paper is to present a simple time-dependent calculation of the light absorption cross section for a strongly coupled partially degenerate plasma so as to transcend the usual single-particle picture. This is achieved within the density functional theory (DFT) of plasmas by generalizing the method given by Zangwill and Soven for atomic calculations at zero temperature. The essential feature of the time dependent DFT is the correct treatment of the relaxation of the system under the external field. Exploratory calculations for an Fe-plasma at 100 eV show new features in the absorption cross section which are absent in the usual single particle theory. These arise from inter-shell correlations, channel mixing and self-energy effects. These many-body effects introduce significant modifications to the radiative properties of plasma and are shown to be efficiently calculable by time dependent density functional theory (TD-DFT)

  5. Edge plasma density reconstruction for fast monoenergetic lithium beam probing

    International Nuclear Information System (INIS)

    Sasaki, S.; Takamura, S.; Ueda, M.; Iguchi, H.; Fujita, J.; Kadota, K.

    1993-01-01

    Two different electron density reconstruction methods for 8-keV neutral lithium beam probing have been developed for the Compact Helical System (CHS). Density dependences on emission and ionization processes are included by using effective rate coefficients obtained from the collisional radiative model. Since the two methods differ in the way the local beam density in the plasma is determined, the methods have different applicable electron densities. The beam attenuation is calculated by iteration from the electron density profile in method I. In method II, the beam remainder at the observation point z is determined by integrating the Li I emission intensity from z toward the position of emission tail-off. At the emission tail-off, the fast lithium beam is completely attenuated. Selecting an appropriate method enables us to obtain edge electron density profile well inside the last closed flux surface for various ranges of plasma densities (10 12 --5x10 13 cm -3 ). The electron density profiles reconstructed by these two different methods are in good agreement with each other and are consistent with results from ruby laser Thomson scattering

  6. Density Structures, Dynamics, and Seasonal and Solar Cycle Modulations of Saturn's Inner Plasma Disk

    Science.gov (United States)

    Holmberg, M. K. G.; Shebanits, O.; Wahlund, J.-E.; Morooka, M. W.; Vigren, E.; André, N.; Garnier, P.; Persoon, A. M.; Génot, V.; Gilbert, L. K.

    2017-12-01

    We present statistical results from the Cassini Radio and Plasma Wave Science (RPWS) Langmuir probe measurements recorded during the time interval from orbit 3 (1 February 2005) to 237 (29 June 2016). A new and improved data analysis method to obtain ion density from the Cassini LP measurements is used to study the asymmetries and modulations found in the inner plasma disk of Saturn, between 2.5 and 12 Saturn radii (1 RS=60,268 km). The structure of Saturn's plasma disk is mapped, and the plasma density peak, nmax, is shown to be located at ˜4.6 RS and not at the main neutral source region at 3.95 RS. The shift in the location of nmax is due to that the hot electron impact ionization rate peaks at ˜4.6 RS. Cassini RPWS plasma disk measurements show a solar cycle modulation. However, estimates of the change in ion density due to varying EUV flux is not large enough to describe the detected dependency, which implies that an additional mechanism, still unknown, is also affecting the plasma density in the studied region. We also present a dayside/nightside ion density asymmetry, with nightside densities up to a factor of 2 larger than on the dayside. The largest density difference is found in the radial region 4 to 5 RS. The dynamic variation in ion density increases toward Saturn, indicating an internal origin of the large density variability in the plasma disk rather than being caused by an external source origin in the outer magnetosphere.

  7. Scaling of energy confinement and poloidal beta in high density tokamaks

    NARCIS (Netherlands)

    Schram, D.C.; Schüller, F.C.

    1980-01-01

    A semi-empirical analysis of the heat balance of ohmically heated, high density Tokamak plasmas, shows that the observed heat transport can be explained by neoclassical (plateau) ion heat conduction in the central part of the plasma. Experimental values for Te, ß¿e, and tEe and the variation of

  8. Solid density, low temperature plasma formation in a capillary discharge

    International Nuclear Information System (INIS)

    Kania, D.R.; Jones, L.A.; Maestas, M.D.; Shepherd, R.L.

    1987-01-01

    This work discusses the ability of the authors to produce solid density, low temperature plasmas in polyurethane capillary discharges. The initial capillary diameter is 20 μm. The plasma is produced by discharging a one Ohm parallel plate waterline and Marx generator system through the capillary. A peak current of 340 kA in 300 ns heats the inner wall of the capillary, and the plasma expands into the surrounding material. The authors studied the evolution of the discharge using current and voltage probes, axial and radial streak photography, axial x-ray diode array and schlieren photography, and have estimated the peak temperature of the discharge to be approximately 10 eV and the density to be near 10/sup 23/cm/sup -3/. This indicates that the plasma may approach the strongly coupled regime. They discuss their interpretation of the data and compare their results with theoretical models of the plasma dynamics

  9. Confinement and heating of high beta plasma with emphasis on compact toroids. Compact toroid research

    International Nuclear Information System (INIS)

    Vlases, G.C.; Pietrzyk, Z.A.

    1984-11-01

    Two older projects associated with very high energy density plasmas, specifically the High Density Field Reversed Configuration and the Liner Plasma Compression Experiment, have been completed. Attention has been turned to compact toroid experiments of more conventional density, and three experiments have been initiated. These include the Coaxial Slow Source Experiment, the Variable Length FRC Experiment, and Variable Angle CthetaP Experiment. In each case, the project was begun in order to provide basic plasma physics information on specific unresolved issues of progammatic importance to the national CT Program

  10. Ion production and bipolar fluxes in a high-current plasma-filled diode

    International Nuclear Information System (INIS)

    Ivanenkov, G.V.

    1982-01-01

    The model and the evolution of behaviour of binary layers (BL) in expanding plasma of high current plasma-filled diode are described. The model estimates ion current and the laws of plasma expansion at the stage of BL intensive growth. The density range (10 12 -10 15 cm -3 ) is determined in which diode impedance growth takes place in connection with BL appearance. The density of ion current at the outlet of diode is 10 A/cm 2

  11. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  12. Space and time resolved spectroscopy of laser-produced plasmas: A study of density-sensitive x-ray transitions in helium-like and neon-like ions

    Energy Technology Data Exchange (ETDEWEB)

    Young, Bruce Kai Fong

    1988-09-01

    The determination of level populations and detailed population mechanisms in dense plasmas has become an increasingly important problem in atomic physics. In this work, the density variation of line intensities and level populations in aluminum K-shell and molybdenum and silver L-shell emission spectra have been measured from high-powered, laser-produced plasmas. For each case, the density dependence of the observed line emission is due to the effect of high frequency electron-ion collisions on metastable levels. The density dependent line intensities vary greatly in laser-produced plasmas and can be used to extract detailed information concerning the population kinetics and level populations of the ions. The laser-plasmas had to be fully characterized in order to clearly compare the observed density dependence with atomic theory predictions. This has been achieved through the combined use of new diagnostic instruments and microdot targets which provided simultaneously space, time, and spectrally resolved data. The plasma temperatures were determined from the slope of the hydrogen-like recombination continuum. The time resolved electron density profiles were measured using multiple frame holographic interferometry. Thus, the density dependence of K-shell spectral lines could be clearly examined, independent of assumptions concerning the dynamics of the plasma. In aluminum, the electron density dependence of various helium-like line intensity ratios were measured. Standard collisional radiative equilibrium models fail to account for the observed density dependence measured for the ''He/sub ..cap alpha..//IC'' ratio. Instead, a quasi-steady state atomic model based on a purely recombining plasma is shown to accurately predict the measured density dependence. This same recombining plasma calculation successfully models the density dependence of the high-n ''He/sub ..gamma..//He/sub ..beta../'' and ''He/sub delta

  13. Space and time resolved spectroscopy of laser-produced plasmas: A study of density-sensitive x-ray transitions in helium-like and neon-like ions

    International Nuclear Information System (INIS)

    Young, Bruce Kai Fong.

    1988-09-01

    The determination of level populations and detailed population mechanisms in dense plasmas has become an increasingly important problem in atomic physics. In this work, the density variation of line intensities and level populations in aluminum K-shell and molybdenum and silver L-shell emission spectra have been measured from high-powered, laser-produced plasmas. For each case, the density dependence of the observed line emission is due to the effect of high frequency electron-ion collisions on metastable levels. The density dependent line intensities vary greatly in laser-produced plasmas and can be used to extract detailed information concerning the population kinetics and level populations of the ions. The laser-plasmas had to be fully characterized in order to clearly compare the observed density dependence with atomic theory predictions. This has been achieved through the combined use of new diagnostic instruments and microdot targets which provided simultaneously space, time, and spectrally resolved data. The plasma temperatures were determined from the slope of the hydrogen-like recombination continuum. The time resolved electron density profiles were measured using multiple frame holographic interferometry. Thus, the density dependence of K-shell spectral lines could be clearly examined, independent of assumptions concerning the dynamics of the plasma. In aluminum, the electron density dependence of various helium-like line intensity ratios were measured. Standard collisional radiative equilibrium models fail to account for the observed density dependence measured for the ''He/sub α//IC'' ratio. Instead, a quasi-steady state atomic model based on a purely recombining plasma is shown to accurately predict the measured density dependence. This same recombining plasma calculation successfully models the density dependence of the high-n ''He/sub γ//He/sub β/'' and ''He/sub δ//He/sub β/'' helium-like resonance line intensity ratios

  14. Activated platelets contribute to oxidized low-density lipoproteins and dysfunctional high-density lipoproteins through a phospholipase A2-dependent mechanism

    NARCIS (Netherlands)

    Blache, Denis; Gautier, Thomas; Tietge, Uwe J. F.; Lagrost, Laurent

    Plasma activity of secretory phospholipase A2 (sPLA2) increases in patients with cardiovascular disease. The present study investigated whether platelet-released sPLA2 induces low-density lipoprotein (LDL) and high-density lipoprotein (HDL) modifications that translate into changes in lipoprotein

  15. Frontiers for Discovery in High Energy Density Physics

    Energy Technology Data Exchange (ETDEWEB)

    Davidson, R. C.; Katsouleas, T.; Arons, J.; Baring, M.; Deeney, C.; Di Mauro, L.; Ditmire, T.; Falcone, R.; Hammer, D.; Hill, W.; Jacak, B.; Joshi, C.; Lamb, F.; Lee, R.; Logan, B. G.; Melissinos, A.; Meyerhofer, D.; Mori, W.; Murnane, M.; Remington, B.; Rosner, R.; Schneider, D.; Silvera, I.; Stone, J.; Wilde, B.; Zajc. W.

    2004-07-20

    The report is intended to identify the compelling research opportunities of high intellectual value in high energy density physics. The opportunities for discovery include the broad scope of this highly interdisciplinary field that spans a wide range of physics areas including plasma physics, laser and particle beam physics, nuclear physics, astrophysics, atomic and molecular physics, materials science and condensed matter physics, intense radiation-matter interaction physics, fluid dynamics, and magnetohydrodynamics

  16. Ideal laser-beam propagation through high-temperature ignition Hohlraum plasmas.

    Science.gov (United States)

    Froula, D H; Divol, L; Meezan, N B; Dixit, S; Moody, J D; Neumayer, P; Pollock, B B; Ross, J S; Glenzer, S H

    2007-02-23

    We demonstrate that a blue (3omega, 351 nm) laser beam with an intensity of 2 x 10(15) W cm(-2) propagates nearly within the original beam cone through a millimeter scale, T(e)=3.5 keV high density (n(e)=5 x 10(20) cm(-3)) plasma. The beam produced less than 1% total backscatter at these high temperatures and densities; the resulting transmission is greater than 90%. Scaling of the electron temperature in the plasma shows that the plasma becomes transparent for uniform electron temperatures above 3 keV. These results are consistent with linear theory thresholds for both filamentation and backscatter instabilities inferred from detailed hydrodynamic simulations. This provides a strong justification for current inertial confinement fusion designs to remain below these thresholds.

  17. Remarks on saturation of energy confinement in high density regime on LHD

    International Nuclear Information System (INIS)

    Yamada, Hiroshi; Morita, Shigeru; Murakami, Sadayoshi

    2003-01-01

    A study on energy confinement times in currentless helical plasmas has indicated a preferable density dependence like τ E ∝ n-bar e 0.5-0.6 . However, saturation of energy confinement time has been often observed during the density ramping-up phase by gas puffing in NBI heated plasmas in LHD. The power balance analysis indicates that the thermal diffusivity is improved by the increase in local density while the global energy confinement time loses the dependence on the density. The flat or hollow density profile, which is distinguished in the density-ramping phase, promotes a broad heat power deposition. This change explains the apparent contradiction between the density dependence of the thermal diffusivity and the global energy confinement time. This result suggests that central heating can maintain a favorable density dependence of the energy confinement time in the high density regime. (author)

  18. The influence of plasma density decreasement by pre-pulse on the laser wakefield acceleration

    Directory of Open Access Journals (Sweden)

    Ke-Gong Dong

    2011-12-01

    Full Text Available In the laser wakefield acceleration, the generation of electron beam is very sensitive to the plasma density. Not only the laser-wakefield interaction, but also the electron trapping and acceleration would be effected by the plasma density. However, the plasma density could be changed in the experiment by different reasons, which will result in the mismatch of parameters arranged initially. Forward Raman scattering spectrum demonstrated that the interaction density was decreased obviously in the experiment, which was verified by the pre-pulse conditions and two-dimensional particle-in-cell simulations. It was demonstrated that the plasma density was very important on the self-evolutions and energy coupling of laser pulse and wakefield, and eventually the energy spectrum of electron beam.

  19. EBW and Whistler propagation and damping in a linear device

    Science.gov (United States)

    Diem, S. J.; Caughman, J. B. O.; Harvey, R. W.; Petrov, Yu.

    2011-10-01

    Linear plasma devices are an economic method to study plasma-material interactions under high heat and particle fluxes. ORNL is developing a large cross section, high-density helicon plasma generator with additional resonant electron heating to study plasma-material interactions in ITER like conditions. The device will produce a heat flux of 10-20 MW/m2 and particle flux of 1024 /m2/s in a high recycling plasma near a target plate with a magnetic field of ~1 T. As part of this effort, heating of overdense plasma is being studied using a microwave-based plasma experiment. The plasma is initiated with a high-field launch of 18 GHz whistler waves producing a moderate-density plasma of ne ~1018 m-3. Electron heating of the overdense plasma can be provided by either whistler waves or EBW at 6 and 18 GHz. A modified GENRAY (GENRAY-C) ray-tracing code has been used to determine EBW and ECH whistler wave accessibility for these overdense plasmas. These results combined with emission measurements will be used to determine launcher designs and their placement. ORNL is managed by UT-Battelle, LLC, for the U.S. DOE under contract DE-AC-05-00OR22725.

  20. Extreme hydrogen plasma densities achieved in a linear plasma generator

    NARCIS (Netherlands)

    Rooij, van G.J.; Veremiyenko, V.P.; Goedheer, W.J.; Groot, de B.; Kleyn, A.W.; Smeets, P.H.M.; Versloot, T.W.; Whyte, D.G.; Engeln, R.A.H.; Schram, D.C.; Lopes Cardozo, N.J.

    2007-01-01

    A magnetized hydrogen plasma beam was generated with a cascaded arc, expanding in a vacuum vessel at an axial magnetic field of up to 1.6 T. Its characteristics were measured at a distance of 4 cm from the nozzle: up to a 2 cm beam diameter, 7.5×1020 m-3 electron density, ~2 eV electron and ion

  1. High-fidelity plasma codes for burn physics

    Energy Technology Data Exchange (ETDEWEB)

    Cooley, James [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Graziani, Frank [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Marinak, Marty [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Murillo, Michael [Michigan State Univ., East Lansing, MI (United States)

    2016-10-19

    Accurate predictions of equation of state (EOS), ionic and electronic transport properties are of critical importance for high-energy-density plasma science. Transport coefficients inform radiation-hydrodynamic codes and impact diagnostic interpretation, which in turn impacts our understanding of the development of instabilities, the overall energy balance of burning plasmas, and the efficacy of self-heating from charged-particle stopping. Important processes include thermal and electrical conduction, electron-ion coupling, inter-diffusion, ion viscosity, and charged particle stopping. However, uncertainties in these coefficients are not well established. Fundamental plasma science codes, also called high-fidelity plasma codes, are a relatively recent computational tool that augments both experimental data and theoretical foundations of transport coefficients. This paper addresses the current status of HFPC codes and their future development, and the potential impact they play in improving the predictive capability of the multi-physics hydrodynamic codes used in HED design.

  2. Anticorrelated Emission of High Harmonics and Fast Electron Beams From Plasma Mirrors.

    Science.gov (United States)

    Bocoum, Maïmouna; Thévenet, Maxence; Böhle, Frederik; Beaurepaire, Benoît; Vernier, Aline; Jullien, Aurélie; Faure, Jérôme; Lopez-Martens, Rodrigo

    2016-05-06

    We report for the first time on the anticorrelated emission of high-order harmonics and energetic electron beams from a solid-density plasma with a sharp vacuum interface-plasma mirror-driven by an intense ultrashort laser pulse. We highlight the key role played by the nanoscale structure of the plasma surface during the interaction by measuring the spatial and spectral properties of harmonics and electron beams emitted by a plasma mirror. We show that the nanoscale behavior of the plasma mirror can be controlled by tuning the scale length of the electron density gradient, which is measured in situ using spatial-domain interferometry.

  3. 3-D MHD modeling and stability analysis of jet and spheromak plasmas launched into a magnetized plasma

    Science.gov (United States)

    Fisher, Dustin; Zhang, Yue; Wallace, Ben; Gilmore, Mark; Manchester, Ward; Arge, C. Nick

    2016-10-01

    The Plasma Bubble Expansion Experiment (PBEX) at the University of New Mexico uses a coaxial plasma gun to launch jet and spheromak magnetic plasma configurations into the Helicon-Cathode (HelCat) plasma device. Plasma structures launched from the gun drag frozen-in magnetic flux into the background magnetic field of the chamber providing a rich set of dynamics to study magnetic turbulence, force-free magnetic spheromaks, and shocks. Preliminary modeling is presented using the highly-developed 3-D, MHD, BATS-R-US code developed at the University of Michigan. BATS-R-US employs an adaptive mesh refinement grid that enables the capture and resolution of shock structures and current sheets, and is particularly suited to model the parameter regime under investigation. CCD images and magnetic field data from the experiment suggest the stabilization of an m =1 kink mode trailing a plasma jet launched into a background magnetic field. Results from a linear stability code investigating the effect of shear-flow as a cause of this stabilization from magnetic tension forces on the jet will be presented. Initial analyses of a possible magnetic Rayleigh Taylor instability seen at the interface between launched spheromaks and their entraining background magnetic field will also be presented. Work supported by the Army Research Office Award No. W911NF1510480.

  4. Large density amplification measured on jets ejected from a magnetized plasma gun

    OpenAIRE

    Yun, Gunsu S.; You, Setthivoine; Bellan, Paul M.

    2007-01-01

    Observation of a large density amplification in the collimating plasma jet ejected from a coplanar coaxial plasma gun is reported. The jet velocity is ~30 km s^-1 and the electron density increases from ~10^20 to 10^(22–23) m^-3. In previous spheromak experiments, electron density of the order 10^(19–21) m^-3 had been measured in the flux conserver region, but no density measurement had been reported for the source gun region. The coplanar geometry of our electrodes permits direct observation...

  5. Soliton formation at critical density in laser-irradiated plasmas

    International Nuclear Information System (INIS)

    Anderson, D.; Bondeson, A.; Lisak, M.

    1979-01-01

    The generation of Langmuir solitons at the resonance layer in a plasma irradiated by a strong high-frequency pump is investigated. The process is modelled by the nonlinear Schrodinger equation including an external pump, a density gradient and linear damping. The evolution equation is reformulated as an exact variational principle and the one-soliton generation process is studied by substituting various trial solutions. The applicability conditions for the nonlinear Schrodinger equation are re-examined and found to be more restrictive than previously stated. (author)

  6. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    Science.gov (United States)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  7. Relativistic self-focusing of intense laser beam in thermal collisionless quantum plasma with ramped density profile

    Directory of Open Access Journals (Sweden)

    S. Zare

    2015-04-01

    Full Text Available Propagation of a Gaussian x-ray laser beam has been analyzed in collisionless thermal quantum plasma with considering a ramped density profile. In this density profile due to the increase in the plasma density, an earlier and stronger self-focusing effect is noticed where the beam width oscillates with higher frequency and less amplitude. Moreover, the effect of the density profile slope and the initial plasma density on the laser propagation has been studied. It is found that, by increasing the initial density and the ramp slope, the laser beam focuses faster with less oscillation amplitude, smaller laser spot size and more oscillations. Furthermore, a comparison is made among the laser self-focusing in thermal quantum plasma, cold quantum plasma and classical plasma. It is realized that the laser self-focusing in the quantum plasma becomes stronger in comparison with the classical regime.

  8. ADX: a high field, high power density, advanced divertor and RF tokamak

    Science.gov (United States)

    LaBombard, B.; Marmar, E.; Irby, J.; Terry, J. L.; Vieira, R.; Wallace, G.; Whyte, D. G.; Wolfe, S.; Wukitch, S.; Baek, S.; Beck, W.; Bonoli, P.; Brunner, D.; Doody, J.; Ellis, R.; Ernst, D.; Fiore, C.; Freidberg, J. P.; Golfinopoulos, T.; Granetz, R.; Greenwald, M.; Hartwig, Z. S.; Hubbard, A.; Hughes, J. W.; Hutchinson, I. H.; Kessel, C.; Kotschenreuther, M.; Leccacorvi, R.; Lin, Y.; Lipschultz, B.; Mahajan, S.; Minervini, J.; Mumgaard, R.; Nygren, R.; Parker, R.; Poli, F.; Porkolab, M.; Reinke, M. L.; Rice, J.; Rognlien, T.; Rowan, W.; Shiraiwa, S.; Terry, D.; Theiler, C.; Titus, P.; Umansky, M.; Valanju, P.; Walk, J.; White, A.; Wilson, J. R.; Wright, G.; Zweben, S. J.

    2015-05-01

    The MIT Plasma Science and Fusion Center and collaborators are proposing a high-performance Advanced Divertor and RF tokamak eXperiment (ADX)—a tokamak specifically designed to address critical gaps in the world fusion research programme on the pathway to next-step devices: fusion nuclear science facility (FNSF), fusion pilot plant (FPP) and/or demonstration power plant (DEMO). This high-field (⩾6.5 T, 1.5 MA), high power density facility (P/S ˜ 1.5 MW m-2) will test innovative divertor ideas, including an ‘X-point target divertor’ concept, at the required performance parameters—reactor-level boundary plasma pressures, magnetic field strengths and parallel heat flux densities entering into the divertor region—while simultaneously producing high-performance core plasma conditions that are prototypical of a reactor: equilibrated and strongly coupled electrons and ions, regimes with low or no torque, and no fuelling from external heating and current drive systems. Equally important, the experimental platform will test innovative concepts for lower hybrid current drive and ion cyclotron range of frequency actuators with the unprecedented ability to deploy launch structures both on the low-magnetic-field side and the high-magnetic-field side—the latter being a location where energetic plasma-material interactions can be controlled and favourable RF wave physics leads to efficient current drive, current profile control, heating and flow drive. This triple combination—advanced divertors, advanced RF actuators, reactor-prototypical core plasma conditions—will enable ADX to explore enhanced core confinement physics, such as made possible by reversed central shear, using only the types of external drive systems that are considered viable for a fusion power plant. Such an integrated demonstration of high-performance core-divertor operation with steady-state sustainment would pave the way towards an attractive pilot plant, as envisioned in the ARC concept

  9. Operational characteristics of the high flux plasma generator Magnum-PSI

    Energy Technology Data Exchange (ETDEWEB)

    Eck, H.J.N. van, E-mail: h.j.n.vaneck@differ.nl [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregio Cluster, P.O. Box 1207, 3430 BE Nieuwegein (Netherlands); Abrams, T. [Princeton Plasma Physics Laboratory, Princeton, NJ 08543 (United States); Berg, M.A. van den; Brons, S.; Eden, G.G. van [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregio Cluster, P.O. Box 1207, 3430 BE Nieuwegein (Netherlands); Jaworski, M.A.; Kaita, R. [Princeton Plasma Physics Laboratory, Princeton, NJ 08543 (United States); Meiden, H.J. van der; Morgan, T.W.; Pol, M.J. van de; Scholten, J.; Smeets, P.H.M.; De Temmerman, G.; Vries, P.C. de; Zeijlmans van Emmichoven, P.A. [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregio Cluster, P.O. Box 1207, 3430 BE Nieuwegein (Netherlands)

    2014-10-15

    Highlights: •We have described the design and capabilities of the plasma experiment Magnum-PSI. •The plasma conditions are well suited for PSI studies in support of ITER. •Quasi steady state heat fluxes over 10 MW m{sup −2} have been achieved. •Transient heat and particle loads can be generated to simulate ELM instabilities. •Lithium coating can be applied to the surfaces of samples under vacuum. -- Abstract: In Magnum-PSI (MAgnetized plasma Generator and NUMerical modeling for Plasma Surface Interactions), the high density, low temperature plasma of a wall stabilized dc cascaded arc is confined to a magnetized plasma beam by a quasi-steady state axial magnetic field up to 1.3 T. It aims at conditions that enable fundamental studies of plasma–surface interactions in the regime relevant for fusion reactors such as ITER: 10{sup 23}–10{sup 25} m{sup −2} s{sup −1} hydrogen plasma flux densities at 1–5 eV. To study the effects of transient heat loads on a plasma-facing surface, a high power pulsed magnetized arc discharge has been developed. Additionally, the target surface can be transiently heated with a pulsed laser system during plasma exposure. In this contribution, the current status, capabilities and performance of Magnum-PSI are presented.

  10. Simulation of the Plasma Density Evolution during Electron Cyclotron Resonance Heating at the T-10 Tokamak

    Science.gov (United States)

    Dnestrovskij, Yu. N.; Vershkov, V. A.; Danilov, A. V.; Dnestrovskij, A. Yu.; Zenin, V. N.; Lysenko, S. E.; Melnikov, A. V.; Shelukhin, D. A.; Subbotin, G. F.; Cherkasov, S. V.

    2018-01-01

    In ohmically heated (OH) plasma with low recycling, an improved particle confinement (IPC) mode is established during gas puffing. However, after gas puffing is switched off, this mode is retained only for about 100 ms, after which an abrupt phase transition into the low particle confinement (LPC) mode occurs in the entire plasma cross section. During such a transition, energy transport due to heat conduction does not change. The phase transition in OH plasma is similar to the effect of density pump-out from the plasma core, which occurs after electron cyclotron heating (ECH) is switched on. Analysis of the measured plasma pressure profiles in the T-10 tokamak shows that, after gas puffing in the OH mode is switched off, the plasma pressure profile in the IPC stage becomes more peaked and, after the peakedness exceeds a certain critical value, the IPC-LPC transition occurs. Similar processes are also observed during ECH. If the pressure profile is insufficiently peaked during ECH, then the density pump-out effect comes into play only after the critical peakedness of the pressure profile is reached. In the plasma core, the density and pressure profiles are close to the corresponding canonical profiles. This allows one to derive an expression for the particle flux within the canonical profile model and formulate a criterion for the IPC-LPC transition. The time evolution of the plasma density profile during phase transitions was simulated for a number of T-10 shots with ECH and high recycling. The particle transport coefficients in the IPC and LPC phases, as well as the dependences of these coefficients on the ECH power, are determined.

  11. Characterization of a segmented plasma torch assisted High Heat Flux (HHF) system for performance evaluation of plasma facing components in fusion devices

    International Nuclear Information System (INIS)

    Ngangom, Aomoa; Sarmah, Trinayan; Sah, Puspa; Kakati, Mayur; Ghosh, Joydeep

    2015-01-01

    A wide variety of high heat and particle flux test facilities are being used by the fusion community to evaluate the thermal performance of plasma facing materials/components, which includes electron beam, ion beam, neutral beam and thermal plasma assisted sources. In addition to simulate heat loads, plasma sources have the additional advantage of reproducing exact fusion plasma like conditions, in terms of plasma density, temperature and particle flux. At CPP-IPR, Assam, we have developed a high heat and particle flux facility using a DC, non-transferred, segmented thermal plasma torch system, which can produce a constricted, stabilized plasma jet with high ion density. In this system, the plasma torch exhausts into a low pressure chamber containing the materials to be irradiated, which produces an expanded plasma jet with more uniform profiles, compared to plasma torches operated at atmospheric pressure. The heat flux of the plasma beam was studied by using circular calorimeters of different diameters (2 and 3 cm) for different input power (5-55 kW). The effect of the change in gas (argon) flow rate and mixing of gases (argon + hydrogen) was also studied. The heat profile of the plasma beam was also studied by using a pipe calorimeter. From this, the radial heat flux was calculated by using Abel inversion. It is seen that the required heat flux of 10 MW/m 2 is achievable in our system for pure argon plasma as well as for plasma with gas mixtures. The plasma parameters like the temperature, density and the beam velocity were studied by using optical emission spectroscopy. For this, a McPherson made 1.33 meter focal length spectrometer; model number 209, was used. A plane grating with 1800 g/mm was used which gave a spectral resolution of 0.007 nm. A detailed characterization with respect to these plasma parameters for different gas (argon) flow rate and mixing of gases (argon+hydrogen) for different input power will be presented in this paper. The plasma

  12. A new Langmuir probe concept for rapid sampling of space plasma electron density

    International Nuclear Information System (INIS)

    Jacobsen, K S; Pedersen, A; Moen, J I; Bekkeng, T A

    2010-01-01

    In this paper we describe a new Langmuir probe concept that was invented for the in situ investigation of HF radar backscatter irregularities, with the capability to measure absolute electron density at a resolution sufficient to resolve the finest conceivable structure in an ionospheric plasma. The instrument consists of two or more fixed-bias cylindrical Langmuir probes whose radius is small compared to the Debye length. With this configuration, it is possible to acquire absolute electron density measurements independent of electron temperature and rocket/satellite potential. The system was flown on the ICI-2 sounding rocket to investigate the plasma irregularities which cause HF backscatter. It had a sampling rate of more than 5 kHz and successfully measured structures down to the scale of one electron gyro radius. The system can easily be adapted for any ionospheric rocket or satellite, and provides high-quality measurements of electron density at any desired resolution

  13. Density functional and many-body theories of Hydrogen plasmas

    International Nuclear Information System (INIS)

    Perrot, F.; Dharma-Wardana, M.W.C.

    1983-11-01

    This work is an attempt to go beyond the standard description of hot condensed matter using the well-known ''average atom model''. The first part describes a static model using ''Density functional theory'' to calculate self-consistent coupled electron and ion density profiles of the plasma not restricted to a single average atomic sphere. In a second part, the results are used as ingredients for a many-body approach to electronic properties: the one-particle Green-function self-energy is calculated, from which shifted levels, populations and level-widths are deduced. Results for the Hydrogen plasma are reported, with emphasis on the 1s bound state

  14. Duodenal L cell density correlates with features of metabolic syndrome and plasma metabolites

    Directory of Open Access Journals (Sweden)

    Annieke C G van Baar

    2018-05-01

    Full Text Available Background: Enteroendocrine cells are essential for the regulation of glucose metabolism, but it is unknown whether they are associated with clinical features of metabolic syndrome (MetS and fasting plasma metabolites. Objective: We aimed to identify fasting plasma metabolites that associate with duodenal L cell, K cell and delta cell densities in subjects with MetS with ranging levels of insulin resistance. Research design and methods: In this cross-sectional study, we evaluated L, K and delta cell density in duodenal biopsies from treatment-naïve males with MetS using machine-learning methodology. Results: We identified specific clinical biomarkers and plasma metabolites associated with L cell and delta cell density. L cell density was associated with increased plasma metabolite levels including symmetrical dimethylarginine, 3-aminoisobutyric acid, kynurenine and glycine. In turn, these L cell-linked fasting plasma metabolites correlated with clinical features of MetS. Conclusions: Our results indicate a link between duodenal L cells, plasma metabolites and clinical characteristics of MetS. We conclude that duodenal L cells associate with plasma metabolites that have been implicated in human glucose metabolism homeostasis. Disentangling the causal relation between L cells and these metabolites might help to improve the (small intestinal-driven pathophysiology behind insulin resistance in human obesity.

  15. Surface density profile and surface tension of the one-component classical plasma

    International Nuclear Information System (INIS)

    Ballone, P.; Senatore, G.; Trieste Univ.; Tosi, M.P.; Oxford Univ.

    1982-08-01

    The density profile and the interfacial tension of two classical plasmas in equilibrium at different densities are evaluated in the square-density-gradient approximation. For equilibrium in the absence of applied external voltage, the profile is oscillatory in the higher-density plasma and the interfacial tension is positive. The amplitude and phase of these oscillations and the magnitude of the interfacial tension are related to the width of the background profile. Approximate representations of the equilibrium profile by matching of its asymptotic forms are analyzed. A comparison with computer simulation data and a critical discussion of a local-density theory are also presented. (author)

  16. Electron Cyclotron Resonance Heating of a High-Density Plasma

    DEFF Research Database (Denmark)

    Hansen, F. Ramskov

    1986-01-01

    Various schemes for electron cyclotron resonance heating of tokamak plasmas with the ratio of electron plasma frequency to electron cyclotron frequency, "»pe/^ce* larger than 1 on axis, are investigated. In particular, a mode conversion scheme is investigated using ordinary waves at the fundamental...... of the electron cyclotron frequency. These are injected obliquely from the outside of the tokamak near an optimal angle to the magnetic field lines. This method involves two mode conversions. The ordinary waves are converted into extraordinary waves near the plasma cut-off layer. The extraordinary waves...... are subsequently converted into electrostatic electron Bernstein waves at the upper hybrid resonance layer, and the Bernstein waves are completely absorbed close to the plasma centre. Results are presented from ray-tracinq calculations in full three-dimensional geometry using the dispersion function for a hot non...

  17. High-density lipoprotein modulates glucose metabolism in patients with type 2 diabetes mellitus

    DEFF Research Database (Denmark)

    Drew, Brian G; Duffy, Stephen J; Formosa, Melissa F

    2009-01-01

    BACKGROUND: Low plasma high-density lipoprotein (HDL) is associated with elevated cardiovascular risk and aspects of the metabolic syndrome. We hypothesized that HDL modulates glucose metabolism via elevation of plasma insulin and through activation of the key metabolic regulatory enzyme, AMP...

  18. Results from core-edge experiments in high Power, high performance plasmas on DIII-D

    Directory of Open Access Journals (Sweden)

    T.W. Petrie

    2017-08-01

    Full Text Available Significant challenges to reducing divertor heat flux in highly powered near-double null divertor (DND hybrid plasmas, while still maintaining both high performance metrics and low enough density for application of RF heating, are identified. For these DNDs on DIII-D, the scaling of the peak heat flux at the outer target (q⊥P ∝ [PSOL x IP] 0.92 for PSOL= 8−19MW and IP= 1.0–1.4MA, and is consistent with standard ITPA scaling for single-null H-mode plasmas. Two divertor heat flux reduction methods were tested. First, applying the puff-and-pump radiating divertor to DIII-D plasmas may be problematical at high power and H98 (≥ 1.5 due to improvement in confinement time with deuterium gas puffing which can lead to unacceptably high core density under certain conditions. Second, q⊥P for these high performance DNDs was reduced by ≈35% when an open divertor is closed on the common flux side of the outer divertor target (“semi-slot” but also that heating near the slot opening is a significant source for impurity contamination of the core.

  19. Accuracy of the calculations of the ionization-state densities in a steady-state plasma

    International Nuclear Information System (INIS)

    Salzmann, D.

    1980-01-01

    A quantitative definition is given to the accuracy of the computation of the partial densities of the ionization states in a steady-state plasma when there is an inaccuracy in the rate coefficients used in the rate equation. It is found that the partial density of the most abundant ion species is almost independent of the exact form of the rate coefficients, but large errors may occur for the rare species. The effect of the variation of the total ion density on the partial densities is also calculated. For low-ion densities the partial ionization-state densities grow proportionally to the change of the total density, but at high densities there is an alteration of the charge-state distribution as well

  20. Ionospheric plasma density structures associated with magnetopause motion: a case study using the Cluster spacecraft and the EISCAT Svalbard Radar

    Directory of Open Access Journals (Sweden)

    F. Pitout

    2004-07-01

    Full Text Available On 5 January 2003, the footprint of the Cluster spacecraft, then orbiting in the dayside magnetosphere near the magnetopause, was in the close vicinity of the EISCAT Svalbard Radar (ESR in the dayside afternoon sector. This configuration made possible the study of the magnetopause motion and its direct consequences on the ionospheric plasma at high latitude. Cluster observed multiple magnetopause crossings despite its high latitude, while on the ground the magnetic activity was very low, whereas the ionospheric plasma sounded by the ESR exhibited poleward moving plasma density structures. In this paper, we compare the satellite and radar data, in order to show that the plasma density structures are directly related to the magnetopause motion and its associated pulsed ionospheric flow. We propose that the variations in electric field make the convection velocity vary enough to alter the electron population by accelerating the chemistry in the F-region and act as a source of electron depletion. The magnetopause motion is in this case, a source of plasma density structures in the polar dayside ionosphere.