WorldWideScience

Sample records for high-current ion implanters

  1. Development of a high current ion implanter

    International Nuclear Information System (INIS)

    Choi, Byung Ho; Kim, Wan; Jin, Jeong Tae

    1990-01-01

    A high current ion implanter of the energy of 100 Kev and the current of about 100 mA has been developed for using the high dose ion implantation, surface modification of steels and ceramics, and ion beam milling. The characteristics of the beam extraction and transportation are investigated. A duoPIGatron ion source compatible with gas ion extraction of about 100 mA, a single gap acceleration tube which is able to compensate the divergence due to the space charge effect, and a beam transport system with the concept of the space charge neutralization are developed for the high current machine. The performance of the constructed machine shows that nitrogen, argon, helium, hydrogen and oxygen ion beams are successfully extracted and transported at a beam divergence due to space charge effect is negligible in the operation pressure of 2 x 10 -5 torr. (author)

  2. Versatile high current metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1992-01-01

    A metal ion implantation facility has been developed with which high current beams of practically all the solid metals of the periodic table can be produced. A multicathode, broad-beam, metal vapor vacuum arc ion source is used to produce repetitively pulsed metal ion beams at an extraction voltage of up to 100 kV, corresponding to an ion energy of up to several hundred kiloelectronvolts because of the ion charge state multiplicity, and with a beam current of up to several amps peak pulsed and several tens of milliamps time averaged delivered onto a downstream target. Implantation is done in a broad-beam mode, with a direct line of sight from ion source to target. Here we summarize some of the features of the ion source and the implantation facility that has been built up around it. (orig)

  3. Broad-beam, high current, metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-07-01

    We have developed a high current metal ion implantation facility with which high current beams of virtually all the solid metals of the Periodic Table can be produced. The facility makes use of a metal vapor vacuum arc ion source which is operated in a pulsed mode, with pulse width 0.25 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, corresponding to an ion energy of up to several hundred keV because of the ion charge state multiplicity; beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Implantation is done in a broad-beam mode, with a direct line-of-sight from ion source to target. Here we describe the facility and some of the implants that have been carried out using it, including the 'seeding' of silicon wafers prior to CVD with titanium, palladium or tungsten, the formation of buried iridium silicide layers, and actinide (uranium and thorium) doping of III-V compounds. 16 refs., 6 figs

  4. High current pelletron for ion implantation

    International Nuclear Information System (INIS)

    Schroeder, J.B.

    1989-01-01

    Since 1984, when the first production MeV ion implanter (an NEC model MV-T30) went on-line, interest in versatile electrostatic accelerator systems for MeV ion implantation has grown. The systems use a negative ion source to inject a tandem megavolt accelerator. In early systems the 0.4 mA of charging current from the two Pelletron charging chains in the accelerator was sufficient for the low intensity of beams from the ion source. This 2-chain system, however, is no longer adequate for the much higher beam intensities from today's improved ion sources. A 4-chain charging system, which delivers 1.3 mA to the high voltage terminal, was developed and is in operation in new models of NEC S Series Pelletron accelerators. This paper describes the latest beam performance of 1 MV and 1.7 MW Pelletron accelerators with this new 4-chain charging system. (orig.)

  5. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    Science.gov (United States)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  6. Current control for magnetized plasma in direct-current plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Tang Deli; Chu, Paul K.

    2003-01-01

    A method to control the ion current in direct-current plasma-immersion ion implantation (PIII) is reported for low-pressure magnetized inductively coupled plasma. The ion current can be conveniently adjusted by applying bias voltage to the conducting grid that separates plasma formation and implantation (ion acceleration) zones without the need to alter the rf input power, gas flux, or other operating conditions. The ion current that diminishes with an increase in grid bias in magnetized plasmas can be varied from 48 to 1 mA by increasing the grid voltage from 0 to 70 V at -50 kV sample bias and 0.5 mTorr hydrogen pressure. High implantation voltage and monoenergetic immersion implantation can now be achieved by controlling the ion current without varying the macroscopic plasma parameters. The experimental results and interpretation of the effects are presented in this letter. This technique is very attractive for PIII of planar samples that require on-the-fly adjustment of the implantation current at high implantation voltage but low substrate temperature. In some applications such as hydrogen PIII-ion cut, it may obviate the need for complicated sample cooling devices that must work at high voltage

  7. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  8. Characterization and control of wafer charging effects during high-current ion implantation

    International Nuclear Information System (INIS)

    Current, M.I.; Lukaszek, W.; Dixon, W.; Vella, M.C.; Messick, C.; Shideler, J.; Reno, S.

    1994-02-01

    EEPROM-based sense and memory devices provide direct measures of the charge flow and potentials occurring on the surface of wafers during ion beam processing. Sensor design and applications for high current ion implantation are discussed

  9. Design of an end station for a high current ion implantation system

    International Nuclear Information System (INIS)

    Kranik, J.R.

    1979-01-01

    During the last 4 to 5 years IBM has been involved in an effort to develop a high current Ion Implantation system with pre-deposition capabilities. The system is dedicated to Arsenic implants, involving doses > 1 x 10 15 ions/cm 2 in the energy range of 30 to 60 keV. A major portion of this effort involved the design of an associated end station capable of producing high uniformity implants with beam currents in the 0.5 to 6.0 mA range. The end station contains all components from the exit of the analyzing magnet, including the exit beamline, process chamber, scan system, wafer handling system, high vacuum pumping package, beam optics, dosimetry system, and associated electronic controls. The unit was restricted to a six wafer (82 mm) batch size to maintain process line compatibility. In addition, implant dose non-uniformity objectives were established at +- 3% (2σ) within a wafer and +- 2% (2σ) wafer-to-wafer. Also, the system was to be capable of implanting 24 wafers/hour at a dose of 7.5 x 10 15 ions/cm 2 . Major consideration in the design was afforded to high reliability, ease of maintenance and production level throughput capabilities. The rationale and evolution of the final end station design is described. (author)

  10. Negative-ion current density dependence of the surface potential of insulated electrode during negative-ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Okayama, Yoshio; Toyota, Yoshitaka; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kouji.

    1994-01-01

    Positive ion implantation has been utilized as the method of impurity injection in ultra-LSI production, but the problem of substrate charging cannot be resolved by conventional charge compensation method. It was forecast that by negative ion implantation, this charging problem can be resolved. Recently the experiment on the negative ion implantation into insulated electrodes was carried out, and the effect of negative ion implantation to this problem was proved. However, the dependence of charged potential on the increase of negative ion current at the time of negative ion implantation is a serious problem in large current negative ion implantation hereafter. The charged potential of insulated conductor substrates was measured by the negative ion implantation using the current up to several mA/cm 2 . The experimental method is explained. Medium current density and high current density negative ion implantation and charged potential are reported. Accordingly in negative ion implantation, if current density is optimized, the negative ion implantation without charging can be realized. (K.I.)

  11. A high current metal vapour vacuum arc ion source for ion implantation studies

    International Nuclear Information System (INIS)

    Evans, P.J.; Noorman, J.T.; Watt, G.C.; Cohen, D.D.; Bailey, G.M.

    1989-01-01

    The main features of the metal vapour vacuum arc(MEVA) as an ion source are presented. The technology utilizes the plasma production capabilities of a vacuum arc cathode. Some of the ions produced in this discharge flow through the anode and the 3 extraction grids to form an extracted ion beam. The high beam current and the potential for generating broad beams, make this technology suitable for implantation of large surface areas. The composition of the vacuum arc cathode determines the particular ions obtained from the MEVA source. 3 refs., 1 tab., 2 figs

  12. Architecture and control of a high current ion implanter system

    International Nuclear Information System (INIS)

    Bayer, E.H.; Paul, L.F.; Kranik, J.R.

    1979-01-01

    The design of an ion implant system for use in production requires that special attention be given to areas of design which normally are not emphasized on research or development type ion implanters. Manually operated, local controls are replaced by remote controls, automatic sequencing, and digital displays. For ease of maintenance and replication the individual components are designed as simply as possible and are contained in modules of separate identities, joined only by the beam line and electrical interconnections. A production environment also imposes requirements for the control of contamination and maintainability of clean room integrity. For that reason the major portion of the hardware is separated from the clean operator area and is housed in a maintenance core area. The controls of a production system should also be such that relatively unskilled technicians are able to operate the system with optimum repeatability and minimum operator intervention. An extensive interlock system is required. Most important, for use in production the ion implant system has to have a relatively high rate of throughput. Since the rate of throughput at a given dose is a function of beam current, pumpdown time and wafer handling capacity, design of components affecting these parameters has been optimized. Details of the system are given. (U.K.)

  13. Analysis techniques of charging damage studied on three different high-current ion implanters

    Science.gov (United States)

    Felch, S. B.; Larson, L. A.; Current, M. I.; Lindsey, D. W.

    1989-02-01

    One of the Greater Silicon Valley Implant Users' Group's recent activities has been to sponsor a round-robin on charging damage, where identical wafers were implanted on three different state-of-the-art, high-current ion implanters. The devices studied were thin-dielectric (250 Å SiO2), polysilicon-gate MOS capacitors isolated by thick field oxide. The three implanters involved were the Varian/Extrion 160XP, the Eaton/Nova 10-80, and the Applied Materials PI9000. Each implanter vendor was given 48 wafers to implant with 100 keV As+ ions at a dose of 1 × 1016 cm-2. Parameters that were varied include the beam current, electron flood gun current, and chamber pressure. The charge-to-breakdown, breakdown voltage, and leakage current of several devices before anneal have been measured. The results from these tests were inconclusive as to the physical mechanism of charging and as to the effectiveness of techniques to reduce its impact on devices. However, the methodology of this study is discussed in detail to aid in the planning of future experiments. Authors' industrial affiliations: S.B. Felch, Varian Research Center, 611 Hansen Way, Palo Alto, CA 94303, USA; L.A. Larson, National Semiconductor Corp., P.O. Box 58090, Santa Clara, CA 95052-8090, USA; M.I. Current, Applied Materials, 3050 Bowers Ave., Santa Clara, CA 95054, USA; D.W. Lindsey, Eaton/NOVA, 931 Benicia Ave, Sunnyvale, CA 94086, USA.

  14. High-current and low acceleration voltage arsenic ion implanted polysilicon-gate and source-drain electrode Si mos transistor

    International Nuclear Information System (INIS)

    Saito, Yasuyuki; Sugimura, Yoshiro; Sugihara, Michiyuki

    1993-01-01

    The fabrication process of high current arsenic (As) ion implanted polysilicon (Si) gate and source drain (SD) electrode Si n-channel metal oxide-semiconductor field effect transistor (MOSFET) was examined. Poly Si film n-type doping was performed by using high current (typical current: 2mA) and relatively low acceleration voltage (40keV) As ion implantation technique (Lintott series 3). It was observed that high dose As implanted poly Si films as is show refractoriness against radical fluorine excited by microwave. Using GCA MANN4800 (m/c ID No.2, resist: OFPR) mask pattern printing technique, the high current As ion implantation technique and radical fluorine gas phase etching (Chemical dry etching: CDE) technique, the n-channel Poly Si gate (ρs = ≅100Ω/□) enhancement MQSFETs(ρs source drain = ≅50Ω/□, SiO 2 gate=380 angstrom) with off-leak-less were obtained on 3 inch Czochralski grown 2Ωcm boron doped p type wafers (Osaka titanium). By the same process, a 8 bit single chip μ-processor with 26MHz full operation was performed

  15. RIKEN 200 kV high current implanter for metal surface modification

    International Nuclear Information System (INIS)

    Iwaki, M.; Yoshida, K.; Sakudo, N.

    1985-01-01

    A high current, metal ion implanter was constructed in order to aid the formation of a new metastable surface alloy. This implanter, called a RIKEN 200 kV high current implanter, is a modified Lintott high current machine (Series III), which has the advantages of having its own microwave ion source and an extra target chamber. The microwave discharge ion source without a hot-filament has a comparatively long lifetime because the chloride ions and radicals in a plasma during discharge of metal chlorides might prevent metal to deposit on the inner walls of the discharge chamber by bombarding and chemically cleaning them. An extra target chamber for metal modification is able to control the surface composition by utilizing the sputtering effect of the ion beam during ion implantation. The use of this ion source and the extra target chamber is suggested to be suitable for the production of metallic ions and for the implantation into metals. The case study will be introduced for TI implantation into Fe. (orig.)

  16. Current trends in ion implantation

    International Nuclear Information System (INIS)

    Gwilliam, R.M.

    2001-01-01

    As semiconductor device dimensions continue to shrink, the drive beyond 250 nm is creating significant problems for the device processor. In particular, trends toward shallower-junctions, lower thermal budgets and simplified processing steps present severe challenges to ion implantation. In parallel with greater control of the implant process goes the need for a better understanding of the physical processes involved during implantation and subsequent activation annealing. For instance, the need for an understanding of dopant-defect interaction is paramount as defects mediate a number of technologically important phenomena such as transient enhanced diffusion and impurity gettering. This paper will outline the current trends in the ion implantation and some of the challenges it faces in the next decade, as described in the semiconductor roadmap. It will highlight some recent positron annihilation work that has made a contribution to addressing one of these challenges, namely the need for tighter control of implant uniformity and dose. Additionally, some vacancy-mediated processes are described with the implication that these may provide areas in which positron annihilation spectroscopy could make a significant contribution. (orig.)

  17. Comparison of experimental target currents with analytical model results for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    En, W.G.; Lieberman, M.A.; Cheung, N.W.

    1995-01-01

    Ion implantation is a standard fabrication technique used in semiconductor manufacturing. Implantation has also been used to modify the surface properties of materials to improve their resistance to wear, corrosion and fatigue. However, conventional ion implanters require complex optics to scan a narrow ion beam across the target to achieve implantation uniformity. An alternative implantation technique, called Plasma Immersion Ion Implantation (PIII), immerses the target into a plasma. The ions are extracted from the plasma directly and accelerated by applying negative high-voltage pulses to the target. An analytical model of the voltage and current characteristics of a remote plasma is presented. The model simulates the ion, electron and secondary electron currents induced before, during and after a high voltage negative pulse is applied to a target immersed in a plasma. The model also includes analytical relations that describe the sheath expansion and collapse due to negative high voltage pulses. The sheath collapse is found to be important for high repetition rate pulses. Good correlation is shown between the model and experiment for a wide variety of voltage pulses and plasma conditions

  18. Characterisation Of The Beam Plasma In High Current, Low Energy Ion Beams For Implanters

    International Nuclear Information System (INIS)

    Fiala, J.; Armour, D. G.; Berg, J. A. van der; Holmes, A. J. T.; Goldberg, R. D.; Collart, E. H. J.

    2006-01-01

    The effective transport of high current, positive ion beams at low energies in ion implanters requires the a high level of space charge compensation. The self-induced or forced introduction of electrons is known to result in the creation of a so-called beam plasma through which the beam propagates. Despite the ability of beams at energies above about 3-5 keV to create their own neutralising plasmas and the development of highly effective, plasma based neutralising systems for low energy beams, very little is known about the nature of beam plasmas and how their characteristics and capabilities depend on beam current, beam energy and beamline pressure. These issues have been addressed in a detailed scanning Langmuir probe study of the plasmas created in beams passing through the post-analysis section of a commercial, high current ion implanter. Combined with Faraday cup measurements of the rate of loss of beam current in the same region due to charge exchange and scattering collisions, the probe data have provided a valuable insight into the nature of the slow ion and electron production and loss processes. Two distinct electron energy distribution functions are observed with electron temperatures ≥ 25 V and around 1 eV. The fast electrons observed must be produced in their energetic state. By studying the properties of the beam plasma as a function of the beam and beamline parameters, information on the ways in which the plasma and the beam interact to reduce beam blow-up and retain a stable plasma has been obtained

  19. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  20. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  1. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  2. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  3. Characterization of ion implanted silicon by the electrolytic reverse current

    International Nuclear Information System (INIS)

    Hueller, J.; Pham, M.T.

    1977-01-01

    The current voltage behaviour of ion implanted silicon electrodes in HF electrolyte is investigated. The electrolytic reverse current, i.e. the reaction rate of the minority carrier limited reactions is found to increase. The current increase depends on the implanted dose and layer stripping. Reason for the increased reverse current can be referred to radiation damage acting as generation centres for minority carriers. Measurement of the electrolytic reverse current can be used for determining damage profiles. Layer stripping is carried out by anodic dissolution in the same electrolyte. The sensitivity of this new method for characterizing ion implanted silicon layers lies at 10 11 to 10 12 atoms/cm 2 . (author)

  4. Low preveance ion source bridges low and high intensities in ion implantation

    International Nuclear Information System (INIS)

    Orr, F.D.; Mayhall, D.

    1976-01-01

    The Low Perveance Ion Source developed by Accelerators, Inc. offers the Semiconductor Industry the advantage of processing medium to high intensity implants on a system which will also implant 200 to 300 wafers an hour at MOS doses. Stable source beam currents can be varied over three orders of magnitude by variation of a single source parameter. This source uses a new computer designed Low Perveance extraction optics which is completely new to the Ion Implantation Industry. Test data and calculations are shown which define the versatility of this system. Scanned currents from 1 microamp to 400 microamps allow for a variety of production processing. Beam characteristics feature low energy spread (less than 10 eV) and low divergence (less than 3 degrees). Beam control optics consist of a double focusing analyzing magnet and two triplet quadrupoles. The source may be fitted with an oven for feeding of solid materials and analyzed beam currents in the milliamp range for development purposes. The batch processing, hybrid scanning end station is most applicable for high current beams as well as high volume batch processings of MOS Implants. Results of development work toward increased currents using both solid and gas feed material with the Low Perveance source are presented. System improvements including Accel-Decel and a third extraction element are discussed

  5. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  6. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  7. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  8. Some high-current ion sources for materials modification

    International Nuclear Information System (INIS)

    Taylor, T.

    1989-01-01

    Ion sources for materials modification have evolved through three distinct generations. The first generation was adopted from research accelerators. These cold-cathode plasma-discharge devices generate beam currents of less than 100 μA. The hot-cathode plasma-discharge ion sources, originally developed for isotope separation, comprise the second generation. They produce between 100 μA and 10 mA of beam current. The third generation ion sources give beam currents in excess of 10 mA. This technology, transferred from industrial accelerators, has already made SIMOX (Separation by IMplanted OXygen) into a commercially viable semiconductor process and promises to do the same for ion implantation of metals and insulators. The author focuses on the third generation technology that will play a key role in the future of ion implantation. 10 refs.; 5 figs.; 2 tabs

  9. Electron cyclotron resonance ion source for high currents of mono- and multicharged ion and general purpose unlimited lifetime application on implantation devices

    Science.gov (United States)

    Bieth, C.; Bouly, J. L.; Curdy, J. C.; Kantas, S.; Sortais, P.; Sole, P.; Vieux-Rochaz, J. L.

    2000-02-01

    The electron cyclotron resonance (ECR) ion sources were originally developed for high energy physic applications. They are used as injectors on linear accelerators and cyclotrons to further increase the particle energy via high charge state ions. This ECR technology is well suited for sources placed on a high voltage platform where ac power available is limited by insulated transformers. The PANTECHNIK family of ion source with its wide range of ion beam (various charge states with various beam currents) offers new possibilities and perspectives in the field of ion implantation. In addition to all these possibilities, the PANTECHNIK ion sources have many other advantages like: a very long lifetime without maintenance expense, good stability, efficiency of ionization close to 100% (this improves the lifetime of the pumping system and other equipment), the possibility of producing ion beams with different energies, and a very good reproducibility. The main characteristics of sources like Nanogan or SuperNanogan will be recalled. We will especially present the results obtained with the new Microgan 10 GHz source that can be optimized for the production of high currents of monocharged ion, including reactive gas like BF3 (2 mA e of B+) or medium currents of low charge state like 0.5 mA e of Ar4+. The latest results obtained with Microgan 10 GHz show that it is possible to drive the source up to 30 mA e of total current, with an emittance of 150 π mm mrad at 40 kV and also to maintain the production of multicharged ions like Ar8+.

  10. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  11. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  12. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  13. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  14. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  15. High-temperature superconductors induced by ion implantation. Final report

    International Nuclear Information System (INIS)

    Greenwald, A.C.; Johnson, E.

    1988-08-01

    High dose oxygen ion implantation (10 to the 17th power ions per sq. cm.) at elevated temperatures (300 C) has been shown to adjust the critical temperature of gamma-Y-Ba-Cu-O and Bi-Ca-Sr-Cu-O materials. These results are in marked contrast to earlier work which showed complete destruction of superconducting properties for similar radiation doses, and marked reduction in superconducting properties at one-tenth this dose in the 1-2-3- compound only. Experiments also showed that the superconducting materials can be patterned into conducting and nonconducting areas without etching by ion implantation, allowing maintenance of planar geometries required for microcircuit fabrication. Experiments on deposition of thin films of high temperature superconductors for use with the ion implantation experiments showed that ion beam sputtering from a single target could achieve the correct stoichiometry. Variations of composition with ion beam energy and angle of sputtered ions were studied

  16. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  17. High current ion sources

    International Nuclear Information System (INIS)

    Brown, I.G.

    1989-06-01

    The concept of high current ion source is both relative and evolutionary. Within the domain of one particular kind of ion source technology a current of microamperers might be 'high', while in another area a current of 10 Amperes could 'low'. Even within the domain of a single ion source type, what is considered high current performance today is routinely eclipsed by better performance and higher current output within a short period of time. Within their fields of application, there is a large number of kinds of ion sources that can justifiably be called high current. Thus, as a very limited example only, PIGs, Freemen sources, ECR sources, duoplasmatrons, field emission sources, and a great many more all have their high current variants. High current ion beams of gaseous and metallic species can be generated in a number of different ways. Ion sources of the kind developed at various laboratories around the world for the production of intense neutral beams for controlled fusion experiments are used to form large area proton deuteron beams of may tens of Amperes, and this technology can be used for other applications also. There has been significant progress in recent years in the use of microwave ion sources for high current ion beam generation, and this method is likely to find wide application in various different field application. Finally, high current beams of metal ions can be produced using metal vapor vacuum arc ion source technology. After a brief consideration of high current ion source design concepts, these three particular methods are reviewed in this paper

  18. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  19. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  20. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  1. Procedure for the ion implantation of MOS elements

    International Nuclear Information System (INIS)

    Gessner, T.; Vetter, E.; Tolonics, J.

    1986-01-01

    The ion implantation procedure is applied to the doping of MOS elements. The invention guarantees a homogeneous doping in the dose range from 10 10 to 10 12 ions/cm 2 without additional installations of mechanical orifices in high-current implantation devices. The ion source parameters like cathode heating current, pressure at the ion source, extraction and acceleration voltages correspond to the dose range (10 10 to 10 12 ions/cm 2 ) for single charged ions of the doping agent. Double or triple charged ions generated at the ion source have been separated mass-analytically, accelerated and scanned. Ion densities below 100 nA/cm 2 have been obtained

  2. Surface modification of metals by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1988-01-01

    Ion implantation in metals has attracted the attention as a useful technology for the formation of new metastable alloys and compounds in metal surface layers without thermal equilibrium. Current studies of metal surface modification by ion implantation with high fluences have expanded from basic research areas and to industrial applications for the improvement of life time of tools. Many results suggest that the high fluence implantation produces the new surface layers with un-expected microscopic characteristics and macroscopic properties due to implant particles, radiation damage, sputtering, and knock-on doping. In this report, the composition, structure and chemical bonding state in surface layers of iron, iron-based alloy and aluminum sheets implanted with high fluences have been investigated by means of secondary ion mass spectroscopy (SIMS), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Tribological properties such as hardness, friction and wear are introduced. (author)

  3. Ion implantation into iron

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1978-01-01

    The distribution of implanted ions in iron, the friction characteristics and the corrosion of iron were studied. The distribution of Ni or Cr ions implanted into mild steel was measured. The accelerated voltage was 150 keV, and the beam current density was about 2 microampere/cm 2 . The measurement was made with an ion microanalyzer. The measured distribution was compared with that of LSS theory. Deep invasion of Ni was seen in the measured distribution. The distribution of Cr ions was different from the distribution calculated by the LSS theory. The relative friction coefficient of mild steel varied according to the dose of implanted Cu or N ions, and to the accelerating voltage. Formation of compound metals on the surfaces of metals by ion-implantation was investigated for the purpose to prevent the corrosion of metals. The resistance of mild steel in which Ni ions were implanted was larger than that of mild steel without any treatment. (Kato, T.)

  4. AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with reduced leakage current and enhanced breakdown voltage using aluminum ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Shichuang [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Fu, Kai, E-mail: kfu2009@sinano.ac.cn, E-mail: cqchen@mail.hust.edu.cn; Yu, Guohao; Zhang, Zhili; Song, Liang; Deng, Xuguang; Li, Shuiming; Sun, Qian; Cai, Yong; Zhang, Baoshun [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Qi, Zhiqiang; Dai, Jiangnan; Chen, Changqing, E-mail: kfu2009@sinano.ac.cn, E-mail: cqchen@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2016-01-04

    This letter has studied the performance of AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors on silicon substrate with GaN buffer treated by aluminum ion implantation for insulating followed by a channel regrown by metal–organic chemical vapor deposition. For samples with Al ion implantation of multiple energies of 140 keV (dose: 1.4 × 10{sup 14} cm{sup −2}) and 90 keV (dose: 1 × 10{sup 14} cm{sup −2}), the OFF-state leakage current is decreased by more than 3 orders and the breakdown voltage is enhanced by nearly 6 times compared to the samples without Al ion implantation. Besides, little degradation of electrical properties of the 2D electron gas channel is observed where the maximum drain current I{sub DSmax} at a gate voltage of 3 V was 701 mA/mm and the maximum transconductance g{sub mmax} was 83 mS/mm.

  5. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N.; Evans, P.J.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L.S. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J. [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1996-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  6. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N; Evans, P J; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L S [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1997-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  7. In situ beam angle measurement in a multi-wafer high current ion implanter

    International Nuclear Information System (INIS)

    Freer, B.S.; Reece, R.N.; Graf, M.A.; Parrill, T.; Polner, D.

    2005-01-01

    Direct, in situ measurement of the average angle and angular content of an ion beam in a multi-wafer ion implanter is reported for the first time. A new type of structure and method are described. The structures are located on the spinning disk, allowing precise angular alignment to the wafers. Current that passes through the structures is known to be within a range of angles and is detected behind the disk. By varying the angle of the disk around two axes, beam current versus angle is mapped and the average angle and angular spread are calculated. The average angle measured in this way is found to be consistent with that obtained by other techniques, including beam centroid offset and wafer channeling methods. Average angle of low energy beams, for which it is difficult to use other direct methods, is explored. A 'pencil beam' system is shown to give average angle repeatability of 0.13 deg. (1σ) or less, for two low energy beams under normal tuning variations, even though no effort was made to control the angle

  8. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  9. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  10. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  11. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  12. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  13. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  14. High dislocation density structures and hardening produced by high fluency pulsed-ion-beam implantation

    International Nuclear Information System (INIS)

    Sharkeev, Yu.P.; Didenko, A.N.; Kozlov, E.V.

    1994-01-01

    The paper presents a review of experimental data on the ''long-range effect'' (a change in dislocation structure and in physicomechanical properties at distances considerably greater than the ion range value in ion-implanted metallic materials and semiconductors). Our results of electron microscopy studies of high density dislocation structure in ion-implanted metallic materials with different initial states are given. It has been shown that the nature of the dislocation structure and its quantitative characteristics in the implanted metals and alloys depend on the target initial state, the ion type and energy and the retained dose. The data obtained by different workers are in good agreement both with our results and with each other as well as with the results of investigation of macroscopic characteristics (wear resistance and microhardness). It has been established that the ''long-range effect'' occurs in metallic materials with a low yield point or high plasticity level and with little dislocation density in their initial state prior to ion implantation. ((orig.))

  15. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  16. Ion implantation control system

    International Nuclear Information System (INIS)

    Gault, R. B.; Keutzer, L. L.

    1985-01-01

    A control system is disclosed for an ion implantation system of the type in which the wafers to be implanted are mounted around the periphery of a disk which rotates and also moves in a radial direction relative to an ion beam to expose successive sections of each wafer to the radiation. The control system senses beam current which passes through one or more apertures in the disk and is collected by a Faraday cup. This current is integrated to obtain a measure of charge which is compared with a calculated value based upon the desired ion dosage and other parameters. The resultant controls the number of incremental steps the rotating disk moves radially to expose the adjacent sections of each wafer. This process is continued usually with two or more traverses until the entire surface of each wafer has been implanted with the proper ion dosage

  17. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  18. High-current heavy-ion accelerator system and its application to material modification

    International Nuclear Information System (INIS)

    Kishimoto, Naoki; Takeda, Yoshihiko; Lee, C.G.; Umeda, Naoki; Okubo, Nariaki; Iwamoto, Eiji

    2001-01-01

    A high-current heavy-ion accelerator system has been developed to realize intense particle fluxes for material modification. The facility of a tandem accelerator attained 1 mA-class ion current both for negative low-energy ions and positive high-energy ions. The negative ion source of the key device is of the plasma-sputter type, equipped with mutli-cusp magnets and Cs supply. The intense negative ions are either directly used for material irradiation at 60 keV or further accelerated up to 6 MeV after charge transformation. Application of negative ions, which alleviates surface charging, enables us to conduct low-energy high-current irradiation on insulating substrates. Since positive ions above the MeV range are irrelevant for Coulomb repulsion, the facility as a whole meets the needs of high-current irradiation onto insulators over a wide energy range. Application of high flux ions provides technological merits not only for efficient implantation but also for essentially different material kinetics, which may become an important tool of material modification. Other advantages of the system are co-irradiation by intense laser and in-situ detection of kinetic processes. For examples of material modifications, we present nanoparticle fabrication in insulators, and synergistic phenomena by co-irradiation due to ions and photons. (author)

  19. High energy metal ion implantation using 'Magis', a novel, broad-beam, Marx-generator-based ion source

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.G.; Dickinson, M.R.; MacGill, R.A.

    1996-08-01

    Ion energy of the beam formed by an ion source is proportional to extractor voltage and ion charge state. Increasing the voltage is difficult and costly for extraction voltage over 100 kV. Here we explore the possibility of increasing the charge states of metal ions to facilitate high-energy, broad beam ion implantation at a moderate voltage level. Strategies to enhance the ion charge state include operating in the regimes of high-current vacuum sparks and short pulses. Using a time-of-flight technique we have measured charge states as high as 7+ (73 kA vacuum spark discharge) and 4+ (14 kA short pulse arc discharge), both for copper, with the mean ion charge states about 6.0 and 2.5, respectively. Pulsed discharges can conveniently be driven by a modified Marx generator, allowing operation of ''Magis'' with a single power supply (at ground potential) for both plasma production and ion extraction

  20. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  1. High energy ion implantation for semiconductor application at Fraunhofer-AIS, Erlangen

    International Nuclear Information System (INIS)

    Frey, L.; Bogen, S.; Gong, L.; Jung, W.; Ryssel, H.; Gyulai, J.

    1992-01-01

    A new high energy ion implanter for research and development in semiconductor technology was put into operation at the Fraunhofer Institute in Erlangen. The system is used for generation of ion beams in the energy range from 100 keV to more than 6 MeV with currents up to 100 μA. A large variety of ion species can be implanted into silicon wafers with diameters up to 200 mm (with cassette-to-cassette loading up to 150 mm). The performance characteristics of the system are described with special emphasis on the end stations. In a first series of experiments, the range distributions of boron, phosphorus and arsenic in silicon have been measured for energies from 0.2 MeV to 10 MeV in order to get a data set for future applications. The profiles are compared to simulated data. First experimental results on lateral distribution of the dopant species are presented. (orig.)

  2. The emittance of high current heavy ion beams

    International Nuclear Information System (INIS)

    White, N.R.; Devaney, A.S.

    1989-01-01

    Ion implantation is the main application for high current heavy ion beams. Transfer ratio is defined as the ratio of the total ion current leaving the ion source to the current delivered to the endstation. This ratio is monitored and logged and its importance is explained. It is also affected by other factors, such as the isotopic and molecular composition of the total ion beam. The transfer ratio reveals the fraction of ions which are intercepted by parts of the beamline system. The effects of these ions are discussed in two categories: processing purity and reliability. In discussing the emittance of ribbon beams, the two orthogonal planes are usually considered separately. Longitudinal emittance is determined by slot length and by plasma ion temperature. It has already been revealed that the longitudinal divergence of the beams from BF3 is perhaps double that of the beam from arsenic vapour or argon, at the same total perveance from the ion source. This poses the question: why is the ion temperature higher for BF3 than for As or Ar? The transverse emittance is in practical terms dominated by the divergence. It is the most fruitful area for improvement in most real-world systems. There is an intrinsic divergence arising from initial ion energies within the plasma, and there is emittance growth that can occur as a result of aberration in the beam extraction optics. (N.K.)

  3. Structural investigations of amorphised iron and nickel by high-fluence metalloid ion implantation

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Otto, G.; Hohmuth, K.; Heera, V.

    1987-01-01

    Boron, phosphorus and arsenic ions have been implanted into evaporated iron and nickel thin films at room temperature, and the implantation-induced microstructure has been investigated by high-voltage electron microscopy and transmission high energy electron diffraction. The metal films were implanted with ions to a constant dose of 1 x 10 17 and 5 x 10 17 ions/cm 2 respectively at energy of 50 keV. An amorphous layer was produced by boron and phosphorus ion implantation. Information on the atomic structure of the amorphous layers was obtained from the elastically diffracted electron intensity. On the basis of the correct scattering curves, the total interference function and the pair correlation function were determined. Finally, the atomic arrangement of the implantation-induced amorphous layers is discussed and structure produced by ion irradiation is compared with amorphous structures formed with other techniques. (author)

  4. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  5. Development of a CMOS process using high energy ion implantation

    International Nuclear Information System (INIS)

    Stolmeijer, A.

    1986-01-01

    The main interest of this thesis is the use of complementary metal oxide semiconductors (CMOS) in electronic technology. Problems in developing a CMOS process are mostly related to the isolation well of p-n junctions. It is shown that by using high energy ion implantation, it is possible to reduce lateral dimensions to obtain a rather high packing density. High energy ion implantation is also presented as a means of simplifying CMOS processing, since extended processing steps at elevated temperatures are superfluous. Process development is also simplified. (Auth.)

  6. Ion implantation into diamond

    International Nuclear Information System (INIS)

    Sato, Susumu

    1994-01-01

    The graphitization and the change to amorphous state of diamond surface layer by ion implantation and its characteristics are reported. In the diamond surface, into which more than 10 16 ions/cm 2 was implanted, the diamond crystals are broken, and the structure changes to other carbon structure such as amorphous state or graphite. Accompanying this change of structure, the electric conductivity of the implanted layer shows two discontinuous values due to high resistance and low resistance. This control of structure can be done by the temperature of the base during the ion implantation into diamond. Also it is referred to that by the base temperature during implantation, the mutual change of the structure between amorphous state and graphite can be controlled. The change of the electric resistance and the optical characteristics by the ion implantation into diamond surface, the structural analysis by Raman spectroscopy, and the control of the structure of the implanted layer by the base temperature during implantation are reported. (K.I.)

  7. Low energy ion implantation and high energy heavy ion irradiation in C60 films

    International Nuclear Information System (INIS)

    Narayanan, K.L.; Yamaguchi, M.; Dharmarasu, N.; Kojima, N.; Kanjilal, D.

    2001-01-01

    C 60 films have been bombarded with low energy boron ions and high energy swift heavy ions (SHI) of silver and oxygen at different doses. Raman scattering and Fourier transform infrared (FTIR) studies were carried out on the virgin and irradiated films and the results are in good agreement with each other. The films subject to low energy boron ion implantation showed destruction of the bukky balls whereas the films subject to high energy ion irradiation did not show appreciable effects on their structure. These results indicate that C 60 films are more prone to defects by elastic collision and subsequent implantation at lower energy. Irradiation at higher energy was less effective in creating appreciable defects through electronic excitation by inelastic collisions at similar energy density

  8. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  9. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  10. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  11. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  12. High-temperature oxidation of ion-implanted tantalum

    International Nuclear Information System (INIS)

    Kaufmann, E.N.; Musket, R.G.; Truhan, J.J.; Grabowski, K.S.; Singer, I.L.; Gossett, C.R.

    1982-01-01

    The oxidation of ion-implanted Ta in two different high temperature regimes has been studied. Oxidations were carried out at 500 0 C in Ar/O 2 mixtures, where oxide growth is known to follow a parabolic rate law in initial stages, and at 1000 0 C in pure O 2 , where a linear-rate behavior obtains. Implanted species include Al, Ce, Cr, Li, Si and Zr at fluences of the order of 10 17 /cm 2 . Oxidized samples were studied using Rutherford backscattering, nuclear reaction analysis, Auger spectroscopy, secondary-ion mass spectroscopy, x-ray diffraction and optical microscopy. Significant differences among the specimens were noted after the milder 500 0 C treatment, specifically, in the amount of oxide formed, the degree of oxygen dissolution in the metal beneath the oxide, and in the redistribution behavior of the implanted solutes. Under the severe 1000 0 C treatment, indications of different solute distributions and of different optical features were found, whereas overall oxidation rate appeared to be unaffected by the presence of the solute. 7 figures

  13. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  14. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  15. Wear life of sputtered MoSx films extended by high energy ion implantation

    International Nuclear Information System (INIS)

    Okazaki, Yasufumi; Fujiura, Hideo; Nishimura, Makoto

    2000-01-01

    The tribological characteristics of sputtered MoSx films have been reportedly improved by inert gas ion implantation. We tried to extend their wear life by introducing indium, carbon and gallium ion implantation. Pin-on-disk testers were used to measure friction coefficient and wear life in a vacuum, dry and humid air. Comparing with the unimplanted films, we found that the indium ion implanted films showed marked improvement in wear life in a vacuum. Carbon ion implanted films showed improvement in wear life in high humid air. Implantation was effective when it was conducted with maximum concentration at the interface between film and substrate rather than at the neighborhood of the interface inside a film. (author)

  16. Ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.

    1986-01-01

    It is the purpose of the present paper to give a review of surface alloy processing by ion implantation. However, rather than covering this vast subject as a whole, the survey is confined to a presentation of the microstructures that can be found in metal surfaces after ion implantation. The presentation is limited to alloys processed by ion implantation proper, that is to processes in which the alloy compositions are altered significantly by direct injection of the implanted ions. The review is introduced by a presentation of the processes taking place during development of the fundamental event in ion implantation - the collision cascade, followed by a summary of the various microstructures which can be formed after ion implantation into metals. This is compared with the variability of microstructures that can be achieved by rapid solidification processing. The microstructures are subsequently discussed in the light of the processes which, as the implantations proceed, take place during and immediately after formation of the individual collision cascades. These collision cascades define the volumes inside which individual ions are slowed down in the implanted targets. They are not only centres for vigorous agitation but also the sources for formation of excess concentrations of point defects, which will influence development of particular microstructures. A final section presents a selection of specific structures which have been observed in different alloy systems. (orig./GSCH)

  17. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  18. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  19. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  20. Martensitic transformation of type 304 stainless steel by high-energy ion implantation

    International Nuclear Information System (INIS)

    Chayahara, A.; Satou, M.; Nakashima, S.; Hashimoto, M.; Sasaki, T.; Kurokawa, M.; Kiyama, S.

    1991-01-01

    The effect of high-energy ion implantation on the structural changes of type 304 stainless steel were investigated. Gold, copper and silicon ions with an energy of 1.5 MeV was implanted into stainless steel. The fluences were in the range from 5x10 15 to 10 17 ions/cm 2 . It was found that the structure of stainless steel was transformed form the austenitic to the martensitic structure by these ion implantations. This structural change was investigated by means of X-ray diffraction and transmission electron microscopy (TEM). The depth profile of the irradiated ions was also analyzed by secondary ion mass spectroscopy (SIMS) and glow discharge spectroscopy (GDS). The degree of martensitic transformation was found to be strongly dependent on the surface pretreatment, either mechanical or electrolytic polishing. When the surface damages or strains by mechanical polishing were present, the martensitic transformation was greatly accelerated presumably due to the combined action of ion irradiation and strain-enhanced transformation. Heavier ions exhibit a high efficiency for the transformation. (orig.)

  1. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  2. Annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    Chivers, D.; Smith, B.J.; Stephen, J.; Fisher, M.

    1980-09-01

    The newer uses of ion implantation require a higher dose rate. This has led to the introduction of high beam current implanters; the wafers move in front of a stationary beam to give a scanning effect. This can lead to non-uniform heating of the wafer. Variations in the sheet resistance of the layers can be very non-uniform following thermal annealing. Non-uniformity in the effective doping both over a single wafer and from one wafer to another, can affect the usefulness of ion implantation in high dose rate applications. Experiments to determine the extent of non-uniformity in sheet resistance, and to see if it is correlated to the annealing scheme have been carried out. Details of the implantation parameters are given. It was found that best results were obtained when layers were annealed at the maximum possible temperature. For arsenic, phosphorus and antimony layers, improvements were observed up to 1200 0 C and boron up to 950 0 C. Usually, it is best to heat the layer directly to the maximum temperature to produce the most uniform layer; with phosphorus layers however it is better to pre-heat to 1050 0 C. (U.K.)

  3. Study of crystal damage by ion implantation using micro RBS/channeling

    International Nuclear Information System (INIS)

    Grambole, D.; Herrmann, F.; Heera, V.; Meijer, J.

    2007-01-01

    The combination of microbeam implantation and in-situ micro RBS/channeling analysis in the Rossendorf nuclear microprobe facility enables crystal damage studies with high current densities not achievable in standard ion implantation experiments. Si(1 0 0) samples were implanted with 600 keV Si + ions and a fluence of 1 x 10 16 cm -2 . Using a beam spot of 200 μm x 200 μm current densities from 4 to 120 μA/cm 2 were obtained. The substrate temperature was varied between RT and 265 deg. C. The implanted regions were subsequently analysed by micro RBS/channeling with a 3 MeV He + beam having a spot size of 50 μm x 50 μm. Crystal damage up to amorphisation was observed in dependence on the substrate temperature. Above a critical temperature T C no amorphisation occurs. T C was determined for each series of samples implanted with the same ion current density j. It was found that the empirical Arrhenius relation j ∼ exp(-E a /kT C ), known from standard implantation experiments, is also valid at high current densities. The observed Arrhenius law can be derived from a model of epitaxial crystallisation stimulated by defect diffusion

  4. A study of enhanced diffusion during high dose high flux pulsed metal ion implantation into steel and aluminium

    International Nuclear Information System (INIS)

    Zhang Tonghe; Ji Chengzhou; Shen Jinghua; Chen Jun

    1992-01-01

    The depth profiles of metal ions implanted into steel and aluminium were measured by Rutherford backscattering (RBS). The ions of Mo, W and Y, produced by a metal vapour vacuum are ion source (MEVVA) were implanted at an energy range from 25 to 50 keV for doses of (2-5)x10 17 cm -2 into H13 steel and aluminium. Beam currents were from 0.5 to 1.0 A. The beam flux is in the range of 25 to 75 μAcm -2 . In order to simulate the profiles, a formula which includes the sputtering yield, diffusion coefficients and reaction rate was obtained. The results demonstrate that the penetration depth and retained dose increase with increasing beam flux for Mo implanted into aluminium. The peak concentration of Mo implanted H13 steel increases with increasing ion flux. In contrast to this for Y implantation into steel, the peak concentration of Y decreases with increasing ion flux. For an ion flux of 25 μAcm -2 for Mo, Y and W implantation into steel, the penetration depth and retained dose are 3-5 times greater than the theoretical values. The diffusion coefficients are about 10 -16 to 10 -15 s -1 . If the ion flux is greater than 47 μAcm -2 , the penetration depth and retained dose are 5 to 10 times greater than the theoretical values for Mo implanted aluminium. The diffusion coefficients increase with increasing ion flux for Mo implanted aluminium. The diffusion coefficients hardly change with increasing ion flux for Y and Mo implanted H13 steel. The retained dose increases 0.43 to 1.16 times for Y implanted steel for an ion flux of 25 μAcm -2 . Finally, the influence of phases precipitates, reaction rate and diffusion on retained dose, diffusion coefficient and penetration depth are discussed. (orig.)

  5. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  6. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  7. High definition surface micromachining of LiNbO 3 by ion implantation

    Science.gov (United States)

    Chiarini, M.; Bentini, G. G.; Bianconi, M.; De Nicola, P.

    2010-10-01

    High Energy Ion Implantation (HEII) of both medium and light mass ions has been successfully applied for the surface micromachining of single crystal LiNbO 3 (LN) substrates. It has been demonstrated that the ion implantation process generates high differential etch rates in the LN implanted areas, when suitable implantation parameters, such as ion species, fluence and energy, are chosen. In particular, when traditional LN etching solutions are applied to suitably ion implanted regions, etch rates values up to three orders of magnitude higher than the typical etching rates of the virgin material, are registered. Further, the enhancement in the etching rate has been observed on x, y and z-cut single crystalline material, and, due to the physical nature of the implantation process, it is expected that it can be equivalently applied also to substrates with different crystallographic orientations. This technique, associated with standard photolithographic technologies, allows to generate in a fast and accurate way very high aspect ratio relief micrometric structures on LN single crystal surface. In this work a description of the developed technology is reported together with some examples of produced micromachined structures: in particular very precisely defined self sustaining suspended structures, such as beams and membranes, generated on LN substrates, are presented. The developed technology opens the way to actual three dimensional micromachining of LN single crystals substrates and, due to the peculiar properties characterising this material, (pyroelectric, electro-optic, acousto-optic, etc.), it allows the design and the production of complex integrated elements, characterised by micrometric features and suitable for the generation of advanced Micro Electro Optical Systems (MEOS).

  8. Modification of high density polyethylene by gold implantation using different ion energies

    Energy Technology Data Exchange (ETDEWEB)

    Nenadović, M.; Potočnik, J. [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Mitrić, M. [INS Vinca, Condensed Matter Physics Laboratory, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Štrbac, S. [ICTM Institute of Electrochemistry, University of Belgrade, Njegoseva 12, 11001 Belgrade (Serbia); Rakočević, Z., E-mail: zlatkora@vinca.rs [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia)

    2013-11-01

    High density polyethylene (HDPE) samples were modified by Au{sup +} ion implantation at a dose of 5 × 10{sup 15} ions cm{sup −2}, using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au{sup +} ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies.

  9. Modification of high density polyethylene by gold implantation using different ion energies

    International Nuclear Information System (INIS)

    Nenadović, M.; Potočnik, J.; Mitrić, M.; Štrbac, S.; Rakočević, Z.

    2013-01-01

    High density polyethylene (HDPE) samples were modified by Au + ion implantation at a dose of 5 × 10 15 ions cm −2 , using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au + ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies

  10. Electronic Transport and Raman Spectroscopy Characterization in Ion-Implanted Highly Oriented Pyrolytic Graphite

    Science.gov (United States)

    de Jesus, R. F.; Turatti, A. M.; Camargo, B. C.; da Silva, R. R.; Kopelevich, Y.; Behar, M.; Balzaretti, N. M.; Gusmão, M. A.; Pureur, P.

    2018-02-01

    We report on Raman spectroscopy, temperature-dependent in-plane resistivity, and in-plane magnetoresistance experiments in highly oriented pyrolytic graphite (HOPG) implanted with As and Mn. A pristine sample was also studied for comparison. Two different fluences were applied, φ = 0.5× 10^{16} {ions}/{cm}2 and φ = 1.0× 10^{16} {ions}/{cm}2. The implantations were carried out with 20 keV ion energy at room temperature. The Raman spectroscopy results reveal the occurrence of drastic changes of the HOPG surface as a consequence of the damage caused by ionic implantation. For the higher dose, the complete amorphization limit is attained. The resistivity and magnetoresistance results were obtained placing electrical contacts on the irradiated sample surface. Owing to the strong anisotropy of HOPG, the electrical current propagates mostly near the implanted surface. Shubnikov-de Haas (SdH) oscillations were observed in the magnetoresistance at low temperatures. These results allow the extraction of the fundamental SdH frequencies and the carriers' effective masses. In general, the resistivity and magnetoresistance results are consistent with those obtained from Raman measurements. However, one must consider that the electrical conduction in our samples occurs as in a parallel association of a largely resistive thin sheet at the surface strongly modified by disorder with a thicker layer where damage produced by implantation is less severe. The SdH oscillations do not hint to significant changes in the carrier density of HOPG.

  11. Ion implantation apparatus

    International Nuclear Information System (INIS)

    Forneris, J.L.; Hicks, W.W.; Keller, J.H.; McKenna, C.M.; Siermarco, J.A.; Mueller, W.F.

    1981-01-01

    The invention relates to ion bombardment or implantation apparatus. It comprises an apparatus for bombarding a target with a beam of ions, including an arrangement for measuring the ion beam current and controlling the surface potential of the target. This comprises a Faraday cage formed, at least in part, by the target and by walls adjacent to, and electrically insulated from, the target and surrounding the beam. There is at least one electron source for supplying electrons to the interior of the Faraday cage and means within the cage for blocking direct rectilinear radiation from the source to the target. The target current is measured and combined with the wall currents to provide a measurement of the ion beam current. The quantity of electrons supplied to the interior of the cage can be varied to control the target current and thereby the target surface potential. (U.K.)

  12. Peculiarities of the electrontransport properties of polyimide films implanted with copper and cobalt ions

    International Nuclear Information System (INIS)

    Nazhim, F.A.; Odzhaev, V.B.; Lukashevich, M.G.; Nuzhdin, V.I.; Khajbullin, R.I.

    2010-01-01

    Thin polyimide foils were implanted with 40 keV Co + and Cu + ions at fluencies of 2,5·1016-1,251017 cm 2 and at ion current densities of 4, 8 and 12 mA cm 2 . Surface dc electric resistance of the implanted polymer samples have been measured in the temperature range 40-300 K. Metal implantation results in decreasing polymer resistance with the dose and current density increasing for the both kinds of metal ions. The decrease of dc electric resistance is caused by radiation-induced carbonization and metal nanoparticle formation in the implanted region of polymer. The transition from the insulating to metallic regime of conductivity is observed in cobalt implanted samples for critical doses above Dc = 1,25?1017 cm 2 at an ion current density of 8 mA cm 2 . In the contrary, high-fluence implantation in the polymer with Cu + ions for the same regimes does not result in the transition. The dominating mechanisms of charge carrier transport and the origin of insulator-to-metal transition in the metal implanted polymer are discussed. (authors)

  13. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  14. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  15. Vacancy supersaturations produced by high-energy ion implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Eaglesham, D.J.; Jacobson, D.C.; Gossmann, H.J.

    1998-01-01

    A new technique for detecting the vacancy clusters produced by high-energy ion implantation into silicon is proposed and tested. This technique takes advantage of the fact that metal impurities, such as Au, are gettered near one-half of the projected range (1/2 R p ) of MeV implants. The vacancy clustered region produced by a 2 MeV Si + implant into silicon has been labeled with Au diffused in from the front surface. The trapped Au was detected by Rutherford backscattering spectrometry (RBS) to profile the vacancy clusters. Cross section transmission electron microscopy (XTEM) analysis shows that the Au in the region of vacancy clusters is in the form of precipitates. By annealing MeV implanted samples prior to introduction of the Au, changes in the defect concentration within the vacancy clustered region were monitored as a function of annealing conditions

  16. Cobalt alloy ion sources for focused ion beam implantation

    Energy Technology Data Exchange (ETDEWEB)

    Muehle, R.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Zimmermann, P. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    Cobalt alloy ion sources have been developed for silicide formation by focused ion beam implantation. Four eutectic alloys AuCo, CoGe, CoY and AuCoGe were produced by electron beam welding. The AuCo liquid alloy ion source was investigated in detail. We have measured the emission current stability, the current-voltage characteristics, and the mass spectrum as a function of the mission current. (author) 1 fig., 2 refs.

  17. ESR studies of high-energy phosphorus-ion implanted synthetic diamond crystals

    Energy Technology Data Exchange (ETDEWEB)

    Isoya, J [University of Library and Information Science, Tsukuba, Ibaraki (Japan); Kanda, H; Morita, Y; Ohshima, T

    1997-03-01

    Phosphorus is among potential n-type dopants in diamond. High pressure synthetic diamond crystals of type IIa implanted with high energy (9-18 MeV) phosphorus ions have been studied by using electron spin resonance (ESR) technique. The intensity and the linewidth of the ESR signal attributed to the dangling bond of the amorphous phase varied with the implantation dose, suggesting the nature of the amorphization varies with the dose. The ESR signals of point defects have been observed in the low dose as-implanted crystals and in the high dose crystals annealed at high temperature and at high pressure. (author)

  18. Structure of ion-implanted ceramics

    International Nuclear Information System (INIS)

    Naramoto, Hiroshi

    1983-01-01

    The variation of structure of LiF, MgO, Al 2 O 3 and TiO 2 accompanying annealing after ion implantation is explained. The analysis of structure is usually made by the perturbed gamma ray angular correlation, the internal electron Moessbauer method, or the ion scattering method. The results of analyses are discussed for alkali ion implantation, Fe-ion implantation, In-ion implantation, Au-ion implantation, Pt-ion implantation, Pb-ion implantation and transition metal ion implantation. The coupling of the implanted elements with lattice defects and matrix elements, and the compatibility between deposited elements and matrix crystal lattice were studied. The variation of physical properties due to ion implantation such as phase transition, volume change, the control of single crystal region, and the variation of hardness near surface were investigated, and the examples are presented. (Kato, T.)

  19. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  20. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  1. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  2. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Science.gov (United States)

    Sokullu Urkac, E.; Oztarhan, A.; Tihminlioglu, F.; Kaya, N.; Ila, D.; Muntele, C.; Budak, S.; Oks, E.; Nikolaev, A.; Ezdesir, A.; Tek, Z.

    2007-08-01

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 1017 ion/cm2 and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  3. High current density ion source

    International Nuclear Information System (INIS)

    King, H.J.

    1977-01-01

    A high-current-density ion source with high total current is achieved by individually directing the beamlets from an electron bombardment ion source through screen and accelerator electrodes. The openings in these screen and accelerator electrodes are oriented and positioned to direct the individual beamlets substantially toward a focus point. 3 figures, 1 table

  4. Effects of ion implantation on the electrochemical characteristics of carbon electrodes

    International Nuclear Information System (INIS)

    Takahashi, Katsuo; Iwaki, Masaya

    1994-01-01

    Various carbon materials are important electrode materials for electrochemical field. By ion implantation, the surface layer reforming of carbon materials (mainly galssy carbon) was carried out, and the effect that it exerts to their electrode characteristics was investigated. As the results of the ion implantation of Li, N, O, K, Ti, Zn, Cd and others performed so far, it was found that mainly by the change of the surface layer to amorphous state, there were the effects of the lowering of base current and the lowering of electrode reaction rate, and it was known that the surface layers of carbon materials doped with various kinds of ions showed high chemical stability. The use of carbon materials as electrodes in electrochemistry is roughly divided into the electrodes for electrolytic industry and fuel cells for large current and those for the measurement in electrochemical reaction for small current. The structure of carbon materials and electrode characteristics, and the reforming effect by ion implantation are reported. (K.I.)

  5. Change of chemical bond and wettability of polylacticacid implanted with high-flux carbon ion

    International Nuclear Information System (INIS)

    Zhang Jizhong; Kang Jiachen; Zhang Xiaoji; Zhou Hongyu

    2008-01-01

    Polylacticacid (PLA) was submitted to high-flux carbon ion implantation with energy of 40 keV. It was investigated to the effect of ion fluence (1 x 10 12 -1 x 10 15 ions/cm 2 ) on the properties of the polymer. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), wettability, and roughness were employed to study change of structure and properties of the as-implanted PLA samples. Six carbon bonds, that is, C, C-H, C-O-C, C-O, O-C-O, and >C=O, were observed on surfaces of the as-implanted PLA samples. The intensities of various chemical bonds changed with increasing ion fluence. AFM images displayed that there was irradiation damage and that it was related closely with ion fluence. At fluence as high as 1 x 10 15 ions/cm 2 surface-restructuring phenomenum took place on the surface of the PLA. Wettability was also affected by the variation on the fluence. With increasing ion fluence, the water contact angle of the as-implanted PLA samples changed gradually reaching a maximum of 76.5 deg. with 1 x 10 13 ions/cm 2 . The experimental results revealed that carbon ion fluence strongly affected surface chemical bond, morphology, wettability, and roughness of the PLA samples

  6. Target-ion source unit ionization efficiency measurement by method of stable ion beam implantation

    CERN Document Server

    Panteleev, V.N; Fedorov, D.V; Moroz, F.V; Orlov, S.Yu; Volkov, Yu.M

    The ionization efficiency is one of the most important parameters of an on-line used target-ion source system exploited for production of exotic radioactive beams. The ionization efficiency value determination as a characteristic of a target-ion source unit in the stage of its normalizing before on-line use is a very important step in the course of the preparation for an on-line experiment. At the IRIS facility (Petersburg Nuclear Physics Institute, Gatchina) a reliable and rather precise method of the target-ion source unit ionization efficiency measurement by the method of stable beam implantation has been developed. The method worked out exploits an off-line mass-separator for the implantation of the ion beams of selected stable isotopes of different elements into a tantalum foil placed inside the Faraday cup in the focal plane of the mass-separator. The amount of implanted ions has been measured with a high accuracy by the current integrator connected to the Faraday cup. After the implantation of needed a...

  7. Modification of electrical properties of polymer membranes by ion implantation

    International Nuclear Information System (INIS)

    Dworecki, K.; Hasegawa, T.; Sudlitz, K.; Wasik, S.

    2000-01-01

    This paper presents an experimental study of the electrical properties of polymer ion irradiated polyethylene terephthalate (PET) membranes. The polymer samples have been implanted with a variety of ions (O 5+ , N 4+ , Kr 9+ ) by the energy of 10 keV/q up to doses of 10 15 ions/cm 2 and then they were polarized in an electric field of 4.16x10 6 V/m at non-isothermal conditions. The electrical properties and the changes in the chemical structure of implanted membrane were measured by conductivity and discharge currents and FTIR spectra. Electrical conductivity of the membranes PET increases to 1-3 orders of magnitude after implantation and is determined by the charge transport caused by free space charge and by thermal detrapping of charge carriers. The spectra of thermally induced discharge current (TDC) shows that ion irradiated PET membranes are characterized by high ability to accumulate charge

  8. Ion-implanted PLZT ceramics: a new high-sensitivity image storage medium

    International Nuclear Information System (INIS)

    Peercy, P.S.; Land, C.E.

    1980-01-01

    Results were presented of our studies of photoferroelectric (PFE) image storage in H- and He-ion implanted PLZT (lead lanthanum zirconate titanate) ceramics which demonstrate that the photosensitivity of PLZT can be significantly increased by ion implantation in the ceramic surface to be exposed to image light. More recently, implantations of Ar and Ar + Ne into the PLZT surface have produced much greater photosensitivity enhancement. For example, the photosensitivity after implantation with 1.5 x 10 14 350 keV Ar/cm 2 + 1 x 10 15 500 keV Ne/cm 2 is increased by about four orders of magnitude over that of unimplanted PLZT. Measurements indicate that the photosensitivity enhancement in ion-implanted PLZT is controlled by implantation-produced disorder which results in marked decreases in dielectric constant and dark conductivity and changes in photoconductivity of the implanted layer. The effects of Ar- and Ar + Ne-implantation are presented along with a phenomenological model which describes the enhancement in photosensitivity obtained by ion implantation. This model takes into account both light- and implantation-induced changes in conductivity and gives quantitative agreement with the measured changes in the coercive voltage V/sub c/ as a function of near-uv light intensity for both unimplanted and implanted PLZT. The model, used in conjunction with calculations of the profiles of implantation-produced disorder, has provided the information needed for co-implanting ions of different masses, e.g., Ar and Ne, to improve photosensitivity

  9. Synthesis of graphene by MEVVA source ion implantation

    International Nuclear Information System (INIS)

    Ying, J.J.; Xiao, X.H.; Dai, Z.G.; Wu, W.; Li, W.Q.; Mei, F.; Cai, G.X.; Ren, F.; Jiang, C.Z.

    2013-01-01

    Ion implantation provides a new synthesis route for graphene, and few-layered graphene synthesis by ion implantation has been reported. Here we show the synthesis of a single layer of high-quality graphene by Metal Vapor Vacuum Arc (MEVVA) source ion implantation. Polycrystalline nickel and copper thin films are implanted with MEVVA source carbon ions at 40 kV, followed by high-temperature thermal annealing and quenching. A Raman spectrum is applied to probe the quality and thickness of the prepared graphene. A single layer of high-quality graphene is grown on the nickel films, but not on the copper films. The growth mechanisms on the nickel and copper films are explained. MEVVA source ion implantation has been widely applied in industrial applications, demonstrating that this synthesis method can be generalized for industrial production

  10. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Energy Technology Data Exchange (ETDEWEB)

    Sokullu Urkac, E. [Department of Materials Science, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey)]. E-mail: emelsu@gmail.com; Oztarhan, A. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Tihminlioglu, F. [Department of Chemical Engineering, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey); Kaya, N. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Ila, D. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Muntele, C. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Budak, S. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Oks, E. [H C Electronics Institute, Tomsk (Russian Federation); Nikolaev, A. [H C Electronics Institute, Tomsk (Russian Federation); Ezdesir, A. [R and D Department, PETKIM Holding A.S., Aliaga, Izmir 35801 (Turkey); Tek, Z. [Department of Physics, Celal Bayar University, Manisa (Turkey)

    2007-08-15

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE ). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 10{sup 17} ion/cm{sup 2} and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  11. Study of high energy ion implantation of boron and oxygen in silicon

    International Nuclear Information System (INIS)

    Thevenin, P.

    1991-06-01

    Three aspects of high energy (0.5-3 MeV) light ions ( 11 B + and 16 O + ) implantation in silicon are examined: (1)Spatial repartition; (2) Target damage and (3) Synthesis by oxygen implantation of a buried silicon oxide layer

  12. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  13. Extreme implanting in Si: A study of ion-induced damage at high temperature and high dose

    International Nuclear Information System (INIS)

    Holland, O.W.

    1994-01-01

    Ion-solid interactions near room temperature and below have been well studied in single-crystal Si. While this has led to a better understanding of the mechanisms responsible for nucleation and growth of lattice damage during irradiation, these studies have not, in general, been extended to high temperatures (e.g., >200 degrees C). This is the case despite the commercialization of ion beam technologies which utilize high-temperature processing, such as separation by implantation of oxygen (SIMOX). In this process, a silicon-on-insulator (SOI) material is produced by implanting a high dose of oxygen ions into a Si wafer to form a buried, stoichiometric oxide layer. Results will be presented of a study of damage accumulation during high-dose implantation of Si at elevated temperatures. In particular, O + -ions were used because of the potential impact of the results on the SIMOX technology. It will be shown that the nature of the damage accumulation at elevated temperatures is quite distinctive and portends the presence of a new mechanism, one which is only dominant under the extreme conditions encountered during ion beam synthesis (i.e., high temperature and high dose). This mechanism is discussed and shown to be quite general and not dependent on the chemical identity of the ions. Also, techniques for suppressing this mechanism by open-quotes defect engineeringclose quotes are discussed. Such techniques are technologically relevant because they offer the possibility of reducing the defect density of the SOI produced by SIMOX

  14. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    Science.gov (United States)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  15. High fluence effects on ion implantation stopping and range

    International Nuclear Information System (INIS)

    Selvi, S.; Tek, Z.; Oeztarhan, A.; Akbas, N.; Brown, I.G.

    2005-01-01

    We have developed a code STOPPO which can be used to modify the more-widely used ion implantation codes to more accurately predict the mean nuclear and electronic stopping power, preferential sputtering and range of heavy ions in monatomic target materials. In our simulations an effective atomic number and effective atomic mass are introduced into conveniently available analytical stopping cross-sections and a better fitting function for preferential sputtering yield is carefully evaluated for each ion implantation. The accuracy of the code confirmed experimentally by comparison with measured Rutherford backscattering spectrometry (RBS) concentration profiles for 130 keV Zr ions implanted into Be to fluences of 1 x 10 17 , 2 x 10 17 and 4 x 10 17 ions/cm 2 . We find a steady increase in the mean nuclear and electronic stopping powers of the target; the increase in nuclear stopping power is much greater than the increase in electronic stopping power

  16. Ion implantation technology

    CERN Document Server

    Downey, DF; Jones, KS; Ryding, G

    1993-01-01

    Ion implantation technology has made a major contribution to the dramatic advances in integrated circuit technology since the early 1970's. The ever-present need for accurate models in ion implanted species will become absolutely vital in the future due to shrinking feature sizes. Successful wide application of ion implantation, as well as exploitation of newly identified opportunities, will require the development of comprehensive implant models. The 141 papers (including 24 invited papers) in this volume address the most recent developments in this field. New structures and possible approach

  17. Development of long lifetime-high current plasma cathode ion source

    International Nuclear Information System (INIS)

    Yabe, Eiji; Takayama, Kazuo; Fukui, Ryota.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma cathode is convergent, i.e. filament-like; in zero magnetic field, it turns divergent and spray-like. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 hours with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is eminently suitable for use in oxygen ion production. (author)

  18. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  19. Early stages of oxidation of ion-implanted nickel at high temperature

    International Nuclear Information System (INIS)

    Peide, Z.; Grant, W.A.; Procter, R.P.M.

    1981-01-01

    The early stages of oxidation of nickel implanted with nickel, chromium, or lithium ions in oxygen at 1100 0 C have been studied using various electron-optical techniques. The unimplanted metal develops initially a fine-grained, convoluted scale having a ridged, cellular structure. Subsequently, the oxide grains increase in size significantly and oxidation becomes predominantly controlled by diffusion of Ni /sup 2+/ ions across a compact, columnar scale. Implantation of the surface with nickel ions has no significant effect on the initial oxidation behavior. However, after implantation with chromium or lithium ions, the development of the NiO scale is, in the early stages of oxidation, suppressed by formation of NiCr 2 O 4 or LiO 2 nodules, respectively. Subsequently, the implanted species are incorporated into the steady-state NiO scale where they dope the oxide and thus influence the diffusion rate of Ni /sup 2+/ ions through it. As would be predicted, the steady-state oxidation rate of chromium-implanted nickel is increased while that of lithium- implanted nickel is decreased compared with that of the unimplanted metal

  20. Ion beam stabilization in ion implantation equipment

    International Nuclear Information System (INIS)

    Pina, L.

    1973-01-01

    The results are presented of experimental efforts aimed at ion beam current stabilization in an equipment for ion implantation in solids. The related problems of power supplies are discussed. Measured characteristics of laboratory equipment served the determination of the parameters to be required of the supplies as well as the design and the construction of the supplies. The respective wiring diagram is presented. (J.K.)

  1. Ion implantation and bio-compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Yoshiaki; Kusakabe, Masahiro [Sony Corp., Tokyo (Japan). Corporate Research Labs.; Iwaki, Masaya

    1992-07-01

    Surface modification of polymers by ion implantation has been carried out to control surface properties such as conductivity, wettability, blood and tissue compatibility. Ion implantation into silicone rubber, polystyrene and segmented polyurethane was performed at 150 keV with doses ranging from 1 x 10[sup 15] to 3 x 10[sup 17] ions/cm[sup 2] to improve bio-compatibility. The platelet accumulation on ion implanted silicone rubber decreased and non-thrombogenicity of ion implanted specimens were improved. The ion implanted polystyrene and segmented polyurethane have been found to exhibit remarkably higher adhesion and spreading of endothelial cells compared to the non-implanted case. It is concluded that ion implantation into polymers is effective in controlling their bio-compatibility. (author).

  2. Surface treatment of dental implants with high- power pulsed ion beams

    International Nuclear Information System (INIS)

    Shulov, V.A.; Nochovnaya, N.A.; Remnev, G.E.; Ivanov, S.Y.; Lomakin, M.V.

    2001-01-01

    The objective of the present research is development of HPPIB technology for surface processing of compact components with a complex shape. The surface state of the dental implants from titanium alloys before and after irradiation and long time operation was investigated by Auger electron spectroscopy, scanning electron microscopy, X-ray structural analysis, optical metallography methods. It is shown that the homogeneous state in the surface layer of titanium alloys is formed due to the irradiation (carbon ions and protons, energy of ions is equal to 300 keV, density of ion energy in a pulse achieves 1-5 J/cm 2 ). This state is characterized by a low amount of the impurities and a fine dispersion structure formed as a result of high speed crystallization. Thus, HPPIB irradiation of the dental implants leads to formation of developed micro relief and the decrease of impurities content on the surface. As a result, this treatment allows one to achieve a good cohesion between the implants and a body tissue. The latter allows the conclusion that biocompatibility of the dental titanium implants produced by can be improved using HPPIB treatment

  3. Plasma source ion implantation of metal ions: Synchronization of cathodic-arc plasma production and target bias pulses

    International Nuclear Information System (INIS)

    Wood, B.P.; Reass, W.A.; Henins, I.

    1995-01-01

    An erbium cathodic-arc has been installed on a Plasma Source Ion Implantation (PSII) experiment to allow the implantation of erbium metal and the growth of adherent erbia (erbium oxide) films on a variety of substrates. Operation of the PSII pulser and the cathodic-arc are synchronized to achieve pure implantation, rather than the hybrid implantation/deposition being investigated in other laboratories. The relative phase of the 20 μs PSII and cathodic-arc pulses can to adjusted to tailor the energy distribution of implanted ions and suppress the initial high-current drain on the pulse modulator. The authors present experimental data on this effect and make a comparison to results from particle-in-cell simulations

  4. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  5. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  6. Simple, high current, antimony ion source

    International Nuclear Information System (INIS)

    Sugiura, H.

    1979-01-01

    A simple metal ion source capable of producing a continuous, uncontaminated, high current beam of Sb ions is presented. It produced a total ion current of 200 μA at 1 kV extraction voltage. A discharge occurred in the source at a pressure of 6 x 10 -4 Torr. The ion current extracted from the source increased with the 3/2 power of the extraction voltage. The perveance of the source and ion density in the plasma were 8 x 10 -9 and 1.8 x 10 11 cm -3 , respectively

  7. Highly antibacterial UHMWPE surfaces by implantation of titanium ions

    Energy Technology Data Exchange (ETDEWEB)

    Delle Side, D., E-mail: domenico.delleside@le.infn.it [LEAS, Dipartimento di Matematica e Fisica “Ennio de Giorgi”, Università del Salento, Lecce (Italy); Istituto Nazionale di Fisica Nucleare – Sezione di Lecce, Lecce (Italy); Nassisi, V.; Giuffreda, E.; Velardi, L. [LEAS, Dipartimento di Matematica e Fisica “Ennio de Giorgi”, Università del Salento, Lecce (Italy); Istituto Nazionale di Fisica Nucleare – Sezione di Lecce, Lecce (Italy); Alifano, P.; Talà, A.; Tredici, S.M. [Dipartimento di Scienze e Tecnologie Biologiche ed Ambientali, Università del Salento, Lecce (Italy)

    2014-07-15

    The spreading of pathogens represents a serious threat for human beings. Consequently, efficient antimicrobial surfaces are needed in order to reduce risks of contracting severe diseases. In this work we present the first evidences of a new technique to obtain a highly antibacterial Ultra High Molecular Weight Polyethylene (UHMWPE) based on a non-stoichiometric titanium oxide coating, visible-light responsive, obtained through ion implantation.

  8. Highly antibacterial UHMWPE surfaces by implantation of titanium ions

    Science.gov (United States)

    Delle Side, D.; Nassisi, V.; Giuffreda, E.; Velardi, L.; Alifano, P.; Talà, A.; Tredici, S. M.

    2014-07-01

    The spreading of pathogens represents a serious threat for human beings. Consequently, efficient antimicrobial surfaces are needed in order to reduce risks of contracting severe diseases. In this work we present the first evidences of a new technique to obtain a highly antibacterial Ultra High Molecular Weight Polyethylene (UHMWPE) based on a non-stoichiometric titanium oxide coating, visible-light responsive, obtained through ion implantation.

  9. Ion implantation of CdTe single crystals

    International Nuclear Information System (INIS)

    Wiecek, Tomasz; Popovich, Volodymir; Bester, Mariusz; Kuzma, Marian

    2017-01-01

    Ion implantation is a technique which is widely used in industry for unique modification of metal surface for medical applications. In semiconductor silicon technology ion implantation is also widely used for thin layer electronic or optoelectronic devices production. For other semiconductor materials this technique is still at an early stage. In this paper based on literature data we present the main features of the implantation of CdTe single crystals as well as some of the major problems which are likely to occur when dealing with them. The most unexpected feature is the high resistance of these crystals against the amorphization caused by ion implantation even at high doses (10"1"7 1/cm"2). The second property is the disposal of defects much deeper in the sample then it follows from the modeling calculations. The outline of principles of the ion implantation is included in the paper. The data based on RBS measurements and modeling results obtained by using SRIM software were taken into account.

  10. Lattice location of platinum ions implanted into single crystal zirconia and their annealing behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Cao, D X [Royal Melbourne Inst. of Tech., VIC (Australia); Sood, D K [Academia Sinica, Shanghai, SH (China). Shanghai Inst. of Nuclear Research; Brown, I G [Lawrence Berkeley Lab., CA (United States)

    1994-12-31

    Single crystal samples of (100) oriented cubic zirconia stabilised with 9.5 mol % yttria were implanted with platinum ions, using a metal vapour vacuum arc (MEVVA) high current ion implanter, to a nominal dose of 1x10{sup 17} ions/cm{sup 2}. The implanted samples were annealed isothermally in air ambient at 1200 deg C, from 1-24 hours. Rutherford Backscattering Spectrometry and Channeling (RBSC) of 2 MeV He ions are employed to determine depth distributions of ion damage, Pt ions and substitutionality of Pt ions before and after annealing. The damage behaviour, Pt migration and lattice location are discussed in terms of metastable phase formation and solid solubility considerations. 7 refs., 3 figs.

  11. Lattice location of platinum ions implanted into single crystal zirconia and their annealing behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Cao, D.X. [Royal Melbourne Inst. of Tech., VIC (Australia); Sood, D.K. [Academia Sinica, Shanghai, SH (China). Shanghai Inst. of Nuclear Research; Brown, I.G. [Lawrence Berkeley Lab., CA (United States)

    1993-12-31

    Single crystal samples of (100) oriented cubic zirconia stabilised with 9.5 mol % yttria were implanted with platinum ions, using a metal vapour vacuum arc (MEVVA) high current ion implanter, to a nominal dose of 1x10{sup 17} ions/cm{sup 2}. The implanted samples were annealed isothermally in air ambient at 1200 deg C, from 1-24 hours. Rutherford Backscattering Spectrometry and Channeling (RBSC) of 2 MeV He ions are employed to determine depth distributions of ion damage, Pt ions and substitutionality of Pt ions before and after annealing. The damage behaviour, Pt migration and lattice location are discussed in terms of metastable phase formation and solid solubility considerations. 7 refs., 3 figs.

  12. Surface ionization ion source with high current

    International Nuclear Information System (INIS)

    Fang Jinqing; Lin Zhizhou; Yu Lihua; Zhan Rongan; Huang Guojun; Wu Jianhua

    1986-04-01

    The working principle and structure of a surface ionization ion source with high current is described systematically. Some technological keypoints of the ion source are given in more detail, mainly including: choosing and shaping of the material of the surface ionizer, heating of the ionizer, distributing of working vapour on the ionizer surface, the flow control, the cooling problem at the non-ionization surface and the ion optics, etc. This ion source has been used since 1972 in the electromagnetic isotope separator with 180 deg angle. It is suitable for separating isotopes of alkali metals and rare earth metals. For instance, in the case of separating Rubidium, the maximum ion current of Rbsup(+) extracted from the ion source is about 120 mA, the maximum ion current accepted by the receiver is about 66 mA, the average ion current is more than 25 mA. The results show that our ion source have advantages of high ion current, good characteristics of focusing ion beam, working stability and structure reliability etc. It may be extended to other fields. Finally, some interesting phenomena in the experiment are disccused briefly. Some problems which should be investigated are further pointed out

  13. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  14. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  15. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    Science.gov (United States)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  16. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  17. Amorphization of metals by ion implantation and ion beam mixing

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Heera, V.

    1988-01-01

    Amorphous metallic systems can be formed either by high-fluence ion implantation of glassforming species or by irradiation of layered metal systems with inert gas ions. Both techniques and experimental examples are presented. Empirical rules are discussed which predict whether a given system can be transformed into an amorphous phase. Influence of temperature, implantation dose and pre-existing crystalline metal composition on amorphization is considered. Examples are given of the implantation induced amorphous structure, recrystallization and formation of quasicrystalline structures. (author)

  18. Influence of high-energy ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy

    International Nuclear Information System (INIS)

    Gusakova, O.V.

    2016-01-01

    The results of investigation of influence of Xe ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy are represented/ Analysis of the experimental results shows that the high-energy ion implantation of Xe causes a change in the particle size of zinc. (authors)

  19. Development of a simple, low cost, indirect ion beam fluence measurement system for ion implanters, accelerators

    Science.gov (United States)

    Suresh, K.; Balaji, S.; Saravanan, K.; Navas, J.; David, C.; Panigrahi, B. K.

    2018-02-01

    We developed a simple, low cost user-friendly automated indirect ion beam fluence measurement system for ion irradiation and analysis experiments requiring indirect beam fluence measurements unperturbed by sample conditions like low temperature, high temperature, sample biasing as well as in regular ion implantation experiments in the ion implanters and electrostatic accelerators with continuous beam. The system, which uses simple, low cost, off-the-shelf components/systems and two distinct layers of in-house built softwarenot only eliminates the need for costly data acquisition systems but also overcomes difficulties in using properietry software. The hardware of the system is centered around a personal computer, a PIC16F887 based embedded system, a Faraday cup drive cum monitor circuit, a pair of Faraday Cups and a beam current integrator and the in-house developed software include C based microcontroller firmware and LABVIEW based virtual instrument automation software. The automatic fluence measurement involves two important phases, a current sampling phase lasting over 20-30 seconds during which the ion beam current is continuously measured by intercepting the ion beam and the averaged beam current value is computed. A subsequent charge computation phase lasting 700-900 seconds is executed making the ion beam to irradiate the samples and the incremental fluence received by the sampleis estimated usingthe latest averaged beam current value from the ion beam current sampling phase. The cycle of current sampling-charge computation is repeated till the required fluence is reached. Besides simplicity and cost-effectiveness, other important advantages of the developed system include easy reconfiguration of the system to suit customisation of experiments, scalability, easy debug and maintenance of the hardware/software, ability to work as a standalone system. The system was tested with different set of samples and ion fluences and the results were verified using

  20. Long-wavelength germanium photodetectors by ion implantation

    International Nuclear Information System (INIS)

    Wu, I.C.; Beeman, J.W.; Luke, P.N.; Hansen, W.L.; Haller, E.E.

    1990-11-01

    Extrinsic far-infrared photoconductivity in thin high-purity germanium wafers implanted with multiple-energy boron ions has been investigated. Initial results from Fourier transform spectrometer(FTS) measurements have demonstrated that photodetectors fabricated from this material have an extended long-wavelength threshold near 192μm. Due to the high-purity substrate, the ability to block the hopping conduction in the implanted IR-active layer yields dark currents of less than 100 electrons/sec at temperatures below 1.3 K under an operating bias of up to 70 mV. Optimum peak responsivity and noise equivalent power (NEP) for these sensitive detectors are 0.9 A/W and 5 x 10 -16 W/Hz 1/2 at 99 μm, respectively. The dependence of the performance of devices on the residual donor concentration in the implanted layer will be discussed. 12 refs., 4 figs

  1. Effect of ion implantation on the corrosion behavior of lead and a lead-antimony alloy

    International Nuclear Information System (INIS)

    Zhang, S.T.; Kong, F.P.; Muller, R.H.

    1994-01-01

    Ion implantation of different metals in Pb and Pb-4% Sb has been found to improve the open-circuit corrosion resistance of the two metals in 5M H 2 SO 4 . Titanium ions were implanted under different conditions of ion dose and ion energy. Optimum implantation conditions resulted in an up to 72-fold reduction of corrosion currents. The implantation of V, Cr, Ni, and W has been investigated for one implantation condition and has also resulted in decreased corrosion currents. The corrosion behavior was characterized by the current response to small anodic potential steps. Surface analysis and depth profiles have shown the importance of the spatial distribution of the implanted ions for their effects on the anodic and cathodic parts of the corrosion reactions

  2. High dose, heavy ion implantation into metals: the use of sacrificial surface layers to enhance retention

    International Nuclear Information System (INIS)

    Clapham, L.

    1994-01-01

    While of considerable interest for the production of metallic alloys, high dose, heavy ion implantation is highly problematical, since the process is limited by sputtering effects. Sputtering is less significant, however, for light target materials, such as C and Al. This paper summarizes studies involving the use of light materials (such as C and Al) which act as slowly sputtering ''sacrificial layers'' when deposited on metallic targets prior to heavy ion implantation. The use of C and Al sacrificial coatings has enabled implanted ion retentions of 100% to be obtained in a number of ion-metal target systems, where the retentions in uncoated samples were as low as 20%. Ion implantation invariably leads to mixing at the sacrificial layer-metal target interface. This mixing may be detrimental in certain systems, so it is useful to be able to minimize or remove this mixed region. To achieve this, a number of techniques have been investigated: (1) removal of the mixed region in the latter stages of the implant; (2) using a barrier layer or chemical effects to minimize mixing at the sacrificial layer-metal interface; (3) choosing a sacrificial layer material which forms a mixed region which has desirable properties. The results of these investigations, for a number of different ion-target systems, are outlined in this paper. (orig.)

  3. Modification of electrical properties of polymer membranes by ion implantation (II)

    International Nuclear Information System (INIS)

    Dworecki, K.; Hasegawa, T.; Sudlitz, K.; Slezak, A.; Wasik, S.

    2001-01-01

    In the present work we report on the results of an experimental study of the electrical properties of polymer ion irradiated polyethylene terephthalate (PET) membranes. The polymer samples have been implanted under vacuum at room temperature with a variety of ions (C 4+ , O 6+ , S 7+ ) at energy of 10 keV/q up to the dose of 10 15 ions/cm 2 and then they were polarized in an electric field of 4.16x10 6 V/m at non-isothermal conditions. The electrical properties and changes in chemical structure of ion implanted membranes were studied by the conductivity and discharge currents measurements, FTIR spectra and differential thermal analysis. The electrical conductivity of the PET membranes is determined by the charge transport caused by free space charge and by thermal releasing of charge carriers. The spectra of thermally induced discharge current (TDC) shows that ion irradiated PET membranes are characterized by high ability of charge accumulation

  4. High power pulse electron beam modification and ion implantation of Hg{sub 1-x}Cd{sub x}Te crystals

    Energy Technology Data Exchange (ETDEWEB)

    Vojtsekhovskij, A V; Remnev, G E [Tomsk Polytechnic Univ. (Russian Federation). Nuclear Physics Inst.; Opekunov, M S; Kokhanenko, A P; Korotaev, A G; Denisov, Yu A; Oucherenko, D A [Tomsk State Univ. (Russian Federation). Dept. of Radiophysics

    1997-12-31

    Hg{sub 1-x}Cd{sub x}Te (MCT) samples (x = 0.21 - 0.22) were irradiated by pulse electron beams at doses of 10{sup 13} to 10{sup 17} cm{sup -2}. The electron beams possessed the following parameters: 500 keV electron energy (30-40 A/cm{sup 2} electron current density, 60-80 ns current pulse); 200 keV electron energy (8- 10 A/cm{sup 2} electron current density, 100-200 ns current pulse). The electric conductivity and recombination of modified samples were investigated by the Hall effect and photoconductivity methods. For the 200 keV electron energy beam irradiation, the n-type surface regions were obtained under threshold mechanisms of donor defect generation. For the 500 keV electron energy beam irradiation, the maximum value of charge carrier lifetimes occur in the p- to n-type conductivity conversion range for the initial p-type crystals due to the conductivity compensation. MCT samples (x = 0.21 - 0.22) were implanted with Al ions at doses of 10{sup 12}-10{sup 16} cm{sup -2}. The ion beams possessed the following parameters: (1-10) A/cm{sup 2} ion current density, (100-200) ns current pulse; (150-450) keV Al ion (Al{sup +},Al{sup ++}, Al{sup +++}). The ion distribution and doping profiles were investigated by PIGE and Hall effect methods. Comparison between MCT samples after power pulse ion implantation and after standard ion implantation demonstrates differences in ion distribution, doping profiles and defect formation radiation mechanisms. (author). 3 figs., 8 refs.

  5. Ge nano-layer fabricated by high-fluence low-energy ion implantation

    International Nuclear Information System (INIS)

    Lu Tiecheng; Dun Shaobo; Hu Qiang; Zhang Songbao; An Zhu; Duan Yanmin; Zhu Sha; Wei Qiangmin; Wang Lumin

    2006-01-01

    A Ge nano-layer embedded in the surface layer of an amorphous SiO 2 film was fabricated by high-fluence low-energy ion implantation. The component, phase, nano-structure and luminescence properties of the nano-layer were studied by means of Rutherford backscattering, glancing incident X-ray diffraction, laser Raman scattering, transmission electron microscopy and photoluminescence. The relation between nano-particle characteristics and ion fluence was also studied. The results indicate that nano-crystalline Ge and nano-amorphous Ge particles coexist in the nano-layer and the ratio of nano-crystalline Ge to nano-particle Ge increases with increasing ion fluence. The intensity of photoluminescence from the nano-layer increases with increasing ion fluence also. Prepared with certain ion fluences, high-density nano-layers composed of uniform-sized nano-particles can be observed

  6. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  7. Metallic oxide nano-clusters synthesis by ion implantation in high purity Fe10Cr alloy

    International Nuclear Information System (INIS)

    Zheng, Ce

    2015-01-01

    ODS (Oxide Dispersed Strengthened) steels, which are reinforced with metal dispersions of nano-oxides (based on Y, Ti and O elements), are promising materials for future nuclear reactors. The detailed understanding of the mechanisms involved in the precipitation of these nano-oxides would improve manufacturing and mechanical properties of these ODS steels, with a strong economic impact for their industrialization. To experimentally study these mechanisms, an analytical approach by ion implantation is used, to control various parameters of synthesis of these precipitates as the temperature and concentration. This study demonstrated the feasibility of this method and concerned the behaviour of alloys models (based on aluminium oxide) under thermal annealing. High purity Fe-10Cr alloys were implanted with Al and O ions at room temperature. Transmission electron microscopy observations showed that the nano-oxides appear in the Fe-10Cr matrix upon ion implantation at room temperature without subsequent annealing. The mobility of implanted elements is caused by the defects created during ion implantation, allowing the nucleation of these nanoparticles, of a few nm in diameter. These nanoparticles are composed of aluminium and oxygen, and also chromium. The high-resolution experiments show that their crystallographic structure is that of a non-equilibrium compound of aluminium oxide (cubic γ-Al 2 O 3 type). The heat treatment performed after implantation induces the growth of the nano-sized oxides, and a phase change that tends to balance to the equilibrium structure (hexagonal α-Al 2 O 3 type). These results on model alloys are fully applicable to industrial materials: indeed ion implantation reproduces the conditions of milling and heat treatments are at equivalent temperatures to those of thermo-mechanical treatments. A mechanism involving the precipitation of nano-oxide dispersed in ODS alloys is proposed in this manuscript based on the obtained experimental results

  8. Effects of high-energy (MeV) ion implantation of polyester films

    International Nuclear Information System (INIS)

    Ueno, Keiji; Matsumoto, Yasuyo; Nishimiya, Nobuyuki; Noshiro, Mitsuru; Satou, Mamoru

    1991-01-01

    The effects of high-energy ion beam irradiation on polyester (PET) films using a 3 MeV tandem-type ion beam accelerator were studied. O, Ni, Pt, and Au as ion species were irradiated at 10 14 -10 15 ions/cm 2 on 50 μm thick PET films. Physical properties and molecular structure changes were studied by the surface resistivity measurements and RBS. The surface resistivity decreases with an increase in irradiation dose. At 10 15 ions/cm 2 irradiation, the surface resistivity is 10 8 Ω/□. According to RBS and XPS analyses, some carbon and oxygen atoms in the PET are replaced by implanted ions and the -C=O bonds are destroyed easily by the ion beam. (orig.)

  9. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  10. Characteristics of MOSFETs fabricated in silicon-on-insulator material formed by high-dose oxygen ion implantation

    International Nuclear Information System (INIS)

    Lam, H.W.; Pinizzotto, R.F.; Yuan, H.T.; Bellavance, D.W.

    1981-01-01

    By implanting a dose of 6 x 10 17 cm -2 of 32 O 2 + at 300 keV into a silicon wafer, a buried oxide layer is formed. Crystallinity of the silicon layer above the buried oxide layer is maintained by applying a high (>200 0 C) substrate temperature during the ion implantation process. A two-step anneal cycle is found to be adequate to form the insulating buried oxide layer and to repair the implantation damage in the silicon layer on top of the buried oxide. A surface electron mobility as high as 710 cm 2 /Vs has been measured in n-channel MOSFETs fabricated in a 0.5 μm-thick epitaxial layer grown on the buried oxide wafer. A minimum subthreshold current of about 10 pA per micron of channel width at Vsub(DS)=2 V has been measured. (author)

  11. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  12. Planar transistors and impatt diodes with ion implantation

    International Nuclear Information System (INIS)

    Dorendorf, H.; Glawischnig, H.; Grasser, L.; Hammerschmitt, J.

    1975-03-01

    Low frequency planar npn and pnp transistors have been developed in which the base and emitter have been fabricated using ion implantation of boron and phosphorus by a drive-in diffusion. Electrical parameters of the transistors are comparable with conventionally produced transistors; the noise figure was improved and production tolerances were significantly reduced. Silicon-impatt diodes for the microwave range were also fabricated with implanted pn junctions and tested for their high frequency characteristics. These diodes, made in an improved upside down technology, delivered output power up to 40 mW (burn out power) at 30 GHz. Reverse leakage current and current carrying capability of these diodes were comparable to diffused structures. (orig.) 891 ORU 892 MB [de

  13. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  14. High energy iron ion implantation into sapphire

    International Nuclear Information System (INIS)

    Allen, W.R.; Pedraza, D.F.

    1990-01-01

    Sapphire specimens of c-axis orientation were implanted at room temperature with iron ions at energies of 1.2 and of 2 MeV to various fluences up to 8 x 10 16 cm -2 . The damage induced by the implantations was assessed by Rutherford backscattering spectroscopy in random and channeling geometries. Dechanneling in both sublattices was observed to saturate for all implantation conditions. Disorder in the aluminum sublattice was found to increase with depth at a significantly slower rate than in the oxygen sublattice. In the oxygen sublattice, a relative yield, χ, of 0.80 ± 0.11 was attained at a depth of 0.1 μm and remained constant up to the measured depth of 0.45 μm. In the aluminum sublattice, the disorder increased with depth and the dechanneling asymptotically approached χ =0.70 ± 0.04 at 0.45 μm. These results are discussed and compared with those for shallower Fe implantations obtained by other researchers

  15. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  16. Ion implantation for microelectronics

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1977-01-01

    Ion implantation has proved to be a versatile and efficient means of producing microelectronic devices. This review summarizes the relevant physics and technology and assesses the advantages of the method. Examples are then given of widely different device structures which have been made by ion implantation. While most of the industrial application has been in silicon, good progress continues to be made in the more difficult field of compound semiconductors. Equipment designed for the industrial ion implantation of microelectronic devices is discussed briefly. (Auth.)

  17. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  18. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  19. Enhanced Physicochemical and Biological Properties of Ion-Implanted Titanium Using Electron Cyclotron Resonance Ion Sources

    Directory of Open Access Journals (Sweden)

    Csaba Hegedűs

    2016-01-01

    Full Text Available The surface properties of metallic implants play an important role in their clinical success. Improving upon the inherent shortcomings of Ti implants, such as poor bioactivity, is imperative for achieving clinical use. In this study, we have developed a Ti implant modified with Ca or dual Ca + Si ions on the surface using an electron cyclotron resonance ion source (ECRIS. The physicochemical and biological properties of ion-implanted Ti surfaces were analyzed using various analytical techniques, such as surface analyses, potentiodynamic polarization and cell culture. Experimental results indicated that a rough morphology was observed on the Ti substrate surface modified by ECRIS plasma ions. The in vitro electrochemical measurement results also indicated that the Ca + Si ion-implanted surface had a more beneficial and desired behavior than the pristine Ti substrate. Compared to the pristine Ti substrate, all ion-implanted samples had a lower hemolysis ratio. MG63 cells cultured on the high Ca and dual Ca + Si ion-implanted surfaces revealed significantly greater cell viability in comparison to the pristine Ti substrate. In conclusion, surface modification by electron cyclotron resonance Ca and Si ion sources could be an effective method for Ti implants.

  20. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  1. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  2. Wear properties of metal ion implanted 4140 steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Paoloni, F.J.

    1994-01-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10 16 ionscm -2 were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  3. Structural and compositional characterization of LiNbO{sub 3} crystals implanted with high energy iron ions

    Energy Technology Data Exchange (ETDEWEB)

    Sada, C., E-mail: cinzia.sada@unipd.i [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Argiolas, N.; Bazzan, M.; Ciampolillo, M.V.; Zaltron, A.M.; Mazzoldi, P. [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Agarwal, D.C.; Avastshi, D.K. [Inter-University Accelerator Centre, Post Box-10502, New Delhi 110067 (India)

    2010-10-01

    Iron ions were implanted with a total fluence of 6 x 10{sup 17} ions/m{sup 2} into lithium niobate crystals by way of a sequential implantation at different energies of 95, 100 and 105 MeV respectively through an energy retarder Fe foil to get a uniform Fe doping of about few microns from the surface. The implanted crystals were then annealed in air in the range 200-400 {sup o}C for different durations to promote the crystalline quality that was damaged by implantation. In order to understand the basic phenomena underlying the implantation process, compositional in-depth profiles obtained by the secondary ion mass spectrometry were correlated to the structural properties of the implanted region measured by the high resolution X-ray diffraction depending on the process parameters. The optimised preparation conditions are outlined in order to recover the crystalline quality, essential for integrated photorefractive applications.

  4. Formation of aluminium nitride and segregation of Cu impurity atoms in aluminium implanted by high dose nitrogen ions

    International Nuclear Information System (INIS)

    Lin Chenglu; Hemment, P.L.F.; Li Jinhua; Zou Shichang

    1994-01-01

    Aluminium films with a thickness of 7000 A (containing 0.85% copper) were deposited on silicon substrates. 400 keV N 2 + or 350 keV N + ions were implanted into the aluminium films or at the interface between the aluminium and silicon, respectively. Automatic spreading resistance (ASR), Fourier transform infrared spectroscopy (FTIR) and Rutherford backscattering (RBS) and channelling were used to characterize the formation of aluminium nitride and the depth distribution of the Cu impurity in the aluminium films after ion implantation and post-annealing. The formation of a stoichiometric AlN layer with high resistance was evident from ASR, RBS analysis and FTIR measurements by the presence of the absorption band at 650 cm -1 . When the implanted nitrogen is near the interface between the aluminium and silicon, a multilayer structure can be obtained, which consists of aluminium, aluminium nitride and the silicon substrate. Cu, which is a background impurity in the deposited aluminium films, segregated into the synthesised aluminium nitride during high dose nitrogen ion implantation. This is due to irradiation-induced segregation during ion implantation. (orig.)

  5. C-V and G-V characteristics of ion-implanted MOS structures depending upon the geometrical structure of the implanted region

    International Nuclear Information System (INIS)

    Zohta, Y.

    1977-01-01

    It is found that the capacitance-voltage (C-V) and conductance-voltage (G-V) characteristics of MOS capacitors, into which ions of the opposite conductivity type are implanted, depend strongly upon the geometrical structure of the ion-implanted region. This phenomenon can be analyzed in terms of lateral current flow which connects an inversion layer formed in the ion-implanted region to a surrounding nonimplanted substrate. On the basis of this model, the C-V and G-V characteristics are calculated using a simple equivalent circuit, and general relationships inherent in this model are obtained. MOS capacitors with an ion-implanted layer of different geometries have been prepared to measure their C-V and G-V characteristics. Comparison of experimental measurements with theory substantiates the lateral current flow model

  6. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  7. Doping of two-dimensional MoS2 by high energy ion implantation

    Science.gov (United States)

    Xu, Kang; Zhao, Yuda; Lin, Ziyuan; Long, Yan; Wang, Yi; Chan, Mansun; Chai, Yang

    2017-12-01

    Two-dimensional (2D) materials have been demonstrated to be promising candidates for next generation electronic circuits. Analogues to conventional Si-based semiconductors, p- and n-doping of 2D materials are essential for building complementary circuits. Controllable and effective doping strategies require large tunability of the doping level and negligible structural damage to ultrathin 2D materials. In this work, we demonstrate a doping method utilizing a conventional high-energy ion-implantation machine. Before the implantation, a Polymethylmethacrylate (PMMA) protective layer is used to decelerate the dopant ions and minimize the structural damage to MoS2, thus aggregating the dopants inside MoS2 flakes. By optimizing the implantation energy and fluence, phosphorus dopants are incorporated into MoS2 flakes. Our Raman and high-resolution transmission electron microscopy (HRTEM) results show that only negligibly structural damage is introduced to the MoS2 lattice during the implantation. P-doping effect by the incorporation of p+ is demonstrated by Photoluminescence (PL) and electrical characterizations. Thin PMMA protection layer leads to large kinetic damage but also a more significant doping effect. Also, MoS2 with large thickness shows less kinetic damage. This doping method makes use of existing infrastructures in the semiconductor industry and can be extended to other 2D materials and dopant species as well.

  8. Optical properties tailoring by high fluence implantation of Ag ions on sapphire

    International Nuclear Information System (INIS)

    Marques, C.; Silva, R.C. da; Wemans, A.; Maneira, M.J.P.; Kozanecki, A.; Alves, E.

    2006-01-01

    Optical and structural properties of single crystalline α-Al 2 O 3 were changed by the implantation of high fluences of Ag ions. Colourless transparent (101-bar 0) sapphire samples were implanted at room temperature with 160keV silver ions and fluences up to 1x10 17 Agcm -2 . Surface amorphization is observed at the fluence of 6x10 16 Agcm -2 . Except for the lower fluences (below 6x10 16 Agcm -2 ) the optical absorption spectra reveal the presence of a band peaking in the region 450-500nm, depending on the retained fluence. This band has been attributed to the presence of silver colloids, being thus 1x10 16 Agcm -2 below the threshold for colloid formation during the implantation. Annealing in oxidizing atmosphere promotes the recrystallization along with segregation of Ag followed by loss through evaporation. Recrystallization is retarded for annealing in reducing atmosphere and the Ag profile displays now a double peak structure after evaporation. Playing with the implantation fluence, temperature and annealing atmosphere controllable shifts of the position and intensity of the optical bands in the visible were achieved

  9. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  10. Current transport studies of ZnO/p-Si heterostructures grown by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Chen, X.D.; Ling, C.C.; Fung, S.; Beling, C.D.; Mei, Y.F.; Fu, Ricky K.Y.; Siu, G.G.; Chu, Paul K.

    2006-01-01

    Rectifying undoped and nitrogen-doped ZnO/p-Si heterojunctions were fabricated by plasma immersion ion implantation and deposition. The undoped and nitrogen-doped ZnO films were n type (n∼10 19 cm -3 ) and highly resistive (resistivity ∼10 5 Ω cm), respectively. While forward biasing the undoped-ZnO/p-Si, the current follows Ohmic behavior if the applied bias V forward is larger than ∼0.4 V. However, for the nitrogen-doped-ZnO/p-Si sample, the current is Ohmic for V forward 2 for V forward >2.5 V. The transport properties of the undoped-ZnO/p-Si and the N-doped-ZnO/p-Si diodes were explained in terms of the Anderson model and the space charge limited current model, respectively

  11. Ion implantation in superconducting niobium and Nb3 Sn thin films: adjustment of Josephson microbridges and SQUID devices

    International Nuclear Information System (INIS)

    Robic, J.Y.; Piaguet, J.; Duret, D.; Veler, J.C.; Veran, J.L.; Zenatti, D.

    1978-01-01

    The principles of operation of Josephson junctions and SQUIDS are resumed. An ion implantation technique for the adjustment of the critical current is presented. High quality superconducting thin films were obtained by electron gun evaporation of niobium on heated substrates. Polycrystalline Nb 3 Sn was made by annealing (1000 K, 10 -6 Torr) a multilayer structure of successively evaporated niobium and thin films. Selected ions (helium, neon, argon) were implanted at doses ranging from 10 13 to 10 17 cm -2 . After implantation the critical temperature, the critical current and the normal resistivity were measured on special photoetched geometries. The variations of these electrical properties depend on the nuclear energy loss. The critical temperature of Nb 3 Sn is decreased by ion implantation and can be increased again by a new annealing. The parameters of the ion implantation were defined in order to obtain a critical temperature slightly higher than the operating temperature. The geometries of the microbridges and the implanted areas where then chosen to obtain appropriate criticals currents (approximately 10 μA) at the operating temperature. The obtained microbridges were used as junction elements in superconducting quantum interference devices (SQUID)

  12. Dynamic sheath studies in plasma source ion implantation

    International Nuclear Information System (INIS)

    Schever, J.T.; Shamim, M.; Conrad, J.R.

    1990-01-01

    Plasma Source Ion Implantation (PSII) is a non-line-of-sight method for materials processing in which a target is immersed in a plasma and pulse biased to a high negative voltage (∼ 50 kV). A model of the dynamic sheath which forms under these conditions has been developed and applied to planar, cylindrical and spherical geometries. This model assumes that the transient sheath obeys the Child-Langmuir law for space charge limited emission at each instant during the propagation. Ions uncovered by the propagating sheath edge supply the space charge limited current. This yields an equation relating sheath edge velocity to position, which can be integrated to obtain the sheath edge position as a function of time. The same procedure used in cylindrical and spherical geometry results in a similar equation which must be integrated numerically. Comparison of results of experimental measurements, our model and simulation will be presented for the dynamic sheath edge position and target current waveform. Measurements of implanted dose uniformity of wedge shaped targets are also presented

  13. Ion implantation and ion assisted coatings for wear resistance in metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1986-01-01

    The implantation of electrically accelerated ions of chosen elements into the surface of material provides a method for improving surface properties such as wear resistance. High concentrations of nitrogen implanted into metals create obstacles to dislocation movement, and certain combinations of metallic and non-metallic species will also strengthen the surface. The process is best applied to situations involving mild abrasive wear and operating temperatures that are not too high. Some dramatic increases in life have been reported under such favourable conditions. A more recent development has been the combination of a thin coating with reactive ion bombardment designed to enhance adhesion by ion mixing at the interface and so provide hardness by the formation of finely dispersed nitrides, including cubic boron nitride. These coatings often possess vivid and decorative colours as an added benefit. Developments in the equipment for industrial ion implantation now offer more attractive costs per unit area and a potentially greater throughput of work. A versatile group of related hard vacuum treatments is now emerging, involving the use of intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (author)

  14. Wear properties of metal ion implanted 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J. (Applications of Nuclear Physics, Ansto, Private Mail Bag 1, Menai, NSW 2234 (Australia)); Paoloni, F.J. (Department of Electrical and Computer Engineering, University of Wollongong, GPO Box 1144, Wollongong, NSW 2500 (Australia))

    1994-07-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10[sup 16]ionscm[sup -2] were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  15. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  16. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  17. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    Science.gov (United States)

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  18. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    Science.gov (United States)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  19. Improvement of tribological properties by ion implantation

    International Nuclear Information System (INIS)

    Gerve, A.

    1993-01-01

    Many different measurements confirm that ion implantation changes the friction and wear behaviour, which are the most important properties of tribological systems. Unfortunately, these properties will not always be improved. In industrial application, very often different results of the effects of ion implantation into tools or machine components can be observed, even if the same materials are used. A very important reason for this is the different stresses on the tribological systems. The energy input caused by friction, which is a function of the stress and other parameters of the tribosystem, within a short time leads to the appearance of energy islands, which are statistically distributed over the surfaces. The density of energy within these tiny energy islands is very high. Results of these high energy densities is a mutation of the material's composition and structure within a very thin layer of less than 100 nm underneath the surface and wear. Ion implantation also changes the composition and structure of the bulk material close to the surface. Thus there is urgent need to understand tribo-induced mutations of ion-implanted materials and their influence on the tribological properties. For that reason surface analyses have to be carried out to determine the composition and structure of the materials and the mutation caused by friction and wear

  20. Corrosion behaviour of pure iron implanted with Pd ion beam

    International Nuclear Information System (INIS)

    Sang, J.M.; Lin, W.L.; Wu, Z.D.; Wang, H.S.

    1999-01-01

    The corrosion behavior of pure iron implanted with Pd ions up to doses in the range 1x10 16 -1x10 18 ions/cm 2 at an extracting voltage 45kV by using MEVVA source ion implanter has been investigated. The concentration profiles and valence states of elements at the near surface of Pd implanted iron specimens were analyzed by AES and XPS respectively. The Anodic dissolution process of Pd implanted pure iron was measured by means of potentiokinetic sweep in a 0.5 mol/1 NaAc/Hac buffer solution with pH5.0. The open circuit corrosion potential as a function of immersion time was used to evaluate the corrosion resistance of Pd implanted iron specimens. The experimental results show that Pd ion implantation decreases the critical passive current of iron and maintains a better passivity in acetate buffer solution with pH5.0. It is interesting that the active corrosion rate of Pd implanted iron is even higher than that of unimplanted one, when the oxide layer on the surface of iron has been damaged. (author)

  1. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  2. Laser annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    White, C.W.; Narayan, J.; Young, R.T.

    1978-11-01

    The physical and electrical properties of ion implanted silicon annealed with high powered ruby laser radiation are summarized. Results show that pulsed laser annealing can lead to a complete removal of extended defects in the implanted region accompanied by incorporation of dopants into lattice sites even when their concentration far exceeds the solid solubility limit

  3. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  4. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  5. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  6. Laws of phase formation in ion-implanted metals

    International Nuclear Information System (INIS)

    Kazdaev, H.R.; Abylkhalykova, R.B.; Skakov, M.K.

    2004-01-01

    Full text: Main laws of ordered structures formation at molybdenum implantation by elements forming phases of introduction (B, C, N, 0, Si, P, S) are discovered in this work. According to them the character of structural and phase transformations in molybdenum at ion implantation is determined not by kinetic parameters of bombarding particles and their chemical activity but by size factor η x/Me (ratio of nuclear radii of introduced elements and atoms of a matrix). At change of its meaning in the certain limits the following can be observed: superstructures formation (η x/Mo x/Mo x/Mo >0.69). In the latter case at the further implantation doze increasing recrystallization of molybdenum monocrystalline layers amorphized during previous bombarding with chemical connection formation takes place, characterized by us as ion-inducted synthesis. The phenomenon discovered on the samples implanted by phosphorus ions. As the result, the high-temperature phase of molybdenum monophosphide MoP having densely situated lattice was synthesized. The complete confirmation of the main laws of structural and phased transformations at ion implantation established by results on molybdenum monocrystals with OCC lattice was achieved at realization of similar researches on the other transitive metal - zirconium which differs from molybdenum according to a number of attributes: a type of an initial lattice structural condition (large scaled polycrystal), presence of interparticle borders and high solubility of atmospheric impurities (nitrogen, carbon, oxygen). The discovered laws have proved to be true also according to ion implanted samples of monocrystal tungsten and polycrystal tantalum

  7. Modification of the hydriding of uranium using ion implantation

    International Nuclear Information System (INIS)

    Musket, R.G.; Robinson-Weis, G.; Patterson, R.G.

    1983-01-01

    The hydriding of depleted uranium at 76 Torr hydrogen and 130 0 C has been significantly reduced by implantation of oxygen ions. The high-dose implanted specimens had incubation times for the initiation of the reaction after exposure to hydrogen that exceeded those of the nonimplanted specimens by more than a factor of eight. Furthermore, the nonimplanted specimens consumed enough hydrogen to cause macroscopic flaking of essentially the entire surface in times much less than the incubation time for the high-dose implanted specimens. In contrast, the ion-implanted specimens reacted only at isolated spots with the major fraction of the surface area unaffected by the hydrogen exposure

  8. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  9. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  10. Ion implantation of metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1976-01-01

    In this part of the paper descriptions are given of the effects of ion implantation on (a) friction and wear in metals; and (b) corrosion of metals. In the study of corrosion, ion implantation can be used either to introduce a constituent that is known to convey corrosion resistance, or more generally to examine the parameters which control corrosion. (U.K.)

  11. Ta-ion implantation induced by a high-intensity laser for plasma diagnostics and target preparation

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Malinsky, P.; Mackova, A. [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Science, Messina University, V.le F.S. d’Alcontres 31, 981 66 S. Agata, Messina (Italy); Slepicka, P. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-12-15

    The present work is focused on the implantation of Ta ions into silicon substrates covered by a silicon dioxide layer 50–300 nm thick. The implantation is achieved using sub-nanosecond pulsed laser ablation (10{sup 15} W/cm{sup 2}) with the objective of accelerating non-equilibrium plasma ions. The accelerated Ta ions are implanted into the exposed silicon substrates at energies of approximately 20 keV per charge state. By changing a few variables in the laser pulse, it is possible to control the kinetic energy, the yield and the angular distribution of the emitted ions. Rutherford Back-Scattering analysis was performed using 2.0 MeV He{sup +} as the probe ions to determine the elemental depth profiles and the chemical composition of the laser-implanted substrates. The depth distributions of the implanted Ta ions were compared to SRIM 2012 simulations. The evaluated results of energy distribution were compared with online techniques, such as Ion Collectors (IC) and an Ion Energy Analyser (IEA), for a detailed identification of the produced ion species and their energy-to-charge ratios (M/z). Moreover, XPS (X-ray Photon Spectroscopy) and AFM (Atomic Force Microscopy) analyses were carried out to obtain information on the surface morphology and the chemical composition of the modified implanted layers, as these features are important for further application of such structures.

  12. Characteristics of a High Current Helicon Ion Source With High Monatomic Fraction

    International Nuclear Information System (INIS)

    Jung, Hwa-Dong; Chung, Kyoung-Jae; Hwang, Yong-Seok

    2006-01-01

    Applications of neutron need compact and high yield neutron sources as well as very intense neutron sources from giant devices such as accelerators. Ion source based neutron sources using nuclear fusion reactions such as D(d, 3He)n, D(t, 4He)n can meet the requirements. This type of neutron generators can be simply composed of an ion source and a target. High-performance neutron generators with high yield require ion sources with high beam current, high monatomic fraction and long lifetime. Helicon ion source can meet these requirements. To make high current ion source, characteristics of helicon plasma such as high plasma density can be utilized. Moreover, efficient plasma heating with RF power lead high fraction of monatomic ion beam. Here, Characteristics of helicon plasma sources are described. Design and its performances of a helicon ion source are presented

  13. Recent developments in the United Kingdom in ion implantation equipment for engineering components

    International Nuclear Information System (INIS)

    Gardner, P.R.

    1988-01-01

    Harwell has been particularly active in the development and commercial exploitation of low-cost, rugged, reliable and simple-to-operate equipment for implantation of engineering components with gaseous ions, especially nitrogen. Laboratory experiments show this to reduce mild abrasive wear in a wide range of materials by factors typically 2-10, provided operating temperatures remain below about 400 deg C. The latest nitrogen ion implantation machine (the Tecvac 221 model) embodies a substantial degree of flexibility, with cable-mounted ion sources and demountable target chambers. This enables wide ranges of workpiece size and shape to be accommodated. The latest development at Harwell is the large 'Blue Tank' ion implantation machine, currently the biggest in the world. This can treat workpieces up to 2 metres maximum dimension and 1 tonne weight using a bucket-type ion source capable of generating 35 mA of nitrogen ion beam current over an 800 mm diameter treatment area. This machine enables increased flexibility and reduced unit treatment costs for nitrogen ion implantation. Uptake of nitrogen ion implantation in British industry is increasing steadily. Key market sectors include the plastics processing industry (for extrusion screws, moulds and dies), as well as many other engineering sectors. A useful accessory to ion implantation developed at Harwell in conjunction with Millspin Limited, monitors nitrogen ion dose colour changes in anodised tantalum which can be compared against a calibrated standard. Accuracies of around 20 % at 2.5 x 10 17 nitrogen ions.cm -2 dose are achievable. (J.P.N.)

  14. A one-dimensional collisional model for plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1991-01-01

    Plasma-immersion ion implantation (also known as plasma-source ion implantation) is a process in which a target is immersed in a plasma and a series of large negative-voltage pulses are applied to it to extract ions from the plasma and implant them into the target. A general one-dimensional model is developed to study this process in different coordinate systems for the case in which the pressure of the neutral gas is large enough that the ion motion in the sheath can be assumed to be highly collisional

  15. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  16. Application of TXRF for ion implanter dose matching experiments

    Science.gov (United States)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  17. Residual stress in ion implanted titanium nitride studied by parallel beam glancing incidence x-ray diffraction

    International Nuclear Information System (INIS)

    Geist, D.E.; Perry, A.J.; Treglio, J.R.; Valvoda, V.; Rafaja, D.

    1995-01-01

    Ion implantation is known to increase the lifetime of cutting tools. Current theories are the increase in lifetime is caused by an increase in the residual stress, or by work hardening of the surface associated with the implantation. In this work the effect of ion implantation on the residual stress in titanium nitride coatings made by the standard industrial methods of chemical and physical vapor deposition (CVD and PVD) is studied. It is found in the as-received condition (unimplanted), the residual stress levels are near zero for CVD materials and highly compressive, of the order of 6 GPa, for PVD materials. Ion implantation has no effect on the residual stress in the coatings made by CVD. Nitrogen does increase the compressive residual stress by some 10% in the near surface regions of PVD coatings, while nickel-titanium dual metal ion implantation does not have any effect. It appears that the lifetime increase is not associated with residual stress effects

  18. Hardness of ion implanted ceramics

    International Nuclear Information System (INIS)

    Oliver, W.C.; McHargue, C.J.; Farlow, G.C.; White, C.W.

    1985-01-01

    It has been established that the wear behavior of ceramic materials can be modified through ion implantation. Studies have been done to characterize the effect of implantation on the structure and composition of ceramic surfaces. To understand how these changes affect the wear properties of the ceramic, other mechanical properties must be measured. To accomplish this, a commercially available ultra low load hardness tester has been used to characterize Al 2 O 3 with different implanted species and doses. The hardness of the base material is compared with the highly damaged crystalline state as well as the amorphous material

  19. A collisional model for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1990-01-01

    In plasma immersion ion implantation, a target is immersed in a plasma and a series of negative short pulses are applied to it to implant the ions. A new analytical model is being developed for the high pressure regimes in which the motion of the ions is highly collisional. The model provides values for ion flux, average ion velocity at the target, and sheath edge motion as a function of time. These values are being compared with those obtained from simulation and show good agreement. A review is also given (for comparison) of the earlier work done at low pressures, where the motion of ions in the sheath is collisionless, also showing good agreement between analysis and simulation. The simulation code is PDP1 which utilizes particle-in-cell techniques plus Monte-Carlo simulation of electron-neutral (elastic, excitation and ionization) and ion-neutral (scattering and charge-exchange) collisions

  20. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  1. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P J; Chu, J W; Johnson, E P; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  2. Ion beam analysis of metal ion implanted surfaces

    International Nuclear Information System (INIS)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T.; Sood, D.K.

    1993-01-01

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs

  3. Plasma ion implantation technology for broad industrial application

    International Nuclear Information System (INIS)

    Deb, D.; Siambis, J.; Symons, R.

    1994-01-01

    The recently invented Plasma Ion Implantation (PII) process (1987) [J. R. Conrad, U.S. Patent No. 764394 (August 16, 1988)] is currently under intense industrial engineering investigation and development. A critical component of PII for broad industrial utilization is the availability of an efficient modulator system that applies the high voltage pulse to the workpiece. A modulator technology assessment and selection is carried out. The requirements of the PII process favor the selection of a hard-tube modulator. The PII process favors the application of beam switch tube technology such as the Litton L-5012 and L-5097. These Litton tubes have already been selected by LANL and utilized in their pilot engineering demonstration experiment with GM and the University of Wisconsin. The performance, physical operation, and potential enhancements of the Litton beam switch tubes L-5012 and L-5097 will be discussed in connection with the requirements of the emerging plasma ion implantation industrial modulator technology

  4. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  5. Ion implantation enhanced metal-Si-metal photodetectors

    Science.gov (United States)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  6. Influence of the ion implantation on the nanoscale intermetallic phases formation in Ni-Ti system

    International Nuclear Information System (INIS)

    Kalashnikov, M.P.; Kurzina, I.A.; Bozhko, I.A.; Kozlov, E.V.; Fortuna, S.V.; Sivin, D.O.; Stepanov, I.B.; Sharkeev, Yu.P.

    2005-01-01

    Full text: The ion implantation at a high intensity mode is an effective method for modification of the surface properties of metals and alloys. Improvement of mechanical and tribological properties of irradiated materials using the high intensity implantation is connected with an element composition and microstructure modification of the surface and subsurface layers. One shows a great interest in intermetallic phase's synthesis by ion implantation, because of unique physical-mechanical properties of the intermetallic compounds. The influence of the irradiation conditions on the structural state and surface properties of implanted materials is not clear enough. The study of the factors influencing on the formation of the surface ion - alloyed layers of metal targets having the high tribological and mechanical properties by high intensity ion implantation is actual. The aim of the present work is a study of the microstructure, phase composition, physical and mechanical properties of the ion-alloyed Ni surfaces formed at high intensity implantation of Ti ions. The implantation Ti ions into Ni samples at high intensity mode was realized using ion source 'Raduga - 5'. The implantation Ti ions into Ni was carried out at accelerating voltage 20 kV for 2 h. The regimes were differed in the samples temperature (580 - 700 K), the distance from the ion implanted samples to the ion source (0.43-0.93 m) and the dose of irradiated ions (0.3·10 18 -2.9·10 18 ion/cm -2 ). The element composition of the implanted samples was analyzed by the electron spectroscopy. The structural-phase state of the Ni ion-modified layers was investigated by the transmission electron microscopy and X-ray diffraction methods. Additionally, the investigation of mechanical and tribological properties of the implanted Ni samples was carried out. It was established that the maximum thickness of the ion-alloyed nickel layers at high intensity mode allows forming the nanoscale intermetallic phases (Ni

  7. High current ion source development at Frankfurt

    Energy Technology Data Exchange (ETDEWEB)

    Volk, K.; Klein, H.; Lakatos, A.; Maaser, A.; Weber, M. [Frankfurt Univ. (Germany). Inst. fuer Angewandte Physik

    1995-11-01

    The development of high current positive and negative ion sources is an essential issue for the next generation of high current linear accelerators. Especially, the design of the European Spallation Source facility (ESS) and the International Fusion Material Irradiation Test Facility (IFMIF) have increased the significance of high brightness hydrogen and deuterium sources. As an example, for the ESS facility, two H{sup -}-sources each delivering a 70 mA H{sup -}-beam in 1.45 ms pulses at a repetition rate of 50 Hz are necessary. A low emittance is another important prerequisite. The source must operate, while meeting the performance requirements, with a constancy and reliability over an acceptable period of time. The present paper summarizes the progress achieved in ion sources development of intense, single charge, positive and negative ion beams. (author) 16 figs., 7 refs.

  8. High current ion source development at Frankfurt

    International Nuclear Information System (INIS)

    Volk, K.; Klein, H.; Lakatos, A.; Maaser, A.; Weber, M.

    1995-01-01

    The development of high current positive and negative ion sources is an essential issue for the next generation of high current linear accelerators. Especially, the design of the European Spallation Source facility (ESS) and the International Fusion Material Irradiation Test Facility (IFMIF) have increased the significance of high brightness hydrogen and deuterium sources. As an example, for the ESS facility, two H - -sources each delivering a 70 mA H - -beam in 1.45 ms pulses at a repetition rate of 50 Hz are necessary. A low emittance is another important prerequisite. The source must operate, while meeting the performance requirements, with a constancy and reliability over an acceptable period of time. The present paper summarizes the progress achieved in ion sources development of intense, single charge, positive and negative ion beams. (author) 16 figs., 7 refs

  9. Nonlinear damage effect in graphene synthesis by C-cluster ion implantation

    International Nuclear Information System (INIS)

    Zhang Rui; Zhang Zaodi; Wang Zesong; Wang Shixu; Wang Wei; Fu Dejun; Liu Jiarui

    2012-01-01

    We present few-layer graphene synthesis by negative carbon cluster ion implantation with C 1 , C 2 , and C 4 at energies below 20 keV. The small C-clusters were produced by a source of negative ion by cesium sputtering with medium beam current. We show that the nonlinear effect in cluster-induced damage is favorable for graphene precipitation compared with monomer carbon ions. The nonlinear damage effect in cluster ion implantation shows positive impact on disorder reduction, film uniformity, and the surface smoothness in graphene synthesis.

  10. High current vacuum arc ion source for heavy ion fusion

    International Nuclear Information System (INIS)

    Qi, N.; Schein, J.; Gensler, S.; Prasad, R.R.; Krishnan, M.; Brown, I.

    1999-01-01

    Heavy Ion fusion (HIF) is one of the approaches for the controlled thermonuclear power production. A source of heavy ions with charge states 1+ to 2+, in ∼0.5 A current beams with ∼20 micros pulse widths and ∼10 Hz repetition rates are required. Thermionic sources have been the workhorse for the HIF program to date, but suffer from sloe turn-on, heating problems for large areas, are limited to low (contact) ionization potential elements and offer relatively low ion fluxes with a charge state limited to 1+. Gas injection sources suffer from partial ionization and deleterious neutral gas effects. The above shortcomings of the thermionic ion sources can be overcome by a vacuum arc ion source. The vacuum arc ion source is a good candidate for HIF applications. It is capable of providing ions of various elements and different charge states, in short and long pulse bursts, with low emittance and high beam currents. Under a Phase-I STTR from DOE, the feasibility of the vacuum arc ion source for the HIF applications is investigated. An existing ion source at LBNL was modified to produce ∼0.5 A, ∼60 keV Gd (A∼158) ion beams. The experimental effort concentrated on beam noise reduction, pulse-to-pulse reproducibility and achieving low beam emittance at 0.5 A ion current level. Details of the source development will be reported

  11. Screening on the high yield validamycin producing strain by implantation with N+ and Ti+ ion source

    International Nuclear Information System (INIS)

    Yu Long; An Xiao

    2007-01-01

    In order to compared the mutagenic effects of the validamycin producing the strain (Streptomyces hygroscopicus var. Jingganggensis Yen.) was implanted with two kinds of ion sources. The results showed that when two kinds of ion sources implanted into the strain by turns, more positive mutants and higher yield would be acquired. Using this method, a high-yielding strain B1-3 was obtained, which produce the titer of validamycin A of 21514, and was 54.4% higher than that of the original strain. (authors)

  12. Shape memory effect and superelasticity of titanium nickelide alloys implanted with high ion doses

    International Nuclear Information System (INIS)

    Pogrebnjak, A D; Bratushka, S N; Beresnev, V M; Levintant-Zayonts, N

    2013-01-01

    The state of the art in ion implantation of superelastic NiTi shape memory alloys is analyzed. Various technological applications of the shape memory effect are outlined. The principles and techiques of ion implantation are described. Specific features of its application for modification of surface layers in surface engineering are considered. Key properties of shape memory alloys and problems in utilization of ion implantation to improve the surface properties of shape memory alloys, such as corrosion resistance, friction coefficient, wear resistance, etc. are discussed. The bibliography includes 162 references

  13. A Mutant of Bacillus Subtilis with High-Producing Surfactin by Ion Beam Implantation

    International Nuclear Information System (INIS)

    Liu Qingmei; Yuan Hang; Wang Jun; Gong Guohong; Zhou Wei; Fan Yonghong; Wang Li; Yao Jianming; Yu Zengliang

    2006-01-01

    In order to generate a mutant of Bacillus subtilis with enhanced surface activity through low energy nitrogen ion beam implantation, the effects of energy and dose of ions implanted were studied. The morphological changes in the bacteria were observed by scanning electron microscope (SEM). The optimum condition of ions implantation, 20 keV of energy and 2.6x10 15 N + /cm 2 in dose, was determined. A mutant, B.s-E-8 was obtained, whose surface activity of 50-fold and 100-fold diluted cell-free Landy medium was as 5.6-fold and 17.4-fold as the wild strain. The microbial growth and biosurfactant production of both the mutant and the wild strain were compared. After purified by ultrafiltration and SOURCE 15PHE, the biosurfactant was determined to be a complex of surfactin family through analysis of electrospray ionization mass spectrum (ESI/MS) and there was an interesting finding that after the ion beam implantation the intensities of the components were different from the wild type strain

  14. Mutagenic effects of ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Lu Ting; Shu Shizhen

    1998-01-01

    Dry seeds of Stevia were implanted by 75 keV nitrogen and carbon ions with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam dose. The rate of cells with chromosomal aberration was lower than that induced with γ-rays. Frequency of the mutation induced by implantation of N + and C + ions were higher than those induced by γ-rays. The rate of cell with chromosome aberration and in M 2 useful mutation induced by implantation of C + ion was higher than those induced by implantation of N + ion. Mutagenic effects Feng 1 x Riyuan and Riyuan x Feng 2 by implantation of N + and C + were higher than that of Jining and Feng 2

  15. Oxygen ion implantation induced microstructural changes and electrical conductivity in Bakelite RPC detector material

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, K. V. Aneesh, E-mail: aneesh1098@gmail.com; Ravikumar, H. B., E-mail: hbr@physics.uni-mysore.ac.in [Department of Studies in Physics, University of Mysore, Mysore-570006 (India); Ranganathaiah, C., E-mail: cr@physics.uni-mysore.ac.in [Govt. Research Centre, Sahyadri Educational Institutions, Mangalore-575007 (India); Kumarswamy, G. N., E-mail: kumy79@gmail.com [Department of Studies in Physics, Amrita Vishwa Vidyapeetham, Bangalore-560035 (India)

    2016-05-06

    In order to explore the structural modification induced electrical conductivity, samples of Bakelite Resistive Plate Chamber (RPC) detector materials were exposed to 100 keV Oxygen ion in the fluences of 10{sup 12}, 10{sup 13}, 10{sup 14} and 10{sup 15} ions/cm{sup 2}. Ion implantation induced microstructural changes have been studied using Positron Annihilation Lifetime Spectroscopy (PALS) and X-Ray Diffraction (XRD) techniques. Positron lifetime parameters viz., o-Ps lifetime and its intensity shows the deposition of high energy interior track and chain scission leads to the formation of radicals, secondary ions and electrons at lower ion implantation fluences (10{sup 12} to10{sup 14} ions/cm{sup 2}) followed by cross-linking at 10{sup 15} ions/cm{sup 2} fluence due to the radical reactions. The reduction in electrical conductivity of Bakelite detector material is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate implantation energy and fluence of Oxygen ion on polymer based Bakelite RPC detector material may reduce the leakage current, improves the efficiency, time resolution and thereby rectify the aging crisis of the RPC detectors.

  16. Mass and energy deposition effects of implanted ions on solid sodium formate

    Energy Technology Data Exchange (ETDEWEB)

    Wang Xiangqin E-mail: clshao@mail.ipp.ac.cn; Shao Chunlin; Yao Jianming; Yu Zengliang

    2000-07-01

    Solid sodium formate was implanted by low energy N{sup +}, H{sup +}, and Ar{sup +} ions. Measured with electron paramagnetic resonance (EPR) and Fourier-transform infrared (FT-IR), it was observed that new -CH{sub 2}-, -CH{sub 3}- groups and COO{sup -} radical ion were produced in the implanted sodium formate. Analyzing with the highly sensitive ninhydrin reaction, it was found that a new -NH{sub 2} functional group was formed upon N{sup +} ion implantation, and its yield increased along with implantation dose but decreased with the ion's energy.

  17. Nanocomposites formed by ion implantation: Recent developments and future opportunities

    International Nuclear Information System (INIS)

    Meldrum, A.; Boatner, L.A.; White, C.W.

    2001-01-01

    Ion implantation is a versatile and powerful technique for forming many types of nanocrystalline precipitates embedded in the near-surface region of a wide variety of crystalline and amorphous host materials. The unique optical, electronic and magnetic properties of these nanocomposites has stimulated considerable recent research interest. In this review, we discuss recent developments in the field as well as some of the problems that currently hinder the potential applications of nanocomposites formed by ion implantation

  18. Tribological studies of ion-implanted steel constituents

    International Nuclear Information System (INIS)

    Wei, Ronghau.

    1990-01-01

    Tribological properties of ion-implanted ferrite and austenite were studied systematically using a unique oscillating pin-on-disc wear tester. Results show that nitrogen implantation at elevated temperatures to high doses dramatically improves the adhesive wear resistance of ferrite and the critical load at which the adhesive wear mechanism changes from mild to severe for austenite. The wear resistance of nitrogen-implanted ferrite is determined by the nitride formed. Extremely hard solid solutions of nitrogen develop on the implanted austenite surfaces and induce three orders of magnitude reductions in wear rates. The implantation conditions that should be used to produce deep, wear-resistant layers for both steels are discussed in detail. Oscillating pin-on-disc wear tests demonstrate that nitrogen does not diffuse during the wearing process although tests conducted using conventional fixed pin-on-disc test equipment could erroneously suggest this occurs. Taken together, the results show that high-dose-rate implantation at low energies yields very-high-quality implanted surfaces at low cost

  19. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  20. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  1. Study of highly functionalized metal surface treated by plasma ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Masuda, Haruho; Saito, Kazuo; Ono, Taizou; Hayashi, Eiji

    2004-01-01

    Technology for processing metal surfaces with hardness, low friction and free from foreign substances was developed with plasma ion implantation. Diamond-like carbon (DLC) coating is a most promising method for realization of hard and smooth metal surface. DLC coating was tested in a metal pipe with 10 mm diameter and 10 cm length by a newly developed plasma ion implantation instrument. The surface coated by DLC was proved to have characteristics equivalent to those prepared with other methods. A computer program simulating a formation process of DLC coating was developed. Experiments for fluorinating the DLC coating surface was performed. (Y. Kazumata)

  2. Development of a keV single-ion-implanter for nanofabrication

    International Nuclear Information System (INIS)

    Yang, C.; Jamieson, D.N.; Hopf, T.; Tamanyan, G.; Spizziri, P.; Pakes, C.; Andresen, S.E.; Hudson, F.; Gauja, E.; Dzurak, A.; Clark, R.G.

    2005-01-01

    Traditional methods of doping semiconductors have a difficulty meeting the demand for high precision doping due to large statistical fluctuations in the numbers of dopant atoms introduced in the ever shrinking volume in micro- and nano-electronics devices, especially when the fabrication process approaches the nanometre scale. The statistical fluctuations in doping semiconductors for the fabrication of devices with a very small feature size may lead to inconsistent and unreliable performance. This paper describes the adaptation of a commercial ion implanter into a single-ion-implantation system for the accurate delivery of dopants into a nanometre or micrometre area in a silicon substrate. All the implanted ions can be accurately counted with near 100% certainty through online detection using the silicon substrate itself as an ion detector. A variety of ion species including B + , N + , P + at the energy range of 10-15 keV can be delivered in the single ion implantation system. (author). 6 refs., 6 figs

  3. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  4. Solutions to defect-related problems in implanted silicon by controlled injection of vacancies by high-energy ion irradiation

    International Nuclear Information System (INIS)

    Roth, E.G.; Holland, O.W.; Duggan, J.L.

    1999-01-01

    Amorphization and a dual implant technique have been used to manipulate residual defects that persist following implantation and post-implant thermal treatments. Residual defects can often be attributed to ion-induced defect excesses. A defect is considered to be excess when it occurs in a localized region at a concentration greater than its complement. Sources of excess defects include spatially separated Frenkel pairs, excess interstitials resulting from the implanted atoms, and sputtering. Preamorphizing prior to dopant implantation has been proposed to eliminate dopant broadening due to ion channeling as well as dopant diffusion during subsequent annealing. However, transient-enhanced diffusion (TED) of implanted boron has been observed in pre-amorphized Si. The defects driving this enhanced boron diffusion are thought to be the extended interstitial-type defects that form below the amorphous-crystalline interface during implantation. A dual implantation process was applied in an attempt to reduce or eliminate this interfacial defect band. High-energy, ion implantation is known to inject a vacancy excess in this region. Vacancies were implanted at a concentration coincident with the excess interstitials below the a-c interface to promote recombination between the two defect species. Preliminary results indicate that a critical fluence, i.e., a sufficient vacancy concentration, will eliminate the interstitial defects. The effect of the reduction or elimination of these interfacial defects upon TED of boron will be discussed. Rutherford backscattering/channeling and cross section transmission electron microscopy analyses were used to characterize the defect structure within the implanted layer. Secondary ion mass spectrometry was used to profile the dopant distributions. copyright 1999 American Institute of Physics

  5. Prospects of ion implantation and ion beam mixing for corrosion protection

    International Nuclear Information System (INIS)

    Wolf, G.K.; Munn, P.; Ensinger, W.

    1985-01-01

    Ion implantation is very useful new low temperature treatment for improving the mechanical surface properties of materials without any dimensional changes. In addition also the corrosion properties of metals can be modified considerably by this technique. The long term corrosion behaviour of implanted metals, however, has been studied only for a very limited number of cases. In this contribution a survey of attempts to do this will be presented. As examples of promising systems for corrosion protection by ion beams iron, steel and titanium were examined with and without pretreatment by ion implantation and ion beam mixing. The corrosion rates of the systems have been obtained by neutron activation analysis and by electrochemical methods. Experimental results are presented on: Palladium implanted in titanium - crevice corrosion in salt solution; Palladium implanted in and deposited on titanium -corrosion in sulfuric acid; Platinum implanted in stainless steel -corrosion in sulfuric acid. (author)

  6. Pulsed high current ion beam processing equipment

    International Nuclear Information System (INIS)

    Korenev, S.A.; Perry, A.

    1995-01-01

    A pulsed high voltage ion source is considered for use in ion beam processing for the surface modification of materials, and deposition of conducting films on different substrates. The source consists of an Arkad'ev-Marx high voltage generator, a vacuum ion diode based on explosive ion emission, and a vacuum chamber as substrate holder. The ion diode allows conducting films to be deposited from metal or allow sources, with ion beam mixing, onto substrates held at a pre-selected temperature. The main variables can be set in the ranges: voltage 100-700 kV, pulse length 0.3 μs, beam current 1-200 A depending on the ion chosen. The applications of this technology are discussed in semiconductor, superconductor and metallizing applications as well as the direction of future development and cost of these devices for commercial application. 14 refs., 6 figs

  7. Development of industrial ion implantation and ion assisted coating processes: A perspective

    International Nuclear Information System (INIS)

    Legg, K.O.; Solnick-Legg, H.

    1989-01-01

    Ion beam processes have gone through a series of developmental stages, from being the mainstay of the semiconductor industry for production of integrated circuits, to new commercial processes for biomedical, aerospace and other industries. Although research is still continuing on surface modification using ion beam methods, ion implantation and ion assisted coatings for treatment of metals, ceramics, polymers and composites must now be considered viable industrial processes of benefit in a wide variety of applications. However, ion implantation methods face various barriers to acceptability, in terms not only of other surface treatment processes, but for implantation itself. This paper will discuss some of the challenges faced by a small company whose primary business is development and marketing of ion implantation and ion-assisted coating processes. (orig.)

  8. A simple ion implantation system for solar cells

    International Nuclear Information System (INIS)

    Kenny, M.J.; Bird, J.R.; Broe, H.G.

    1982-11-01

    A project has been initiated to investigate simple but effective ion implantation and pulsed annealing techniques for the fabrication of high efficiency silicon solar cells. In particular, the method aims to eliminate the mass analyser and associated components from the implanter. A solid feed source is used in a clean ultra high vacuum environment to minimise impurities

  9. Diffusion of ion-implanted B in high concentration P- and As-doped silicon

    International Nuclear Information System (INIS)

    Fair, R.B.; Pappas, P.N.

    1975-01-01

    The diffusion of ion-implanted B in Si in the presence of a uniform background of high concentration P or As was studied by correlating numerical profile calculations with profiles determined by secondary-ion mass spectrometry (SIMS). Retarded B diffusion is observed in both As- and P-doped Si, consistent with the effect of the local Fermi-level position in the Si band gap on B diffusivity, D/sub B/. It is shown that D/sub B/ is linearly dependent on the free hole concentration, p, over the range 0.1 less than p/n/sub ie/ less than 30, where n/sub ie/ is the effective intrinsic electron concentration. This result does not depend on the way in which the background dopant has been introduced (implantation predeposition or doped-oxide source), nor the type of dopant used (P or As). (U.S.)

  10. Lifetime control of the minority carrier in PiN diodes by He+ ion implantation

    International Nuclear Information System (INIS)

    Tanaka, Y.; Kojima, K.; Takao, K.; Okamoto, M.; Kawasaki, M.; Takatsuka, A.; Yatsuo, T.; Arai, K.

    2005-01-01

    This paper reports the first demonstration of the lifetime control of the minority carrier in 4H-SiC PiN diodes by He + ion implantation. In this work, we fabricated 4H-SiC PiN diodes with the epitaxial junction and the blocking voltage of 2.6 kV, precisely corresponding to the theoretical blocking voltage calculated from the doping concentration (4.0 x 10 15 /cm 2 ) and the thickness of the drift layer (16.5 μm). He + ion implantation was performed with the energy and the dose of 400 kV and 1.0 x 10 13 -2.0 x 10 14 /cm 2 , respectively. We observed no different characteristics in the blocking voltage (2.6kV) and leakage current ( + ion implantation. However, we confirmed the improvement of the current recovery characteristics in the diodes with He + ion implantation. (orig.)

  11. Preparation of targets by ion implantation

    International Nuclear Information System (INIS)

    Santry, D.C.

    1976-01-01

    Various factors are described which are involved in target preparation by direct ion implantation and the limitations and pitfalls of the method are emphasized. Examples are given of experiments for which ion implanted targets are well suited. (author)

  12. FY 1998 annual report on the development of novel, high-activity oxygen electrode by ion-implantation; 1998 nendo ion chunyuho ni yoru shinkina kokassei sanso denkyoku no kaihatsu chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    An attempt has been made to develop an electrode material having high activity for oxygen generating reactions by ion-implantation, which is used to form the bulk defects (fine gaps at the atomic level) on the electrode surface, considered to serve as the active sites. It is found that implantation of the Co{sup +} or Zn{sup +} ion into a compound oxide electrode of Ti and Ru is accompanied by decreased overvoltage for oxygen generation by 50 to 100 mV. The Co{sup +} and Zn{sup +} ions, when implanted, cause damage of similar density in the thin film, decreasing its overvoltage to a similar extent, in spite of their different chemical properties, from which it is considered that the effect of ion implantation is not to change chemical properties of the film but to form a structural defect therein. A thin-film electrode of ruthenium dioxide, which is considered to be the oxygen generating electrode of the highest activity at present, is prepared and implanted with the Ru{sup +} ion, to observe the effect. The ion implantation also decreases the overvoltage by 50 to 70 mV, demonstrating its effect. The same principle is expected to be applicable to development of high-activity oxygen reducing electrode (electrode for fuel cell). (NEDO)

  13. Ion implantation induced conducting nano-cluster formation in PPO

    International Nuclear Information System (INIS)

    Das, A.; Patnaik, A.; Ghosh, G.; Dhara, S.

    1997-01-01

    Conversion of polymers and non-polymeric organic molecules from insulating to semiconducting materials as an effect of energetic ion implantation is an established fact. Formation of nano-clusters enriched with carbonaceous materials are made responsible for the insulator-semiconductor transition. Conduction in these implanted materials is observed to follow variable range hopping (VRH) mechanism. Poly(2,6-dimethyl phenylene oxide) [PPO] compatible in various proportion with polystyrene is used as a high thermal resistant insulating polymer. PPO has been used for the first time in the ion implantation study

  14. Chemical characterization of 4140 steel implanted by nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Nino, Ely Dannier V.; Duran, Fernando [Grupo de Investigacion en Tecnologia del Plasma (GINTEP), Departamento de Ciencias Basicas, Universidad Pontificia Bolivariana, Bucaramanga (Colombia); Pinto, Jose L.C. [Grupo de Investigacion en Quimica Estructural (GIQUE), Universidad Industrial de Santander, Bucaramanga (Colombia); Dugar-Zhabon, V.; Garnica, Hernan [Grupo de Fisica y Tecnologia del Plasma (FITEK), Universidad Industrial de Santander, Bucaramanga (Colombia)

    2010-07-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10{sup 17} ions/cm{sup 2} through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  15. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Nino, Ely Dannier V.; Duran, Fernando; Pinto, Jose L.C.; Dugar-Zhabon, V.; Garnica, Hernan

    2010-01-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10"1"7 ions/cm"2 through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  16. Industrial plasma immersion ion implanter and its applications

    CERN Document Server

    Tong Hong Hui; Huo Yan Feng; Wang Ke; Mu Li Lan; Feng Tie Min; Zhao Jun; Yan Bing; Geng Man

    2002-01-01

    A new generation industrial plasma immersion ion implanter was developed recently in South-western Institute of Physics and some experimental results are reported. The vacuum chamber with 900 mm in diameter and 1050 mm in height stands vertically. The pumping system includes turbo -pump and mechanical pump and it can be automatically controlled by PLC. The background pressure is less than 4 x 10 sup - sup 4 Pa. The plasma in the chamber can be generated by hot-filament discharge and three high-efficiency magnetic filter metal plasma sources, so that the plasma immersion ion implantation and enhanced deposition can be done. The maximum pulse voltage output is 80 kV, maximum pulse current is 60 A, repetition frequency is 50-500 Hz, and the pulse rise time is less than 2 mu s. The power modulator can operate in the pulse bunching mode if necessary. In general, the plasma density is 10 sup 8 -10 sup 1 sup 0 cm sup - sup 3 , the film deposition rate is 0.1-0.5 nm/s

  17. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    Science.gov (United States)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  18. High ion charge states in a high-current, short-pulse, vacuum ARC ion sources

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.; MacGill, R.; Dickinson, M.

    1996-01-01

    Ions of the cathode material are formed at vacuum arc cathode spots and extracted by a grid system. The ion charge states (typically 1-4) depend on the cathode material and only little on the discharge current as long as the current is low. Here the authors report on experiments with short pulses (several μs) and high currents (several kA); this regime of operation is thus approaching a more vacuum spark-like regime. Mean ion charge states of up to 6.2 for tungsten and 3.7 for titanium have been measured, with the corresponding maximum charge states of up to 8+ and 6+, respectively. The results are discussed in terms of Saha calculations and freezing of the charge state distribution

  19. High ion charge states in a high-current, short-pulse, vacuum arc ion source

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.; MacGill, R.; Dickinson, M.

    1995-09-01

    Ions of the cathode material are formed at vacuum arc cathode spots and extracted by a grid system. The ion charge states (typically 1--4) depend on the cathode material and only little on the discharge current as long as the current is low. Here the authors report on experiments with short pulses (several micros) and high currents (several kA); this regime of operation is thus approaching a more vacuum spark-like regime. Mean ion charge states of up to 6.2 for tungsten and 3.7 for titanium have been measured, with the corresponding maximum charge states of up to 8+ and 6+, respectively. The results are discussed in terms of Saha calculations and freezing of the charge state distribution

  20. Application of ion implantation in stevia breeding

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Jin Wei; Lu Ting; Shu Shizhen

    1999-08-01

    Dry seed of stevia were implanted with 60-100 keV nitrogen ion and 75 keV carbon ion of various doses, and the effects of the composition and yield of stevioside were studied. The results showed that ion beam could induce variation in total stevioside yield and the composition of the plant. The best treatment was 75 keV nitrogen ion with 5 x 10 14 N + /cm 2 , the stevioside yield and Rebaudioside A (R-A) content were increased by 4.74% and 14.08% respectively. The effects induced by implantation of carbon ion were higher than those induced by implantation of nitrogen ion. Effects of Feng 1 x Ri Yuan and Ri Yuan x Feng 2 are higher than those of Ji Ning and Feng 2 . Seven mutation lines were selected from the mutation progenies. The stevioside composition of these lines were previously improved. The results suggest a potential application of ion implantation in stevia breeding

  1. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    Energy Technology Data Exchange (ETDEWEB)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V. [Russian Academy of Sciences, Institute of Physics and Technology (Russian Federation); Chesnokov, Yu. M. [National Research Centre “Kurchatov Institute” (Russian Federation); Shemukhin, A. A.; Oreshko, A. P. [Moscow State University (Russian Federation)

    2017-03-15

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpest boundaries at a lower energy of implantable ions.

  2. Generation of Nitrogen-Vacancy Centers in Diamond with Ion Implantation

    International Nuclear Information System (INIS)

    Cui Jin-Ming; Chen Xiang-Dong; Gong Zhao-Jun; Sun Fang-Wen; Han Zheng-Fu; Guo Guang-Can; Fan Le-Le; Zou Chong-Wen

    2012-01-01

    Nitrogen-vacancy defect color centers are created in a high purity single crystal diamond by nitrogen-ion implantation. Both optical spectrum and optically detected magnetic resonance are measured for these artificial quantum emitters. Moreover, with a suitable mask, a lattice composed of nitrogen-vacancy centers is fabricated. Rabi oscillation driven by micro-waves is carried out to show the quality of the ion implantation and potential in quantum manipulation. Along with compatible standard lithography, such an implantation technique shows high potential in future to make structures with nitrogen-vacancy centers for diamond photonics and integrated photonic quantum chip

  3. A heavy ion pre-injector for the ICT-ion implanter

    International Nuclear Information System (INIS)

    Bhattacharya, P.K.; Gaonkar, S.; Wagh, A.G.; Hattangadi, V.A.; Sarma, N.

    1976-01-01

    A cheap and versatile hollow cathode electron bombardment ion source system including its ion extraction-cum-focussing assembly for obtaining intense heavy ion beams of solids and gases is described. The extractor region is designed to include more than 15deg total beam angle of extracted beam for producing focused ion current densities upto 60mA/cm 2 to serve as a pre-injector for the ICT(insulated core transformer) type ion implanter. The extraction-cum-focussing lens is a low aberration strong Einzel lens system of all araldite and metal construction with optical elements of proper quality and location to suit low voltage injection and subsequent ion analysis. The injection can be selected anywhere between 2 to 10 keV for singly charged ions with typical extraction currents of 500/μ, using a ring anode and a source aperture of 20 mil. Einzel lens focussing assembly allows continuous adjustment of the beam convergence to about 5deg and the beam size to approximately 5mm in diameter with about 10 KV central electrode potential. Test results of source characteristics for both the accelerating and decelerating model of beam formation have been made. (author)

  4. The screening of bacillus subtilis strain with high-produced antimicrobial substance using N+ ion implantation

    International Nuclear Information System (INIS)

    Shen Juan; Bie Xiaomei; Lu Zhaoxin; Lu Fengxia; Zhu Xiaoyu

    2006-01-01

    N + ion implantation was used to obtain higher-yield antimicrobial substance. Bacillus subtilis fmbJ was mutated by 25 keV N + ion implantation with the dose of 50 x 2.6 x 10 13 , 80 x 2.6 x 10 13 , 100 x 2.6 x 10 13 , 120 x 2.6 x 10 13 and 150 x 2.6 x 10 13 N + /m 2 . Results showed that the optimal N + ion dose was 50 x 2.6 x 10 13 N + /m 2 , and a strain of high-yield antimicrobials was obtained and named as Bacillus subtilis fmbJ224. Its antimicrobial substance yield was increased by 96% than the initial. The fermentation characteristic of the strain was studied, and the mode of producing antimicrobial substance for the selected strain was arrearage synthesis type. (authors)

  5. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    Science.gov (United States)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  6. Ballistic self-annealing during ion implantation

    International Nuclear Information System (INIS)

    Prins, Johan F.

    2001-01-01

    Ion implantation conditions are considered during which the energy, dissipated in the collision cascades, is low enough to ensure that the defects, which are generated during these collisions, consist primarily of vacancies and interstitial atoms. It is proposed that ballistic self-annealing is possible when the point defect density becomes high enough, provided that none, or very few, of the interstitial atoms escape from the layer being implanted. Under these conditions, the fraction of ballistic atoms, generated within the collision cascades from substitutional sites, decreases with increasing ion dose. Furthermore, the fraction of ballistic atoms, which finally end up within vacancies, increases with increasing vacancy density. Provided the crystal structure does not collapse, a damage threshold should be approached where just as many atoms are knocked out of substitutional sites as the number of ballistic atoms that fall back into vacancies. Under these conditions, the average point defect density should approach saturation. This model is applied to recently published Raman data that have been measured on a 3 MeV He + -ion implanted diamond (Orwa et al 2000 Phys. Rev. B 62 5461). The conclusion is reached that this ballistic self-annealing model describes the latter data better than a model in which it is assumed that the saturation in radiation damage is caused by amorphization of the implanted layer. (author)

  7. Deformation characteristics of the near-surface layers of zirconia ceramics implanted with aluminum ions

    Science.gov (United States)

    Ghyngazov, S. A.; Vasiliev, I. P.; Frangulyan, T. S.; Chernyavski, A. V.

    2015-10-01

    The effect of ion treatment on the phase composition and mechanical properties of the near-surface layers of zirconium ceramic composition 97 ZrO2-3Y2O3 (mol%) was studied. Irradiation of the samples was carried out by accelerated ions of aluminum with using vacuum-arc source Mevva 5-Ru. Ion beam had the following parameters: the energy of the accelerated ions E = 78 keV, the pulse current density Ji = 4mA / cm2, current pulse duration equal τ = 250 mcs, pulse repetition frequency f = 5 Hz. Exposure doses (fluence) were 1016 и 1017 ion/cm2. The depth distribution implanted ions was studied by SIMS method. It is shown that the maximum projected range of the implanted ions is equal to 250 nm. Near-surface layers were investigated by X-ray diffraction (XRD) at fixed glancing incidence angle. It is shown that implantation of aluminum ions into the ceramics does not lead to a change in the phase composition of the near-surface layer. The influence of implanted ions on mechanical properties of ceramic near-surface layers was studied by the method of dynamic nanoindentation using small loads on the indenter P=300 mN. It is shown that in ion- implanted ceramic layer the processes of material recovery in the deformed region in the unloading mode proceeds with higher efficiency as compared with the initial material state. The deformation characteristics of samples before and after ion treatment have been determined from interpretation of the resulting P-h curves within the loading and unloading sections by the technique proposed by Oliver and Pharr. It was found that implantation of aluminum ions in the near-surface layer of zirconia ceramics increases nanohardness and reduces the Young's modulus.

  8. Ion implantation: [fundamental factors which affect accelerator performance and their implications

    International Nuclear Information System (INIS)

    Armour, D.G.

    1987-01-01

    The use of ion implantation to modify the composition of the near surface layers of solid materials has been widely exploited in the semiconductor industry and is finding increasing application in the treatment of metals, ceramics and polymers. The bombardment of a solid with energetic ions inevitably involves the deposition of energy as well as material and this effect, which results in unwanted effects such as radiation damage in conventional implantation situations, is also being utilized to assist in the deposition of highly adherent or epitaxial layers. The increasing range of applications of ion implantation and ion assisted processing of materials has placed increasingly stringent demands on machine performance; in the present paper implantation techniques and their applications will be discussed. (author)

  9. Development of the High Current Ion Source for Neutral Beam Injection

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hun Ju; Kim, S. H.; Jang, D. H. [Jae Ju University, Jaeju (Korea, Republic of)

    1997-08-01

    The scope of the 1st year research is to design an 140keV deuterium ion source which has a beam current of 30-40A. According to the collected data, the model of an ion source for NBI of KSTAR was established. The negative ion source, which has good neutralization effecting in high energy, was selected. To generate a plasma, the thoriated tungsten filament was adopted. To increase the efficiency of plasma, the multi cusp type magnetic field was attached. The magnetic field was calculated by POISSON code. The extraction structure was designed with EGUN code, to extract the high quality ion beam. The design of a high current ion source for NBI was carried out. To develop the high current ion source with the high operational stability and the long lifetime, the parameters including an arc current, gas pressure and extraction voltage should be optimized. If designed ion source would be fabricated, its parameters could be optimized experimentally. Through the optimization of the ion source parameter, the core technology for NBI is established and the experiment of current drive in the fusion device can be performed. This technology also can be applied to the synthesis of new material and semiconductor industry. 18 refs., 11 tabs., 19 figs. (author)

  10. The emittance and brightness characteristics of negative ion sources suitable for MeV ion implantation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1987-01-01

    This paper provides the description and beam properties of ion sources suitable for use with ion implantation devices. Particular emphasis is placed on the emittance and brightness properties of state-of-the-art, high intensity, negative ion sources based on the cesium ion sputter principle

  11. Polymer tribology by combining ion implantation and radionuclide tracing

    International Nuclear Information System (INIS)

    Timmers, Heiko; Gladkis, Laura G.; Warner, Jacob A.; Byrne, Aidan P.; Grosso, Mariela F. del; Arbeitman, Claudia R.; Garcia-Bermudez, Gerardo; Geruschke, Thomas; Vianden, Reiner

    2010-01-01

    Radionuclide tracers were ion implanted with three different techniques into the ultra-high molecular weight polyethylene polymer. Tracer nuclei of 7 Be were produced with inverse kinematics via the reaction p( 7 Li, 7 Be)n and caught by polymer samples at a forward scattering angle with a maximum implantation energy of 16 MeV. For the first time, 97 Ru, 100 Pd, and, independently, 111 In have been used as radionuclide tracers in ultra-high molecular weight polyethylene. 97 Ru and 100 Pd were recoil-implanted following the fusion evaporation reactions 92 Zr( 12 C,α3n) 97 Ru and 92 Zr( 12 C,4n) 100 Pd with a maximum implantation energy of 8 MeV. 111 In ions were produced in an ion source, mass-separated and implanted at 160 keV. The tribology of implanted polymer samples was studied by tracing the radionuclide during mechanical wear. Uni-directional and bi-directional sliding apparatus with stainless steel actuators were used. Results suggest a debris exchange process as the characteristic feature of the wear-in phase. This process can establish the steady state required for a subsequently constant wear rate in agreement with Archard's equation. The nano-scale implantation of mass-separated 111 In appears best suited to the study of non-linear tribological processes during wear-in. Such non-linear processes may be expected to be important in micro- and nanomachines.

  12. Ion beams from high-current PF facilities

    Energy Technology Data Exchange (ETDEWEB)

    Sadowski, M [Soltan Inst. for Nuclear Studies, Otwock-Swierk (Poland)

    1997-12-31

    Pulsed beams of fast deuterons and impurity or admixture ions emitted from high-current PF-type facilities operated in different laboratories are dealt with. A short comparative analysis of time-integrated and time-resolved studies is presented. Particular attention is paid to the microstructure of such ion beams, and to the verification of some theoretical models. (author). 5 figs., 19 refs.

  13. Surface modification technique of structural ceramics: ion implantation-assisted multi-arc ion plating

    International Nuclear Information System (INIS)

    Peng Zhijian; Miao Hezhuo; Si Wenjie; Qi Longhao; Li Wenzhi

    2003-01-01

    Through reviewing the advantages and disadvantages of the existed surface modification techniques, a new technique, ion implantation-assisted multi-arc ion plating, was proposed. Using the proposed technique, the surfaces of silicon nitride ceramics were modified by Ti ion implantation, and then three kinds of ternary coatings, (Ti,Al)N, (Ti,Zr)N and (Ti,Cr)N, were deposited on the as-implanted ceramics. The coatings prepared by this technique are of high-hardness and well adhesive to the ceramic substrates. The maximal hardness measured by nanoindentation tests is more than 40 GPa. The maximal critical load by nanoscratch tests is more than 60 mN. The cutting tools prepared by this technique with the presented coatings are of excellent performance in industrial applications. The technique may be promising for the surface modification of structural ceramics. (orig.)

  14. Surface modification of ceramics and metals by ion implantation combined with plasma irradiation

    International Nuclear Information System (INIS)

    Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Ikeyama, Masami; Saitoh, Kazuo

    2000-01-01

    To develop a new surface modification technique using ion implantation combined with plasma irradiation, thin film formation by IBAD (Ion Beam Assisted Deposition) and atom relocation processes such as radiation enhanced diffusion and ion beam mixing under high dose implantation have been studied. It was confirmed that the computer simulation code, dynamic-SASAMAL (IBAD version) developed in this research, is quite useful to evaluate ballistic components in film formation by high dose implantation on ceramics and metals, by ion beam mixing of metal-ceramics bi-layer and by the IBAD method including hydrocarbon deposition. Surface modification process of SiC by simultaneous irradiation of ions with a radical beam has also been studied. A composite of SiC and β-Si 3 N 4 was found to be formed on a SiC surface by hot implantation of nitrogen. The amount of β- Si 3 N 4 crystallites increased with increasing the dosage of the hydrogen radical beam during nitrogen implantation. (author)

  15. Electrical properties of polymer modified by metal ion implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Huixing; Zhang Xiaoji; Deng Zhiwei; Zhou Gu

    2000-01-01

    Polyethylene terephthalate (PET) has been modified by Ag, Cr, Cu and Si ion implantation with a dose range from 1x10 16 to 2x10 17 ions cm -2 using a metal vapor vacuum arc (MEVVA) source. The electrical properties of PET have been changed after metal ion implantation. The resistivity of implanted PET decreased obviously with an increase of ion dose. When metal ion dose of 2x10 17 cm -2 was selected, the resistivity of PET could be less than 10 Ω cm, but when Si ions are implanted, the resistivity of PET would be up to several hundred Ω cm. The results show that the conductive behavior of a metal ion implanted sample is obviously different from Si implantation one. The changes of the structure and composition have been observed with transmission electron microscope (TEM) and X-ray diffraction (XRD). The surface structure is varying after ion implantation and it is believed that the change would cause the improvement of the conductive properties. The mechanism of electrical conduction will be discussed

  16. Field isolation for GaN MOSFETs on AlGaN/GaN heterostructure with boron ion implantation

    International Nuclear Information System (INIS)

    Jiang, Y; Wang, Q P; Wang, D J; Tamai, K; Li, L A; Ao, J-P; Ohno, Y; Shinkai, S; Miyashita, T; Motoyama, S-I

    2014-01-01

    We report the investigation of boron ion implantation as a device field isolation process for GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure. In the mesa isolation region of a bar-type MOSFET, a parasitic MOS-channel existed and widened the designed channel width, which would result in an overestimated mobility compared with a ring-type MOSFET. After boron ions implantation in the isolation region, the overestimation of field-effect mobility of bar-type MOSFETs was eliminated. The sub-threshold characteristics and on-state drain current of the bar-type MOSFETs coincide with the ring-type devices. Long-channel ring-type MOSFETs, with and without ion implantation, were fabricated on the recess region to evaluate the sub-threshold characteristics. The MOSFETs with boron ions implanted into the recess region showed a low drain current up to the gate bias of 10V. The result indicated that boron ion implantation prevented the formation of parasitic MOS-channel in the isolation region and achieved field isolation. The current–voltage characteristics of MOSFETs with the normal recess condition demonstrated no degradation of device performance after boron ions implanted into the isolation region. Boron ion implantation by further optimization can be a field isolation method for GaN MOSFETs. (paper)

  17. Direct observation and mechanism for enhanced field emission sites in platinum ion implanted/post-annealed ultrananocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Panda, Kalpataru, E-mail: panda@afm.eei.eng.osaka-u.ac.jp, E-mail: phy.kalpa@gmail.com; Inami, Eiichi; Sugimoto, Yoshiaki [Graduate School of Engineering, Osaka University, 2-1, Yamada-Oka, Suita, Osaka 565-0871 (Japan); Sankaran, Kamatchi J.; Tai, Nyan Hwa [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Lin, I-Nan, E-mail: inanlin@mail.tku.edu.tw [Department of Physics, Tamkang University, Tamsui 251, Taiwan (China)

    2014-10-20

    Enhanced electron field emission (EFE) properties for ultrananocrystalline diamond (UNCD) films upon platinum (Pt) ion implantation and subsequent post-annealing processes is reported, viz., low turn-on field of 4.17 V/μm with high EFE current density of 5.08 mA/cm{sup 2} at an applied field of 7.0 V/μm. Current imaging tunneling spectroscopy (CITS) mode in scanning tunneling spectroscopy directly revealed the increased electron emission sites density for Pt ion implanted/post-annealed UNCD films than the pristine one. The high resolution CITS mapping and local current–voltage characteristic curves demonstrated that the electrons are dominantly emitted from the diamond grain boundaries and Pt nanoparticles.

  18. Direct observation and mechanism for enhanced field emission sites in platinum ion implanted/post-annealed ultrananocrystalline diamond films

    International Nuclear Information System (INIS)

    Panda, Kalpataru; Inami, Eiichi; Sugimoto, Yoshiaki; Sankaran, Kamatchi J.; Tai, Nyan Hwa; Lin, I-Nan

    2014-01-01

    Enhanced electron field emission (EFE) properties for ultrananocrystalline diamond (UNCD) films upon platinum (Pt) ion implantation and subsequent post-annealing processes is reported, viz., low turn-on field of 4.17 V/μm with high EFE current density of 5.08 mA/cm 2 at an applied field of 7.0 V/μm. Current imaging tunneling spectroscopy (CITS) mode in scanning tunneling spectroscopy directly revealed the increased electron emission sites density for Pt ion implanted/post-annealed UNCD films than the pristine one. The high resolution CITS mapping and local current–voltage characteristic curves demonstrated that the electrons are dominantly emitted from the diamond grain boundaries and Pt nanoparticles.

  19. Mechanical and structural properties of fluorine-ion-implanted boron suboxide

    CSIR Research Space (South Africa)

    Machaka, R

    2011-09-01

    Full Text Available such as diffusion, solubility, deposi- tion, and alloy formation by providing high kinetic energy through ion impact and utilizing ballistic effects during ion- solid interaction [1?4]. Moreover, ion implantation allows the precise control of the ion energy, ion... annealing, and diffusion processes taking place during ion implantation. Advances in Materials Science and Engineering 3 Acc. V Det WD 5 ?m 512 kV 5000x CL 11.9 B6O Spot Magn (a) 0 1 2 3 4 5 6 7 8 0 0.3 0.6 0.9 1.3 1.6 KC n t Energy (keV) B...

  20. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Kai; Wang, Yibo [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Li, Zhuguo, E-mail: lizg@sjtu.edu.cn [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2015-08-15

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enriched region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.

  1. Heavy doping of CdTe single crystals by Cr ion implantation

    Science.gov (United States)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  2. Defect engineering via ion implantation to control B diffusion in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Xu, M.; Ntzoenzok, E.; Pichaud, B.

    2009-01-01

    The processes which are currently studied in the fabrication of B-doped ultra shallow junctions (USJ) usually involve a preamorphization step to reduce B channelling effect during implantation and to improve B electrical activation. At this stage a high amount of Si interstitial atoms (Is), which dramatically increases the B diffusivity, is introduced. The introduction of voids in Si is a promising tool to control B transient enhanced diffusion (TED), because of their ability to capture Is. In this work the efficiency of a cavity band to reduce B TED is checked in silicon interstitial supersaturation conditions, obtained by high dose Si implantation. He is implanted either at 10 keV or at 50 keV with a fluence of 5 x 10 16 cm -2 . Conventional techniques to introduce and activate the B (conventional ion implantation and rapid thermal annealing (RTA)) are applied in order to have a better control of the technological process to focus on the benefit of the cavity layer. The samples were characterized by cross section transmission electron microscopy (XTEM), secondary ion mass spectroscopy (SIMS) and Hall Effect (HE). The latter shows that good activation of the B is achieved only after 1000 deg. C RTA, though a 900 deg. C RTA is sufficient for implantation-damage recovery, as it is confirmed by XTEM observations. B SIMS profiles show that the band of cavities plays its best effect in reducing B TED when it is located near the surface.

  3. Studies in High Current Density Ion Sources for Heavy Ion Fusion Applications

    Energy Technology Data Exchange (ETDEWEB)

    Chacon-Golcher, Edwin [Univ. of California, Berkeley, CA (United States)

    2002-06-01

    This dissertation develops diverse research on small (diameter ~ few mm), high current density (J ~ several tens of mA/cm2) heavy ion sources. The research has been developed in the context of a programmatic interest within the Heavy Ion Fusion (HIF) Program to explore alternative architectures in the beam injection systems that use the merging of small, bright beams. An ion gun was designed and built for these experiments. Results of average current density yield () at different operating conditions are presented for K+ and Cs+ contact ionization sources and potassium aluminum silicate sources. Maximum values for a K+ beam of ~90 mA/cm2 were observed in 2.3 μs pulses. Measurements of beam intensity profiles and emittances are included. Measurements of neutral particle desorption are presented at different operating conditions which lead to a better understanding of the underlying atomic diffusion processes that determine the lifetime of the emitter. Estimates of diffusion times consistent with measurements are presented, as well as estimates of maximum repetition rates achievable. Diverse studies performed on the composition and preparation of alkali aluminosilicate ion sources are also presented. In addition, this work includes preliminary work carried out exploring the viability of an argon plasma ion source and a bismuth metal vapor vacuum arc (MEVVA) ion source. For the former ion source, fast rise-times (~ 1 μs), high current densities (~ 100 mA/cm+) and low operating pressures (< 2 mtorr) were verified. For the latter, high but acceptable levels of beam emittance were measured (εn ≤ 0.006 π· mm · mrad) although measured currents differed from the desired ones (I ~ 5mA) by about a factor of 10.

  4. Modification of medical metals by ion implantation of copper

    Science.gov (United States)

    Wan, Y. Z.; Xiong, G. Y.; Liang, H.; Raman, S.; He, F.; Huang, Y.

    2007-10-01

    The effect of copper ion implantation on the antibacterial activity, wear performance and corrosion resistance of medical metals including 317 L of stainless steels, pure titanium, and Ti-Al-Nb alloy was studied in this work. The specimens were implanted with copper ions using a MEVVA source ion implanter with ion doses ranging from 0.5 × 10 17 to 4 × 10 17 ions/cm 2 at an energy of 80 keV. The antibacterial effect, wear rate, and inflexion potential were measured as a function of ion dose. The results obtained indicate that copper ion implantation improves the antibacterial effect and wear behaviour for all the three medical materials studied. However, corrosion resistance decreases after ion implantation of copper. Experimental results indicate that the antibacterial property and corrosion resistance should be balanced for medical titanium materials. The marked deteriorated corrosion resistance of 317 L suggests that copper implantation may not be an effective method of improving its antibacterial activity.

  5. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  6. Lithium ion implantation effects in MgO(100)

    Energy Technology Data Exchange (ETDEWEB)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E. [Interfaculty Reactor Inst., Delft Univ. of Technology, Delft (Netherlands); Kooi, B.J.; Hosson, J.T.M. de [Rijksuniversiteit Groningen (Netherlands). Materials Science Centre

    2001-07-01

    Single crystals of MgO(100) were implanted with 10{sup 16} {sup 6}Li ions cm{sup -2} at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted {sup 6}Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of {sup 6}Li starts at an annealing temperature of 1200K. (orig.)

  7. Lithium ion implantation effects in MgO(100)

    International Nuclear Information System (INIS)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E.; Kooi, B.J.; Hosson, J.T.M. de

    2001-01-01

    Single crystals of MgO(100) were implanted with 10 16 6 Li ions cm -2 at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted 6 Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of 6 Li starts at an annealing temperature of 1200K. (orig.)

  8. Mutation effect of ion implantation on tomato breeding

    International Nuclear Information System (INIS)

    Wu Baoshan; Ling Haiqiu; Mao Peihong; Jin Xiang; Zeng Xianxian

    2003-01-01

    The mutation effects of N + ion implantation on cultivated tomato, Catchup type and Eatable type were studied. The result show that the mutation ranges of single-fruit weight and fruit number per plant were increased and their mutation frequencies were high, however the effect of ion implantation on germination rate of seed and quality of fruit was very weak. Using doses of 4 x 10 16 and 6 x 10 16 N + /cm 2 , the yield was greatly improved. The optimum mutation dosage was slightly different for seed of 2 tomato lines

  9. Apparatus and method for neutralizing the beam in an ion implanter

    International Nuclear Information System (INIS)

    Douglas, E.C.

    1982-01-01

    An ion implanter apparatus is described with provision for neutralizing the space charge potential of the ionic beam with a closed loop feedback system responding to the electrical charges that tend to accumulate on a target specimen. Neutralization is provided by a controllable electron source surrounding the beam. Flow of electrons to a plate radially outward of the electron source is used to derive a signal proportional to the beam ion current when the space charge potential of the beam is neutralized. The beam current signal can be used (1) to provide a read-out display for the operator; (2) to control the magnitude of the ion beam; (3) to be integrated to determine the total positive charge that enters the faraday cage of the implanter for use to control the ion beam shutter; or (4) to effect relative movement of the specimen and the beam

  10. Formation of SIMOX–SOI structure by high-temperature oxygen implantation

    International Nuclear Information System (INIS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-01-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 10"1"7–10"1"8 ions/cm"2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO_2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO_2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  11. Structural and compositional characterization of X-cut LiNbO3 crystals implanted with high energy oxygen and carbon ions

    International Nuclear Information System (INIS)

    Bentini, G.G.; Bianconi, M.; Cerutti, A.; Chiarini, M.; Pennestri, G.; Sada, C.; Argiolas, N.; Bazzan, M.; Mazzoldi, P.; Guzzi, R.

    2005-01-01

    High energy implantation of medium-light elements such as oxygen and carbon was performed in X-cut LiNbO 3 single crystals in order to prepare high quality optical waveguides. The compositional and damage profiles, obtained by exploiting the secondary ion mass spectrometry and Rutherford back-scattering techniques respectively, were correlated to the structural properties measured by the high resolution X-ray diffraction. This study evidences the development of tensile strain induced by the ion implantation that can contribute to the decrease of the ordinary refractive index variation through the photo-elastic effect

  12. Development of Mechanical Improvement of the Cladding by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Han, J G; Lee, S B [Sungkyunkwan University, Seoul (Korea, Republic of); Kim, S H [Kangwon University, Chunchon (Korea, Republic of); Song, G [Suwon College, Suwon (Korea, Republic of)

    1997-07-01

    In this research we analyzed the state of art related to the surface treatment method of nuclear fuel cladding for the development of the surface treatment technique of nuclear fuel cladding by ion beam while investigating major causes of the leakage of fuel rods. Ion implantation simulation code called TRIM-95 was used to decide basic parameters ion beams and wetup an appropriate process for ion implantation. For the mechanical properties measurements, a high temperature wear resistance tester, a fretting wear tester, and a fretting fatigue resistance tester were constructed. Using these testers, some mechanical properties as micro hardness, wear resistance against AISI52100 and AI{sub 2}O{sub 3} balls, and fretting properties were measured and analyzed for the implanted materials as a function of ion dose and processing temperature. Effect of the oxygen atmosphere was measured in the nitrogen implantation. Auger electron spectroscopy(AES) was applied for the depth profile, and X-ray diffraction was used for the nitrogen and oxide measurements. 48 refs., 7 tabs., 46 figs. (author)

  13. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    International Nuclear Information System (INIS)

    Bannister, M.E.; Hijazi, H.; Meyer, H.M.; Cianciolo, V.; Meyer, F.W.

    2014-01-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R and D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 10 16 cm −2 , where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5–6.2 × 10 16 cm −2 . Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities

  14. Intrinsic point-defect balance in self-ion-implanted ZnO.

    Science.gov (United States)

    Neuvonen, Pekka T; Vines, Lasse; Svensson, Bengt G; Kuznetsov, Andrej Yu

    2013-01-04

    The role of excess intrinsic atoms for residual point defect balance has been discriminated by implanting Zn or O ions into Li-containing ZnO and monitoring Li redistribution and electrical resistivity after postimplant anneals. Strongly Li-depleted regions were detected in the Zn-implanted samples at depths beyond the projected range (R(p)) upon annealing ≥ 600 °C, correlating with a resistivity decrease. In contrast, similar anneals of the O-implanted samples resulted in Li accumulation at R(p) and an increased resistivity. Control samples implanted with Ar or Ne ions, yielding similar defect production as for the Zn or O implants but with no surplus of intrinsic atoms, revealed no Li depletion. Thus, the depletion of Li shows evidence of excess Zn interstitials (Zn(I)) being released during annealing of the Zn-implanted samples. These Zn(I)'s convert substitutional Li atoms (Li(Zn)) into highly mobile interstitial ones leading to the strongly Li-depleted regions. In the O-implanted samples, the high resistivity provides evidence of stable O(I)-related acceptors.

  15. Employment of an ion implantation technique for catalyst coating on various substrates

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Chattha, J.A.; Zlobin, V.N.; Vasilve, I.P.; Cherkasov, J.A.; Gawrilenko, P.N.

    2001-01-01

    Catalysts are widely used in the chemical industry as well as in the production of vehicle catalytic converters. Precious metals are employed increasingly as catalytic materials. Traditional methods of coating, such as impregnation, are thought to reduce the porosity and specific area of catalyst thus reducing the catalytic efficiency. Apart from that, impregnation technology leads to the high expense of precious metals. To reduce the content of noble metals in catalysts the ion implantation method of coating has been investigated. Several samples of catalysts on various substrates were prepared by ion implantation technique and tested. New catalysts have shown high nitric oxides (NO/sub x/) and carbon monoxide (CO) conversion efficiency, with the content of noble metals reduced substantially. Experiment has also shown that specific area of substrates coated by an ion implantation had not decreased. Schematic of an ion implanter and experimental results are provided. (author)

  16. Magnetoresistive nanojunctions fabricated via focused ion beam implantation

    Energy Technology Data Exchange (ETDEWEB)

    Stefanescu, E.; Hong, J.; Guduru, R. [Florida International University (United States); Lavrenov, A. [Hitachi Research (United States); Litvinov, D. [University of Houston, Center for Nanomagnetic Systems (United States); Khizroev, S., E-mail: khizroev@fiu.edu [Florida International University (United States)

    2013-01-15

    Focused ion beam (FIB) is used to implant Ga{sup +} ions into a 30-nm thick magnetoresistive element to effectively reduce the track width of the sensor from 1 Micro-Sign m to {approx}80 nm. Through magnetic recording industry-standard spinstand measurements, it is confirmed that a dose of {approx}10{sup 3} ions/cm{sup 2} at a 1-pA FIB current is sufficient to fully 'de-activate' magnetism in the exposed side regions. To record tracks required for spinstand tests, a FIB-trimmed ring type write head is used.

  17. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  18. The influence of ion implantation on the surface properties of metals and alloys

    International Nuclear Information System (INIS)

    Grant, W.A.; Carter, G.

    1975-10-01

    The report falls into three sections: (1) annealing behaviour of high dose rare gas (Ne, Ar, Kr, Xe) implantations into silicon; (2) measurement of projected and lateral range parameters for low energy heavy ions (Ar, Cu, Kr, Cd, Xe, Cs, Dy, W, Au, Pb, Bi) in silicon by Rutherford backscattering; (3) surface chemistry of ion implanted solids (e.g. corrosion, catalysis, oxidation, synthesis of compounds in ion implanted layers). (U.K.)

  19. Surface modification of commercial tin coatings by carbon ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, L.J.; Sood, D.K.; Manory, R.R. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Commercial TiN coatings of about 2 {mu}m thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10{sup 17} - 8x10{sup 17} ions cm{sup -2}. Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs.

  20. Surface modification of commercial tin coatings by carbon ion implantation

    International Nuclear Information System (INIS)

    Liu, L.J.; Sood, D.K.; Manory, R.R.

    1993-01-01

    Commercial TiN coatings of about 2 μm thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10 17 - 8x10 17 ions cm -2 . Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs

  1. Surface modification of commercial tin coatings by carbon ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, L J; Sood, D K; Manory, R R [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Commercial TiN coatings of about 2 {mu}m thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10{sup 17} - 8x10{sup 17} ions cm{sup -2}. Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs.

  2. Post-annealing recrystallization and damage recovery process in Fe ion implanted Si

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Hirata, Akihiko; Ishimaru, Manabu; Hirotsu, Yoshihiko

    2007-01-01

    We have investigated ion-beam-induced and thermal annealing-induced microstructures in high fluence Fe implanted Si using transmission electron microscopy. Si(1 1 1) substrates were irradiated with 120 keV Fe ions at 120 K to fluences of 0.4 x 10 17 and 4.0 x 10 17 cm -2 . A continuous amorphous layer was formed on Si substrates in both as-implanted samples. After thermal annealing at 1073 K for 2 h, β-FeSi 2 fine particles buried in a polycrystalline Si layer were observed in the low fluence sample, while a continuous β-FeSi 2 layer was formed in the high fluence sample. We discuss the relationship between ion fluence and defects recovery process in Fe ion implanted Si

  3. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  4. High current density ion beam measurement techniques

    International Nuclear Information System (INIS)

    Ko, W.C.; Sawatzky, E.

    1976-01-01

    High ion beam current measurements are difficult due to the presence of the secondary particles and beam neutralization. For long Faraday cages, true current can be obtained only by negative bias on the target and by summing the cage wall and target currents; otherwise, the beam will be greatly distorted. For short Faraday cages, a combination of small magnetic field and the negative target bias results in correct beam current. Either component alone does not give true current

  5. Effect of Ion Flux (Dose Rate) in Source-Drain Extension Ion Implantation for 10-nm Node FinFET and Beyond on 300/450mm Platforms

    Science.gov (United States)

    Shen, Ming-Yi

    The improvement of wafer equipment productivity has been a continuous effort of the semiconductor industry. Higher productivity implies lower product price, which economically drives more demand from the market. This is desired by the semiconductor manufacturing industry. By raising the ion beam current of the ion implanter for 300/450mm platforms, it is possible to increase the throughput of the ion implanter. The resulting dose rate can be comparable to the performance of conventional ion implanters or higher, depending on beam current and beam size. Thus, effects caused by higher dose rate must be investigated further. One of the major applications of ion implantation (I/I) is source-drain extension (SDE) I/I for the silicon FinFET device. This study investigated the dose rate effects on the material properties and device performance of the 10-nm node silicon FinFET. In order to gain better understanding of the dose rate effects, the dose rate study is based on Synopsys Technology CAD (TCAD) process and device simulations that are calibrated and validated using available structural silicon fin samples. We have successfully shown that the kinetic monte carlo (KMC) I/I simulation can precisely model both the silicon amorphization and the arsenic distribution in the fin by comparing the KMC simulation results with TEM images. The results of the KMC I/I simulation show that at high dose rate more activated arsenic dopants were in the source-drain extension (SDE) region. This finding matches with the increased silicon amorphization caused by the high dose-rate I/I, given that the arsenic atoms could be more easily activated by the solid phase epitaxial regrowth process. This increased silicon amorphization led to not only higher arsenic activation near the spacer edge, but also less arsenic atoms straggling into the channel. Hence, it is possible to improve the throughput of the ion implanter when the dopants are implanted at high dose rate if the same doping level

  6. X-ray diffraction patterns of single crystals implanted with high-energy light ions

    International Nuclear Information System (INIS)

    Wieteska, K.

    1998-01-01

    X-ray diffraction patterns of silicon and gallium arsenide single crystals implanted with high-energy protons and α-particles were studied. A various models of lattice parameter changes were analysed. The agreement between the simulation and experiment proves that the lattice parameter depth-distribution can be assumed to be proportional to vacancy distribution obtained by Monte-Carlo method and from the Biersack-Ziegler theory. Most of the X-ray experiments were performed using synchrotron source of X-ray radiation in particular in the case of back-reflection and transmission section topographic methods. The new method of direct determination of the implanted ion ranges was proposed using synchrotron radiation back-reflection section topography. A number of new interference phenomena was revealed and explained. These interferences are important in the applications of diffraction theory in studying of the real structure of implanted layers. (author)

  7. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  8. Studies in High Current Density Ion Sources for Heavy Ion Fusion Applications

    International Nuclear Information System (INIS)

    Chacon-Golcher, E.

    2002-01-01

    This dissertation develops diverse research on small (diameter ∼ few mm), high current density (J ∼ several tens of mA/cm 2 ) heavy ion sources. The research has been developed in the context of a programmatic interest within the Heavy Ion Fusion (HIF) Program to explore alternative architectures in the beam injection systems that use the merging of small, bright beams. An ion gun was designed and built for these experiments. Results of average current density yield ( ) at different operating conditions are presented for K + and Cs + contact ionization sources and potassium aluminum silicate sources. Maximum values for a K + beam of ∼90 mA/cm 2 were observed in 2.3 (micro)s pulses. Measurements of beam intensity profiles and emittances are included. Measurements of neutral particle desorption are presented at different operating conditions which lead to a better understanding of the underlying atomic diffusion processes that determine the lifetime of the emitter. Estimates of diffusion times consistent with measurements are presented, as well as estimates of maximum repetition rates achievable. Diverse studies performed on the composition and preparation of alkali aluminosilicate ion sources are also presented. In addition, this work includes preliminary work carried out exploring the viability of an argon plasma ion source and a bismuth metal vapor vacuum arc (MEVVA) ion source. For the former ion source, fast rise-times (∼ 1 (micro)s), high current densities (∼ 100 mA/cm 2 ) and low operating pressures ( e psilon) n (le) 0.006 π mm · mrad) although measured currents differed from the desired ones (I ∼ 5mA) by about a factor of 10

  9. Physical property of disordered-GaAs produced by ion implantation

    International Nuclear Information System (INIS)

    Nojima, Shunji

    1979-01-01

    The properties of disordered-GaAs produced by ion implantation and its annealing behaviors are investigated for ion species of H, Be, P, and As, from the viewpoints of both the electrical property and the physical structure of the disordered layer. From the study of the electron diffraction for implanted layers and of the conductivity due to defects as a function of dose, depth, measuring temperature, and annealing temperature, the following two facts are clarified: first, the conductivity due to defects can be a good measure for the degree of disorder in GaAs produced by ion implantation, when it is less than --1 Ω -1 cm -1 . Second, the localized states originating from defects are distributed with the same density in the high dose implanted layer, in spite of the degree of disorder in the physical structure. (author)

  10. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  11. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  12. In situ ion irradiation/implantation studies in the HVEM-Tandem Facility at Argonne National Laboratory

    International Nuclear Information System (INIS)

    Allen, C.W.; Funk, L.L.; Ryan, E.A.; Taylor, A.

    1988-09-01

    The HVEM-Tandem User Facility at Argonne National Laboratory interfaces two ion accelerators, a 2 MV tandem accelerator and a 650 kV ion implanter, to a 1.2 MV high voltage electron microscope. This combination allows experiments involving simultaneous ion irradiation/ion implantation, electron irradiation and electron microscopy/electron diffraction to be performed. In addition the availability of a variety of microscope sample holders permits these as well as other types of in situ experiments to be performed at temperatures ranging from 10-1300 K, with the sample in a stressed state or with simultaneous determination of electrical resistivity of the specimen. This paper summarizes the details of the Facility which are relevant to simultaneous ion beam material modification and electron microscopy, presents several current applications and briefly describes the straightforward mechanism for potential users to access this US Department of Energy supported facility. 7 refs., 1 fig., 1 tab

  13. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  14. High-resolution scanning near-field EBIC microscopy: Application to the characterisation of a shallow ion implanted p+-n silicon junction

    International Nuclear Information System (INIS)

    Smaali, K.; Faure, J.; El Hdiy, A.; Troyon, M.

    2008-01-01

    High-resolution electron beam induced current (EBIC) analyses were carried out on a shallow ion implanted p + -n silicon junction in a scanning electron microscope (SEM) and a scanning probe microscope (SPM) hybrid system. With this scanning near-field EBIC microscope, a sample can be conventionally imaged by SEM, its local topography investigated by SPM and high-resolution EBIC image simultaneously obtained. It is shown that the EBIC imaging capabilities of this combined instrument allows the study of p-n junctions with a resolution of about 20 nm

  15. Doping of silicon carbide by ion implantation

    International Nuclear Information System (INIS)

    Gimbert, J.

    1999-01-01

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  16. Hip implants - Paper VI - Ion concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Sargeant, A. [Department of Biological Sciences, Ohio Northern University, Ada, OH 45810 (United States); Goswami, T. [Department of Mechanical Engineering, Ohio Northern University, Ada, OH 45810 (United States)]. E-mail: t-goswami@onu.edu

    2007-07-01

    Total hip-joint arthroplasty is performed in increasing numbers where it translates to about 0.16-0.2% of population per year in industrial countries. In most cases, an implant is a metallic component articulating with a metal, ceramic or poly-ethylene liner as seen in the case of hip, knee and spine. The metal implants release ions in vivo. Therefore, there is a need to study metallic implants and ions released as a result. Toxic concentrations of ions can lead to many adverse physiological effects, including cytotoxicity, genotoxicity, carcinogenicity, and metal sensitivity. There is a need to map ion concentrations establishing boundaries between normal and toxic levels; which however, does not exist. Reference levels of ion concentrations in body fluids and tissues determined by many studies are compiled, reviewed, and presented in this paper. The concentrations of ions released from different alloys, including cobalt, chromium, nickel, molybdenum titanium, aluminum, and vanadium, are presented in this paper. This paper reviews the literature pertaining to clinical data on metal ion concentrations in patients with metal joint prostheses, and laboratory data on the physiological effects of the metals.

  17. Production of Endohedral Fullerenes by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Diener, M.D.; Alford, J. M.; Mirzadeh, S.

    2007-05-31

    The empty interior cavity of fullerenes has long been touted for containment of radionuclides during in vivo transport, during radioimmunotherapy (RIT) and radioimaging for example. As the chemistry required to open a hole in fullerene is complex and exceedingly unlikely to occur in vivo, and conformational stability of the fullerene cage is absolute, atoms trapped within fullerenes can only be released during extremely energetic events. Encapsulating radionuclides in fullerenes could therefore potentially eliminate undesired toxicity resulting from leakage and catabolism of radionuclides administered with other techniques. At the start of this project however, methods for production of transition metal and p-electron metal endohedral fullerenes were completely unknown, and only one method for production of endohedral radiofullerenes was known. They therefore investigated three different methods for the production of therapeutically useful endohedral metallofullerenes: (1) implantation of ions using the high intensity ion beam at the Oak Ridge National Laboratory (ORNL) Surface Modification and Characterization Research Center (SMAC) and fullerenes as the target; (2) implantation of ions using the recoil energy following alpha decay; and (3) implantation of ions using the recoil energy following neutron capture, using ORNL's High Flux Isotope Reactor (HFIR) as a thermal neutron source. While they were unable to obtain evidence of successful implantation using the ion beam at SMAC, recoil following alpha decay and neutron capture were both found to be economically viable methods for the production of therapeutically useful radiofullerenes. In this report, the procedures for preparing fullerenes containing the isotopes {sup 212}Pb, {sup 212}Bi, {sup 213}Bi, and {sup 177}Lu are described. None of these endohedral fullerenes had ever previously been prepared, and all of these radioisotopes are actively under investigation for RIT. Additionally, the chemistry for

  18. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    As devices scale down into deep sub-microns, the investment cost and complexity to develop more sophisticated device technologies have increased substantially. There are some alternative potential technologies, such as silicon-on-insulator (SOI) and SiGe alloys, that can help sustain this staggering IC technology growth at a lower cost. Surface SiGe and SiGeC alloys with germanium peak composition up to 16 atomic percent are formed using high-dose ion implantation and subsequent solid phase epitaxial growth. RBS channeling spectra and cross-sectional TEM studies show that high quality SiGe and SiGeC crystals with 8 atomic percent germanium concentration are formed at the silicon surface. Extended defects are formed in SiGe and SiGeC with 16 atomic percent germanium concentration. X-ray diffraction experiments confirm that carbon reduces the lattice strain in SiGe alloys but without significant crystal quality improvement as detected by RBS channeling spectra and XTEM observations. Separation by plasma implantation of oxygen (SPIMOX) is an economical method for SOI wafer fabrication. This process employs plasma immersion ion implantation (PIII) for the implantation of oxygen ions. The implantation rate for Pm is considerably higher than that of conventional implantation. The feasibility of SPIMOX has been demonstrated with successful fabrication of SOI structures implementing this process. Secondary ion mass spectrometry (SIMS) analysis and cross-sectional transmission electron microscopy (XTEM) micrographs of the SPIMOX sample show continuous buried oxide under single crystal overlayer with sharp silicon/oxide interfaces. The operational phase space of implantation condition, oxygen dose and annealing requirement has been identified. Physical mechanisms of hydrogen induced silicon surface layer cleavage have been investigated using a combination of microscopy and hydrogen profiling techniques. The evolution of the silicon cleavage phenomenon is recorded by a series

  19. Vacancy-type defects and their annealing processes in ion-implanted Si studied by a variable-energy positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Sugiura, J.; Ogasawara, M.

    1992-01-01

    Vacancy-type defects in B + -, P + - and Si + -ion implanted SiO 2 (43 nm)/Si(100) and Si(100) were studied by a variable-energy positron beam. Depth distributions of vacancy-type defects were obtained from measurements of Doppler broadening profiles of the positron annihilation as a function of incident positron energy. For 200-keV P + -implanted specimen with a dose of 5 x 10 13 P/cm 2 , the damaged layers induced by ion-implantation were found to extend far beyond the stopping range of P-atoms. For 80-keV B + -implanted SiO 2 (43 nm)/Si(100) specimens with different ion-currents, an increase of the ion-current introduced a homogeneous amorphous layer in the subsurface region. Dominant defect species in B + - and P + -implanted specimen were identified as vacancy clusters from their annealing behavior. (author)

  20. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  1. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted $^{163}$Ho ions

    CERN Document Server

    Gastaldo, L.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-01-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of $^{163}$Ho using MMCs having the radioactive $^{163}$Ho ions implanted in the absorber. The implantation of $^{163}$Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. In addition an optimized detector design for future $^{163}$Ho experiments is presented.

  2. Methods for obtaining a uniform volume concentration of implanted ions

    International Nuclear Information System (INIS)

    Reutov, V.F.

    1995-01-01

    Three simple practical methods of irradiations with high energy particles providing the conditions for obtaining a uniform volume concentration of the implanted ions in the massive samples are described in the present paper. Realization of the condition of two-sided irradiation of a plane sample during its rotation in the flux of the projectiles is the basis of the first method. The use of free air as a filter with varying absorbent ability due to movement of the irradiated sample along ion beam brought to the atmosphere is at the basis of the second method of uniform ion alloying. The third method for obtaining a uniform volume concentration of the implanted ions in a massive sample consists of irradiation of a sample through the absorbent filter in the shape of a foil curved according to the parabolic law moving along its surface. The first method is the most effective for obtaining a great number of the samples, for example, for mechanical tests, the second one - for irradiation in different gaseous media, and the third one - for obtaining high concentrations of the implanted ions under controlled (regulated) thermal and deformation conditions. 2 refs., 7 figs

  3. Implantation of D+ ions in niobium and deuterium gas reemission

    International Nuclear Information System (INIS)

    Pisarev, A.A.; Tel'kovskij, V.G.

    1975-01-01

    This is a study of the implanting and reflex gasoisolation of D ions in niobium. It has been discovered that deutrium scope and gasoisolation are defined by several processes. An assumption is made that in ion bombarding conditions the implanting solutions are possible to exist and that deutrium can be replaced on the basis of niobium and hydrid compounds NbxDy. The portion of the particles entrained in the metal in one or another way depends on the ion energy. The dependence of the scope coefficient of n D + ions from the target temperature in the range of 290-1500 K was registered. An increase of the scope coefficient of the ions at high temperature with an increase of the ion energy was discovered

  4. Cluster Ion Implantation in Graphite and Diamond

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2014-01-01

    Cluster ion beam technique is a versatile tool which can be used for controllable formation of nanosize objects as well as modification and processing of surfaces and shallow layers on an atomic scale. The current paper present an overview and analysis of data obtained on a few sets of graphite...... and diamond samples implanted by keV-energy size-selected cobalt and argon clusters. One of the emphases is put on pinning of metal clusters on graphite with a possibility of following selective etching of graphene layers. The other topic of concern is related to the development of scaling law for cluster...... implantation. Implantation of cobalt and argon clusters into two different allotropic forms of carbon, namely, graphite and diamond is analysed and compared in order to approach universal theory of cluster stopping in matter....

  5. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  6. Modifying the conductivity of polypyrrole through low-energy lead ion implantation

    International Nuclear Information System (INIS)

    Booth, Marsilea Adela; Leveneur, Jérôme; Costa, Alexsandro Santos; Kennedy, John; Harbison, SallyAnn; Travas-Sejdic, Jadranka

    2012-01-01

    Interest lies in the creation of novel nanocomposite materials obtained through mixing, impregnation or incorporation techniques. One such technique is ion implantation which possesses the potential for retaining properties from the base material and implanted material as well as any effects observed from combining the two. To this end low-energy (15 keV) implantation of lead ions of various fluences was performed in conducting polypyrrole films. The presence of lead-rich particles was evidenced through transmission electron microscopy. An interesting trend was observed between fluence and conductivity. Of the fluences tested, the optimum fluences of lead ion implantation in polypyrrole films for enhanced conductivity are 5 × 10 14 at. cm −2 and 2 × 10 15 at. cm −2 . The conductivity and stability appear to result from a combination of effects: polymer degradation arising from ion beam damage, an increase in charge-carriers (dications) present after implantation and precipitation of Pb-rich nanoparticles. Monitoring conductivity over time showed increased retention of conductivity levels after lead implantation. Improvements in stability for polypyrrole open avenues for application and bring polypyrrole one step closer to practical use. A mechanism is suggested for this advantageous retained conductivity. -- Highlights: ► Implanted and characterized polypyrrole films with Pb ions at different fluences. ► Samples indicate high conductivity when implanted with particular fluences. ► Increase in charge carriers and precipitation of conductive Pb-rich phase. ► Conductivity stability is higher for some implanted fluences than for pristine polypyrrole.

  7. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Szakacs, G. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)], E-mail: szilagyi@rmki.kfki.hu; Paszti, F.; Kotai, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2008-04-15

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO{sub 2} films. To study this process in details, helium was implanted into the central part of a buried SiO{sub 2} island up to a fluence of 4 x 10{sup 17} He/cm{sup 2}. The implanted helium could be detected in the SiO{sub 2} island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 {mu}m thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity.

  8. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    International Nuclear Information System (INIS)

    Szakacs, G.; Szilagyi, E.; Paszti, F.; Kotai, E.

    2008-01-01

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO 2 films. To study this process in details, helium was implanted into the central part of a buried SiO 2 island up to a fluence of 4 x 10 17 He/cm 2 . The implanted helium could be detected in the SiO 2 island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 μm thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity

  9. Depth distribution of nitrogen in silicon from plasma ion implantation

    International Nuclear Information System (INIS)

    Vajo, J.J.; Williams, J.D.; Wei, R.; Wilson, R.G.; Matossian, J.N.

    1994-01-01

    Plasma Ion Implantation (PII) is an ion implantation technique that eliminates the line-of-sight restriction of conventional ion-beam implantation and therefore allows for cost effective surface modification of large-scale objects or large-number of small-scale objects. In PII, a part to be implanted is immersed in a low-pressure (10 -4 --10 -5 Torr), partially-ionized plasma that surrounds the part with a plasma sheath. The part is negatively pulse biased up to 100 keV using a repetitive train (100--1,000 Hz) of short-duration (10--40 μsec) voltage pulses. The applied voltage develops across the sheath and accelerates plasma ions into the surface, implanting them omnidirectionally and simultaneously over the entire surface of the part. The depth distribution of the implanted ions influences the extent and type of surface modification achieved and depends upon many factors. These include three rise and fall time of the voltage-pulse waveform, the voltage-pulse amplitude, the ion specie, the ion density, and the temperature of the target. Understanding the contributions to the depth distribution from each of these factors will enable prediction of conditions that will be useful for implantation of large complex parts. To investigate the contributions to the measured depth distributions from these factors nitrogen, predominantly as N + 2 , has been implanted into silicon using PII at 50 and 100 keV (25 and 50 keV per N atom). The implanted depth distributions have been determined using secondary ion mass spectroscopy and Auger electron spectroscopy depth profiling. The distributions differ from the typical, approximately Gaussian, profiles that result from conventional mass selected monoenergetic ion beam implantation. In comparison with ion beam implants and numerical simulations the profiles appear ''filled-in'' with an approximately constant nitrogen concentration for depths less than the expected average ion range

  10. Ion implantation in metals

    International Nuclear Information System (INIS)

    Vook, F.L.

    1977-02-01

    The application of ion beams to metals is rapidly emerging as a promising area of research and technology. This report briefly describes some of the recent advances in the modification and study of the basic properties of metals by ion implantation techniques. Most of the research discussed illustrates some of the new and exciting applications of ion beams to metals which are under active investigation at Sandia Laboratories, Albuquerque

  11. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  12. Thin hydroxyapatite surface layers on titanium produced by ion implantation

    CERN Document Server

    Baumann, H; Bilger, G; Jones, D; Symietz, I

    2002-01-01

    In medicine metallic implants are widely used as hip replacement protheses or artificial teeth. The biocompatibility is in all cases the most important requirement. Hydroxyapatite (HAp) is frequently used as coating on metallic implants because of its high acceptance by the human body. In this paper a process is described by which a HAp surface layer is produced by ion implantation with a continuous transition to the bulk material. Calcium and phosphorus ions are successively implanted into titanium under different vacuum conditions by backfilling oxygen into the implantation chamber. Afterwards the implanted samples are thermally treated. The elemental composition inside the implanted region was determined by nuclear analysis methods as (alpha,alpha) backscattering and the resonant nuclear reaction sup 1 H( sup 1 sup 5 N,alpha gamma) sup 1 sup 2 C. The results of X-ray photoelectron spectroscopy indicate the formation of HAp. In addition a first biocompatibility test was performed to compare the growing of m...

  13. Formation of copper silicides by high dose metal vapor vacuum arc ion implantation

    International Nuclear Information System (INIS)

    Rong Chun; Zhang Jizhong; Li Wenzhi

    2003-01-01

    Si(1 1 1) was implanted by copper ions with different doses and copper distribution in silicon matrix was obtained. The as-implanted samples were annealed at 300 and 540 deg. C, respectively. Formation of copper silicides in as-implanted and annealed samples were studied. Thermodynamics and kinetics of the reaction were found to be different from reaction at copper-silicon interface that was applied in conventional studies of copper-silicon interaction. The defects in silicon induced by implantation and formation of copper silicides were recognized by Si(2 2 2) X-ray diffraction (XRD)

  14. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Niño, E D V; Dugar-Zhabon, V; Pinto, J L; Henao, J A

    2012-01-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 10 17 ions/cm 2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  15. Chemical characterization of 4140 steel implanted by nitrogen ions

    Science.gov (United States)

    Niño, E. D. V.; Pinto, J. L.; Dugar-Zhabon, V.; Henao, J. A.

    2012-06-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 1017 ions/cm2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  16. Application of Coaxial Ion Gun for Film Generation and Ion Implantation

    Science.gov (United States)

    Takatsu, Mikio; Asai, Tomohiko; Kurumi, Satoshi; Suzuki, Kaoru; Hirose, Hideharu; Masutani, Shigeyuki

    A magnetized coaxial plasma gun (MCPG) is here utilized for deposition on high-melting-point metals. MCPGs have hitherto been studied mostly in the context of nuclear fusion research, for particle and magnetic helicity injection and spheromak formation. During spheromak formation, the electrode materials are ionized and mixed into the plasmoid. In this study, this ablation process by gun-current sputtering is enhanced for metallic thin-film generation. In the proposed system geometry, only ionized materials are electromagnetically accelerated by the self-Lorentz force, with ionized operating gas as a magnetized thermal plasmoid, contributing to the thin-film deposition. This reduces the impurity and non-uniformity of the deposited thin-film. Furthermore, as the ions are accelerated in a parallel direction to the injection axis, vertical implantation of the ions into the substrate surface is achieved. To test a potential application of the developed system, experiments were conducted involving the formation of a buffer layer on hard ceramics, for use in dental materials.

  17. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  18. Ion-implantation and analysis for doped silicon slot waveguides

    Directory of Open Access Journals (Sweden)

    McCallum J. C.

    2012-10-01

    Full Text Available We have utilised ion implantation to fabricate silicon nanocrystal sensitised erbium-doped slot waveguide structures in a Si/SiO2/Si layered configuration and photoluminescence (PL and Rutherford backscattering spectrometry (RBS to analyse these structures. Slot waveguide structures in which light is confined to a nanometre-scale low-index region between two high-index regions potentially offer significant advantages for realisation of electrically-pumped Si devices with optical gain and possibly quantum optical devices. We are currently investigating an alternative pathway in which high quality thermal oxides are grown on silicon and ion implantation is used to introduce the Er and Si-ncs into the SiO2 layer. This approach provides considerable control over the Er and Si-nc concentrations and depth profiles which is important for exploring the available parameter space and developing optimised structures. RBS is well-suited to compositional analysis of these layered structures. To improve the depth sensitivity we have used a 1 MeV α beam and results indicate that a layered silicon-Er:SiO2/silicon structure has been fabricated as desired. In this paper structural results will be compared to Er photoluminescence profiles for samples processed under a range of conditions.

  19. Channeling ion implantation through palladium films

    International Nuclear Information System (INIS)

    Ishiwara, H.; Furukawa, S.

    1975-01-01

    The possibility of channeling ion implantation into semiconductors through polycrystalline metallic layers is studied. Minimum values and standard deviations of channeling angular yield in polycrystalline Pd 2 Si layers formed on Si have been measured by protons and 4 He, and 14 N ion backscattering and channeling measurements. Depth distributions of the spread of crystallite orientations and scattering centers such as lattice defects have been separately derived by using the above two quantities. It has been concluded that the channeling-ion-implantation technique will become a practical one by using the parallel scanning system

  20. Semiconductor Ion Implanters

    International Nuclear Information System (INIS)

    MacKinnon, Barry A.; Ruffell, John P.

    2011-01-01

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion! Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intel product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.

  1. Ion implantation and fracture toughness of ceramics

    International Nuclear Information System (INIS)

    Clark, J.; Pollock, J.T.A.

    1985-01-01

    Ceramics generally lack toughness which is largely determined by the ceramic surface where stresses likely to cause failure are usually highest. Ion implantation has the capacity to improve the surface fracture toughness of ceramics. Significantly reduced ion size and reactivity restrictions exist compared with traditional methods of surface toughening. We are studying the effect of ion implantation on ceramic fracture toughness using indentation testing as the principal tool of analysis

  2. A 2 MV heavy ion Van de Graaff implanter for research and development

    International Nuclear Information System (INIS)

    Hemment, P.L.F.; Sealy, B.J.; Stephens, K.G.; Mynard, J.E.; Jeynes, C.; Browton, M.D.; Wilson, R.J.; Ma, M.X.; Cansell, A.; Mous, D.J.W.; Koudijs, R.

    1993-01-01

    A high energy heavy ion implantation system is described which is based upon a 2 MV High Voltage Engineering Europa Van de Graaff accelerator, which incorporates an ion source rapid exchange mechanism. The design and performance are described with particular reference to the system mass resolution, beam transport and performance of a sputter ion source. The system is used to provide a wide ranging implantation service and also supports material science studies, some of which are described briefly. (orig.)

  3. Nonlinear model of high-dose implantation

    International Nuclear Information System (INIS)

    Danilyuk, A.

    2001-01-01

    The models of high-dose implantation, using the distribution functions, are relatively simple. However, they must take into account the variation of the function of distribution of the implanted ions with increasing dose [1-4]. This variation takes place owing to the fact that the increase of the concentration of the implanted ions results in a change of the properties of the target. High-dose implantation is accompanied by sputtering, volume growth, diffusion, generation of defects, formation of new phases, etc. The variation of the distribution function is determined by many factors and is not known in advance. The variation within the framework of these models [1-4] is taken into account in advance by the introduction of intuitive assumptions on the basis of implicit considerations. Therefore, these attempts should be regarded as incorrect. The model prepared here makes it possible to take into account the sputtering of the target, volume growth and additional declaration on the implanted ions. Without any assumptions in relation to the variation of the distribution function with increasing dose. In our model it is assumed that the type of distribution function for small doses in a pure target substance is the same as in substances with implanted ions. A second assumption relates to the type of the distribution function valid for small doses in the given substances. These functions are determined as a result of a large number of theoretical and experimental investigations and are well-known at the present time. They include the symmetric and nonsymmetric Gauss distribution, the Pearson distribution, and others. We examine implantation with small doses of up to 10 14 - 10 15 cm -2 when the accurately known distribution is valid

  4. Biofunctionalization of surfaces by energetic ion implantation: Review of progress on applications in implantable biomedical devices and antibody microarrays

    Science.gov (United States)

    Bilek, Marcela M. M.

    2014-08-01

    Despite major research efforts in the field of biomaterials, rejection, severe immune responses, scar tissue and poor integration continue to seriously limit the performance of today's implantable biomedical devices. Implantable biomaterials that interact with their host via an interfacial layer of active biomolecules to direct a desired cellular response to the implant would represent a major and much sought after improvement. Another, perhaps equally revolutionary, development that is on the biomedical horizon is the introduction of cost-effective microarrays for fast, highly multiplexed screening for biomarkers on cell membranes and in a variety of analyte solutions. Both of these advances will rely on effective methods of functionalizing surfaces with bioactive molecules. After a brief introduction to other methods currently available, this review will describe recently developed approaches that use energetic ions extracted from plasma to facilitate simple, one-step covalent surface immobilization of bioactive molecules. A kinetic theory model of the immobilization process by reactions with long-lived, mobile, surface-embedded radicals will be presented. The roles of surface chemistry and microstructure of the ion treated layer will be discussed. Early progress on applications of this technology to create diagnostic microarrays and to engineer bioactive surfaces for implantable biomedical devices will be reviewed.

  5. The Effect of Ag and Ag+N Ion Implantation on Cell Attachment Properties

    International Nuclear Information System (INIS)

    Urkac, Emel Sokullu; Oztarhan, Ahmet; Gurhan, Ismet Deliloglu; Iz, Sultan Gulce; Tihminlioglu, Funda; Oks, Efim; Nikolaev, Alexey; Ila, Daryush

    2009-01-01

    Implanted biomedical prosthetic devices are intended to perform safely, reliably and effectively in the human body thus the materials used for orthopedic devices should have good biocompatibility. Ultra High Molecular Weight Poly Ethylene (UHMWPE) has been commonly used for total hip joint replacement because of its very good properties. In this work, UHMWPE samples were Ag and Ag+N ion implanted by using the Metal-Vapor Vacuum Arc (MEVVA) ion implantation technique. Samples were implanted with a fluency of 1017 ion/cm2 and extraction voltage of 30 kV. Rutherford Backscattering Spectrometry (RBS) was used for surface studies. RBS showed the presence of Ag and N on the surface. Cell attachment properties investigated with model cell lines (L929 mouse fibroblasts) to demonstrate that the effect of Ag and Ag+N ion implantation can favorably influence the surface of UHMWPE for biomedical applications. Scanning electron microscopy (SEM) was used to demonstrate the cell attachment on the surface. Study has shown that Ag+N ion implantation represents more effective cell attachment properties on the UHMWPE surfaces.

  6. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  7. Modification of metallic corrosion by ion implantation

    International Nuclear Information System (INIS)

    Clayton, C.R.

    1981-01-01

    This review will consider some of the properties of surface alloys, formed by ion implantation, which are effective in modifying corrosion behaviour. Examples will be given of the modification of the corrosion behaviour of pure metals, steels and other engineering alloys, resulting from implantation with metals and metalloids. Emphasis will be given to the modification of anodic processes produced by ion implantation since a review will be given elsewhere in the proceedings concerning the modification of cathodic processes. (orig.)

  8. Yttrium ion implantation on the surface properties of magnesium

    International Nuclear Information System (INIS)

    Wang, X.M.; Zeng, X.Q.; Wu, G.S.; Yao, S.S.

    2006-01-01

    Owing to their excellent physical and mechanical properties, magnesium and its alloys are receiving more attention. However, their application has been limited to the high reactivity and the poor corrosion resistance. The aim of the study was to investigate the beneficial effects of ion-implanted yttrium using a MEVVA ion implanter on the surface properties of pure magnesium. Isothermal oxidation tests in pure O 2 at 673 and 773 K up to 90 min indicated that the oxidation resistance of magnesium had been significantly improved. Surface morphology of the oxide scale was analyzed using scanning electron microscope (SEM). Auger electron spectroscopy (AES) and X-ray diffraction (XRD) analyses indicated that the implanted layer was mainly composed of MgO and Y 2 O 3 , and the implanted layer with a duplex structure could decrease the inward diffusion of oxygen and reduce the outward diffusion of Mg 2+ , which led to improving the oxidation resistance of magnesium. Potentiodynamic polarization curves were used to evaluate the corrosion resistance of the implanted magnesium. The results show yttrium implantation could enhance the corrosion resistance of implanted magnesium compared with that of pure magnesium

  9. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  10. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  11. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    Science.gov (United States)

    Mändl, S.; Rauschenbach, B.

    2003-08-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 °C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry).

  12. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Maendl, S.; Rauschenbach, B.

    2003-01-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 deg. C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry)

  13. Investigation of corrosion and ion release from titanium dental implant

    International Nuclear Information System (INIS)

    Ektessabi, A.M.; Mouhyi, J.; Louvette, P.; Sennerby, L.

    1997-01-01

    A thin passive titanium dioxide, in its stoichiometric form, has a very high corrosion resistance, but the same conclusion can not be made on corrosion resistance of a surface which is not stoichiometrically titanium dioxide, or even a surface which is a composition of various elements and oxides. In practice, the implants available on the market have an oxide surface contaminated with other elements. The aim of this paper is to correlate clinical observations that show the deterioration of Ti made implants after certain period of insertion in the patients, and in vitro corrosion resistance of Ti implants with surface passive oxide layer. For this purpose, surface analysis of the retrieved failed implants were performed and in vivo animal experiments with relation to ion release from implants were done. Finally, on the basis of the clinical observation, in vivo animal test, and in vitro electrochemical corrosion test, a model is proposed to explain the corrosion and ion release from the Ti implant. (author)

  14. Effects of high-dose hydrogen implantation on defect formation and dopant diffusion in silver implanted ZnO crystals

    Energy Technology Data Exchange (ETDEWEB)

    Yaqoob, Faisal [Department of Physics, State University of New York at Albany, Albany, New York 12222 (United States); Huang, Mengbing, E-mail: mhuang@sunypoly.edu [College of Nanoscale Science and Engineering, State University of New York Polytechnic Institute, Albany, New York 12203 (United States)

    2016-07-28

    This work reports on the effects of a deep high-dose hydrogen ion implant on damage accumulation, defect retention, and silver diffusion in silver implanted ZnO crystals. Single-crystal ZnO samples were implanted with Ag ions in a region ∼150 nm within the surface, and some of these samples were additionally implanted with hydrogen ions to a dose of 2 × 10{sup 16 }cm{sup −2}, close to the depth ∼250 nm. Rutherford backscattering/ion channeling measurements show that crystal damage caused by Ag ion implantation and the amount of defects retained in the near surface region following post-implantation annealing were found to diminish in the case with the H implantation. On the other hand, the additional H ion implantation resulted in a reduction of substitutional Ag atoms upon post-implantation annealing. Furthermore, the presence of H also modified the diffusion properties of Ag atoms in ZnO. We discuss these findings in the context of the effects of nano-cavities on formation and annihilation of point defects as well as on impurity diffusion and trapping in ZnO crystals.

  15. Adhesive, abrasive and oxidative wear in ion-implanted metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1985-01-01

    Ion implantation is increasingly being used to provide wear resistance in metals and cemented tungsten carbides. Field trials and laboratory tests indicate that the best performance is achieved in mild abrasive wear. This can be understood in terms of the classification of wear modes (adhesive, abrasive, oxidative etc.) introduced by Burwell. Surface hardening and work hardenability are the major properties to be enhanced by ion implantation. The implantation of nitrogen or dual implants of metallic and interstitial species are effective. Recently developed techniques of ion-beam-enhanced deposition of coatings can further improve wear resistance by lessening adhesion and oxidation. In order to support such hard coatings, ion implantation of nitrogen can be used as a preliminary treatment. There is thus emerging a versatile group of related hard vacuum treatments involving intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (Auth.)

  16. Recoil mixing in high-fluence ion implantation

    International Nuclear Information System (INIS)

    Littmark, U.; Hofer, W.O.

    1979-01-01

    The effect of recoil mixing on the collection and depth distribution of implanted projectiles during high-fluence irradiation of a random solid is investigated by model calculations based on a previously published transport theoretical approach to the general problem of recoil mixing. The most pronounced effects are observed in the maximum implantable amount of projectiles and in the critical fluence for saturation. Both values are significantly increased by recoil mixing. (Auth.)

  17. Recent advances in high current vacuum arc ion sources for heavy ion fusion

    CERN Document Server

    Qi Nian Sheng; Prasad, R R; Krishnan, M S; Anders, A; Kwan, J; Brown, I

    2001-01-01

    For a heavy ion fusion induction linac driver, a source of heavy ions with charge states 1+-3+, approx 0.5 A current beams, approx 20 mu s pulse widths and approx 10 Hz repetition rates is required. Thermionic sources have been the workhorse for the Heavy Ion Fusion (HIF) program to date, but suffer from heating problems for large areas and contamination. They are limited to low (contact) ionization potential elements and offer relatively low ion fluxes with a charge state limited to 1+. Gas injection sources suffer from partial ionization and deleterious neutral gas effects. The above shortcomings of the thermionic ion sources can be overcome by a vacuum arc ion source. The vacuum arc ion source is a good candidate for HIF applications. It is capable of providing ions of various elements and different charge states in short and long pulse bursts and high beam current density. Under a Phase-I STTR from DOE, the feasibility of the vacuum arc ion source for the HIF applications was investigated. We have modifie...

  18. Structural-phase changes of α-Fe implanted with high ion doses

    International Nuclear Information System (INIS)

    Ivanov, Y.F.; Pogrebnyak, A.; Martynenko, V.

    2001-01-01

    The CEMS method was used to examine and implanted layer of α-Fe with a thickness of up to 100 nm. The radiation of α-Fe with carbon ions results of the formation of the solid solution of carbon in α-Fe and the precipitation of the iron carbides Fe 2 C. The implantation of aluminium in the α-Fe is accompanied by the formation of the order phase Fe 3 Al. The results of show that the phase the composition of the surface layer of α-Fe, irradiated with titanium, is represented by the solid solution of the titanium in α-Fe, and also by the micro-clusters of iron characterised by different environment of the titanium atoms of the level of several co-ordination spheres. The presence of these micro-clusters indicates the non-uniform distribution of titanium in α-Fe. The additional Auger analysis of the specimens of α-Fe, implanted with titanium with a dose of 5 x 10 -17 cm -2 , showed a high concentration of carbon (up to 20 at.%) in the layer up to 50 nm thick. The authors of 2 assumed that the carbon, implanted from the residual atmosphere, affects not only the resultant profile of the distribution of titanium in the depth of α-Fe, but also the change of the physical-mechanical properties of the surface layer. The main aim of the investigations was to examine the phase composition and the formation of secondary defects (dislocations and dislocation sub structures) in the surface layer of α-Fe, implanted with titanium, aluminium, carbon, with a dose of 5 x 10 -17 cm -2

  19. Amorphous GaP produced by ion implantation

    International Nuclear Information System (INIS)

    Shimada, T.; Kato, Y.; Shiraki, Y.; Komatsubara, K.F.

    1976-01-01

    Two types of non-crystalline states ('disordered' and 'amorphous') of GaP were produced by using ion implantation and post annealing. A structural-phase-transition-like annealing behaviour from the 'disordered' state to the 'amorphous' state was observed. The ion dose dependence and the annealing behaviour of the atomic structure of GaP implanted with 200 keV -N + ions were studied by using electron diffraction, backscattering and volume change measurements. The electronic structure was also investigated by measuring optical absorption and electrical conductivity. The implanted layer gradually loses the crystalline order with the increase of the nitrogen dose. The optical absorption coefficient α and electric conductivity sigma of GaP crystals implanted with 200 keV -N + ions of 1 x 10 16 cm -2 were expressed as αhν = C(hν - E 0 )sup(n) and log sigma = A -BTsup(-1/4), respectively. Moreover, the volume of the implanted layer increased about three percent and the electron diffraction pattern was diffused halo whose intensity monotonically decreases along the radial direction. These results indicate that the as-implanted layer has neither a long range order or short range order ('disordered state'). In the sample implanted at 1 x 10 16 cm -2 , a structural phase-transition-like annealing stage was observed at around 400 0 C. That is, the optical absorption coefficient abruptly fell off from 6 x 10 4 to 7 x 10 3 cm -1 and the volume of the implanted layer decreased about 2% within an increase of less than 10 degrees in the anneal temperature. Moreover, the short range order of the lattice structure appeared in the electron diffraction pattern. According to the backscattering experiment, the heavily implanted GaP was still in the non-crystalline state even after annealing. These facts suggest that heavily implanted GaP, followed by annealing at around 400 0 C, is in the 'amorphous' state, although as-implanted GaP is not in the 'amorphous' state but in the

  20. Application of radiofrequency superconductivity to accelerators for high-current ion beams

    International Nuclear Information System (INIS)

    Delayen, J.R.; Bohn, C.L.; Kennedy, W.L.; Roche, C.T.; Sagalovsky, L.

    1992-01-01

    A development program is underway to apply rf superconductivity to the design of continuous-wave (cw) linear accelerators for high-current, high-brightness ion beam. During the last few years, considerable progress has been made both experimentally and theoretically toward this application. Recent tests of niobium resonators for ion acceleration have yielded average accelerating gradients as high as 18 MV/m. In an experiment with a radio-frequency quadrupole geometry, niobium was found to sustain cw peak surface electric fields as high as 128 MV/m over large (10 cm) surface areas. Theoretical studies of beam halo, cumulative beam breakup and alternating-phase focusing have also yielded important results. This paper su-summarizes the recent progress and identifies current and future work in the areas of superconducting accelerator technology for high-current ion beams

  1. Ion implantation induced martensite nucleation in SUS301 steel

    International Nuclear Information System (INIS)

    Kinoshita, Hiroshi; Takahashi, Heishichiro; Gustiono, Dwi; Sakaguchi, Norihito; Shibayama, Tamaki; Watanabe, Seiichi

    2007-01-01

    Phase transformation behaviors of the austenitic 301 stainless steel was studied under Fe + , Ti + and Ar + ions implantation at room temperature with 100, 200 and 300 keV up to fluence of 1x10 21 ions/m 2 and the microstructures were observed by means of transmission electron microscopy (TEM). The plane and cross-sectional observations of the implanted specimen showed that the induced-phases due to implantation from the γ matrix phase were identified as α' martensite phases with the orientation relationship of (11-bar0) α parallel (111-bar) γ and [111] α parallel [011] γ close to the Kurdjumov-Sachs (K-S). The ion implantation induced phases nucleated near the surface region and the depth position of the nucleation changed depending on the ion accelerating energy and ion species. It was also found that the induced marten sites phases nucleate under the influence of the stress distribution, which is introduced due to the concentration of implanted ions, especially due to the stress gradient caused by the corresponding concentration gradient. (author)

  2. A 60 keV implanter for metals

    International Nuclear Information System (INIS)

    Leutenecker, R.; Ryssel, H.; Zeller, K.-H.; Spoehrle, H.P.

    1985-01-01

    The design and preliminary performance data of a 60 keV high current implanter for the implantation of non-separated ion beams into metals are described. The target chamber accepts samples up to 70 cm in diameter and is equipped with holders to implant ball bearings, axles and other components which have to be rotated during implantation. Samples are mounted on a rugged table which can move up to 35 kg for +-35 cm in the x and y directions. The implanter, including the vacuum system, is completely computer controlled. The ion source is equipped with an oven having a maximum temperature of 1200 0 C and can deliver ion beams of nitrogen as well as different metal ions such as tin and silver with currents up to 10 mA. (Auth.)

  3. N and Cr ion implantation of natural ruby surfaces and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India); Magudapathy, P.; Panigrahi, B.K. [Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Nayak, B.B.; Mishra, B.K. [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India)

    2016-04-15

    Highlights: • Cr and N ion implantation on natural rubies of low aesthetic quality. • Cr-ion implantation improves colour tone from red to deep red (pigeon eye red). • N-ion implantation at fluence of 3 × 10{sup 17} causes blue coloration on surface. • Certain extent of amorphization is observed in the case of N-ion implantation. - Abstract: Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV–Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 10{sup 17} N-ions/cm{sup 2} fluence, formation of colour centres (F{sup +}, F{sub 2}, F{sub 2}{sup +} and F{sub 2}{sup 2+}) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  4. High-dose ion implantation of ceramics: benefits and limitations for tribology

    International Nuclear Information System (INIS)

    Bull, S.J.; Page, T.F.

    1988-01-01

    This paper is concerned with the effects of ion implantation on sapphire and soda-lime-silica glass. It establishes the complex interplay between radiation damage, hardness, surface stress and, for the first time, friction. For sapphire, both the shallow indentation hardness response and the integrated near-surface stress increase with damage and exhibit maxima as the surface eventually amorphizes. For the glass, initial damage is shown to result in structural softening before rehardening at higher doses; the radiation-induced stress is a complex function of dose and seems partly linked to electronic rather than displacement processes. Some structural change also eventually occurs akin to amorphization in crystals and is accompanied by changes in hardness and surface stress. Superimposed on these patterns of behaviour are changes in the friction behaviour, part of which is ascribed to increased adhesion presumed due to implantation changing the surface affinity for water adsorption. These effects are demonstrated and discussed in the context of ion-implanted ceramics finding application as controlled friction and/or wear components in engineering applications. Other effects such as gas bubble formation, crazing and sputtering are shown to lead to surface microstructures which can also play a deleterious role in tribological behaviour. (author)

  5. Amorphization and recrystallization in MeV ion implanted InP crystals

    International Nuclear Information System (INIS)

    Xiong, F.; Nieh, C.W.; Jamieson, D.N.; Vreeland, T. Jr.; Tombrello, T.A.

    1988-01-01

    A comprehensive study of MeV- 15 N-ion-implanted InP by a variety of analytical techniques has revealed the physical processes involved in MeV ion implantation into III-V compound semiconductors as well as the influence of post-implantation annealing. It provides a coherent picture of implant distribution, structural transition, crystalline damage, and lattice strain in InP crystals induced by ion implantation and thermal annealing. The experimental results from the different measurements are summarized in this report. Mechanisms of amorphization by implantation and recrystallization through annealing in MeV-ion-implanted InP are proposed and discussed in light of the results obtained

  6. Optical properties of ion-implanted InP and GaAs: Selectivity-excited photoluminescence spectra

    International Nuclear Information System (INIS)

    Makita, Yunosuke; Yamada, Akimasa; Kimura, Shinji; Niki, Shigeru; Yoshinaga, Hiroshi; Matsumori, Tokue; Iida, Tsutomu; Uekusa, Ichiro

    1993-01-01

    Implantation of Mg+ ions was carried out into high purity InP grown by liquid encapsulated Czochralski method. Mg+ ion-implanted InP presented the formation of plural novel emissions with increasing Mg concentration, [Mg] in the low temperature photoluminescence spectra. Selectively-excited photoluminescence (SPL) measurements were made to examine the features of two-hole replicas pertinent to the emissions of excitons bound to neutral Mg and residual Zn acceptors. Systematic variation of the emission intensities from the two types of two-hole replicas was found to be utilized for the evaluation of ion-implanted materials. The significant discrepancy of emission spectra between PL and SPL was attributed to the difference of the depth examined by using the excitation light with high and low absorption coefficient. The results revealed that the diffusion of ion-implanted Mg is extremely enhanced when [Mg] exceeds 1x10 17 cm -3

  7. In situ EELS and TEM observation of Al implanted with nitrogen ions

    International Nuclear Information System (INIS)

    Hojou, K.; Furuno, S.; Kushita, K.N.; Otsu, H.; Izui, K.

    1995-01-01

    Formation processes of Aluminum nitride (AIN) in Aluminum (AI) implanted with nitrogen were examined by in situ EELS and TEM observations during nitrogen ion implantation in an electron microscope at room temperature and 400 deg C. AIN phase was identified both by EDP and EELS after nitrogen ion implantation to 6 x 10 20 (N + )/m 2 . The observed peak (20.8 eV) in EELS spectra was identified as plasmon loss peak of AIN formed in AI. The binding energy of N ls in AI was found to shift by about 4 eV to the lower side with increasing nitrogen-ion fluence. Unreacted AI was also found to remain in the AIN films after high fluence implantation both at room temperature and 400 deg C. (authors). 11 refs., 5 figs., 2 tabs

  8. Depth concentrations of deuterium ions implanted into some pure metals and alloys

    International Nuclear Information System (INIS)

    Didyk, A.Yu.; Wisniewski, R.; Kitowski, K.; Wilczynska, T.; Hofman, A.; Kulikauskas, V.; Shiryaev, A.A.; Zubavichyus, Ya.V.

    2011-01-01

    Pure metals (Cu, Ti, Zr, V, Pd) and diluted Pd alloys (Pd-Ag, Pd-Pt, Pd-Ru, Pd-Rh) were implanted by 25-keV deuterium ions at fluences in the range (1.2-2.3) x 10 22 D + /m 2 . The post-treatment depth distributions of deuterium ions were measured 10 days and three months after the implantation by using Elastic Recoil Detection Analysis (ERDA) and Rutherford Backscattering (RBS). Comparison of the obtained results allowed us to make conclusions about relative stability of deuterium and hydrogen gases in pure metals and diluted Pd alloys. Very high diffusion rates of implanted deuterium ions from V and Pd pure metals and Pd alloys were observed. Small-angle X-ray scattering revealed formation of nanosized defects in implanted corundum and titanium

  9. Prototype high current, high duty factor negative hydrogen ion source for LAMPF

    International Nuclear Information System (INIS)

    Lawrence, G.P.; Hayward, T.D.; Jackson, J.A.

    1975-01-01

    Present plans for the high current proton storage ring at LAMPF incorporate charge changing (stripping) injection of H - ions in all modes of operation. Achievable stored current levels in this device will be strongly dependent on the maximum H - beam intensity which can be accelerated by the linac, consistent with acceptable beam spill. This requirement has stimulated a program to develop an H - ion source capable of providing a suitably high peak current (up to 25 mA) at high duty factor (up to 12 percent), with a normalized x,x' or y,y' emittance acceptable to the accelerating system. There are presently two main approaches which could lead to H - ion sources providing this kind of performance. These are (a) the charge exchange method, in which an intense proton beam is fractionally converted to H - beam in a suitable charge adding medium, and (b) the direct extraction method, in which H - ions are obtained by a surface emission process associated with a gas discharge plasma. While both approaches may eventually find optimum application in different situations, it is not obvious, at present, which scheme will turn out to be the most satisfactory for LAMPF. A prototype charge exchange H - ion source has been constructed as a first step in the development program and is presently being evaluated. Work on surface emission direct extraction techniques is in the planning stages. (U.S.)

  10. Industrial applications of ion implantation into metal surfaces

    International Nuclear Information System (INIS)

    Williams, J.M.

    1987-07-01

    The modern materials processing technique, ion implantation, has intriguing and attractive features that stimulate the imaginations of scientists and technologists. Success of the technique for introducing dopants into semiconductors has resulted in a stable and growing infrastructure of capital equipment and skills for use of the technique in the economy. Attention has turned to possible use of ion implantation for modification of nearly all surface related properties of materials - optical, chemical and corrosive, tribological, and several others. This presentation provides an introduction to fundamental aspects of equipment, technique, and materials science of ion implantation. Practical and economic factors pertaining to the technology are discussed. Applications and potential applications are surveyed. There are already available a number of ion-implanted products, including ball-and-roller bearings and races, punches-and-dies, injection screws for plastics molding, etc., of potential interest to the machine tool industry

  11. Simple fabrication of back contact heterojunction solar cells by plasma ion implantation

    Science.gov (United States)

    Koyama, Koichi; Yamaguchi, Noboru; Hironiwa, Daisuke; Suzuki, Hideo; Ohdaira, Keisuke; Matsumura, Hideki

    2017-08-01

    A back-contact amorphous-silicon (a-Si)/crystalline silicon (c-Si) heterojunction is one of the most promising structures for high-efficiency solar cells. However, the patterning of back-contact electrodes causes the increase in fabrication cost. Thus, to simplify the fabrication of back-contact cells, we attempted to form p-a-Si/i-a-Si/c-Si and n-a-Si/i-a-Si/c-Si regions by the conversion of a patterned area of p-a-Si/i-a-Si/c-Si to n-a-Si/i-a-Si/c-Si by plasma ion implantation. It is revealed that the conversion of the conduction type can be realized by the plasma ion implantation of phosphorus (P) atoms into p-a-Si/i-a-Si/c-Si regions, and also that the quality of passivation can be kept sufficiently high, the same as that before ion implantation, when the samples are annealed at around 250 °C and also when the energy and dose of ion implantation are appropriately chosen for fitting to a-Si layer thickness and bulk c-Si carrier density.

  12. Channeled-ion implantation of group-III and group-V ions into silicon

    International Nuclear Information System (INIS)

    Furuya, T.; Nishi, H.; Inada, T.; Sakurai, T.

    1978-01-01

    Implantation of group-III and group-V ions along [111] and [110] axes of silicon have been performed using a backscattering technique, and the depth profiles of implanted ions have been measured by the C-V method. The range of channeled Ga ions is the largest among the present data, and a p-type layer of about 6 μm is obtained by implantation at only 150 keV. The carrier profiles of channeled Al and Ga ions with deep ranges do not show any distinguishable channeled peak contrasting with the B, P, and As channeling which gives a well-defined peak. The electronic stopping cross section (S/sub e/) of channeled P ions agree well with the results of Eisen and Reddi, but in B channeling, the discrepancies of 10--20% are observed among S/sub e/ values obtained experimentally by three different groups

  13. Extended defects and hydrogen interactions in ion implanted silicon

    Science.gov (United States)

    Rangan, Sanjay

    The structural and electrical properties of extended defects generated because of ion implantation and the interaction of hydrogen with these defects have been studied in this work. Two distinct themes have been studied, the first where defects are a detrimental and the second where they are useful. In the first scenario, transient enhanced diffusion of boron has been studied and correlated with defect evolution studies due to silicon and argon ion implants. Spreading resistance profiles (SRP) correlated with deep level transient spectroscopy (DLTS) measurements, reveal that a low anneal temperatures (TED at low anneal temperatures (550°C, the effect of hydrogen is lost, due to its out-diffusion. Moreover, due to catastrophic out-diffusion of hydrogen, additional damage is created resulting in deeper junctions in hydrogenated samples, compared to the non-hydrogenated ones. Comparing defect evolution due to Si and Ar ion implants at different anneal temperatures, while the type of defects is the same in the two cases, their (defect) dissolution occurs at lower anneal temperatures (˜850°C) for Si implants. Dissolution for Ar implants seems to occur at higher anneal temperatures. The difference has been attributed to the increased number of vacancies created by Ar to that of silicon implant. In second aspect, nano-cavity formation due to vacancy agglomeration has been studied by helium ion implantation and furnace anneal, where the effect of He dose, implant energy and anneal time have been processing parameters that have been varied. Cavities are formed only when the localized concentration of He is greater than 3 x 1020 cm-3. While at high implant doses, a continuous cavity layer is formed, at low implant doses a discontinuous layer is observed. The formation of cavities at low doses has been observed for the first time. Variation of anneal times reveal that cavities are initially facetted (for short anneal times) and tend to become spherical when annealed for

  14. Simulation of ion implantation for ULSI technology

    International Nuclear Information System (INIS)

    Hoessinger, A.

    2000-07-01

    In modern semiconductor technology ion implantation has turned out to be the most important technique to introduce dopant atoms into semiconducting materials. The major advantage of the ion implantation technique is the high controllability and reproducibility of the process parameters influencing the doping distributions. Furthermore, very shallow doping profiles can be formed, which are a prerequisite for ULSI (ultra large scale integration) technology. Since it is mainly ion implantation which determines the distribution of the dopants and thereby the electrical properties of the semiconductor devices highly accurate simulation methods for ion implantation processes are required to be able to predict and optimize the behavior of integrated circuits. In recent years successively shrinking device dimensions and new design concepts have shown the necessity of a full three-dimensional treatment of simulation problems, e.g. the simulation of MOS transistors with narrow gates, or vertical transistors. Three-dimensional simulations obviously require large computation times and a lot of memory. Therefore, it is a waste of computational resources if a three-dimensional simulation would be applied to all applications. Several problems, like the buried layer or the well formation of an MOS transistor can be analyzed as accurate by simpler two-dimensional or even one-dimensional simulations. Since it should be easy to switch the dimension of the simulation without recalibrating a simulator, it is not desirable to use different simulators, which eventually use different models, for the simulation of one-dimensional, two-dimensional and three-dimensional problems. The goal of this work was to further improve a Monte-Carlo ion implantation simulator developed over the last fifteen years within the scope of several PhD theses. As part of this work several new models and methods have been developed and implemented to improve the accuracy and the efficiency of the simulator, in

  15. Detection and clearing of trapped ions in the high current Cornell photoinjector

    Directory of Open Access Journals (Sweden)

    S. Full

    2016-03-01

    Full Text Available We have recently performed experiments to test the effectiveness of three ion-clearing strategies in the Cornell high intensity photoinjector: DC clearing electrodes, bunch gaps, and beam shaking. The photoinjector reaches a new regime of linac beam parameters where high continuous wave beam currents lead to ion trapping. Therefore ion mitigation strategies must be evaluated for this machine and other similar future high current linacs. We have developed several techniques to directly measure the residual trapped ions. Our two primary indicators of successful clearing are the amount of ion current removed by a DC clearing electrode, and the absence of bremsstrahlung radiation generated by beam-ion interactions. Measurements were taken for an electron beam with an energy of 5 MeV and continuous wave beam currents in the range of 1–20 mA. Several theoretical models have been developed to explain our data. Using them, we are able to estimate the clearing electrode voltage required for maximum ion clearing, the creation and clearing rates of the ions while employing bunch gaps, and the sinusoidal shaking frequency necessary for clearing via beam shaking. In all cases, we achieve a maximum ion clearing of at least 70% or higher, and in some cases our data is consistent with full ion clearing.

  16. TEM study of amorphous alloys produced by ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.; Grant, W.A.; Wohlenberg, P.; Hansen, P.; Chadderton, L.T.

    1978-01-01

    Ion implantation is a technique for introducing foreign elements into surface layers of solids. Ions, as a suitably accelerated beam, penetrate the surface, slow down by collisions with target atoms to produce a doped layer. This non-equilibrium technique can provide a wide range of alloys without the restrictions imposed by equilibrium phase diagrams. This paper reports on the production of some amorphous transition metal-metalloid alloys by implantation. Thinned foils of Ni, Fe and stainless steel were implanted at room temperature with Dy + and P + ions at doses between 10 13 - 10 17 ions/cm 2 at energies of 20 and 40 keV respectively. Transmission electron microscopy and selected area diffraction analysis were used to investigate the implanted specimens. Radial diffracted intensity measurements confirmed the presence of an amorphous implanted layer. The peak positions of the maxima are in good agreement with data for similar alloys produced by conventional techniques. Only certain ion/target combinations produce these amorphous layers. Implantations at doses lower than those needed for amorphization often result in formation of new crystalline phases such as an h.c.p. phase in nickel and a b.c.c. phase in stainless steel. (Auth.)

  17. Effect of disorder and defects in ion-implanted semiconductors optical and photothermal characterization

    CERN Document Server

    Willardson, R K; Christofides, Constantinos; Ghibaudo, Gerard

    1997-01-01

    Defects in ion-implanted semiconductors are important and will likely gain increased importance as annealing temperatures are reduced with successive IC generations. Novel implant approaches, such as MdV implantation, create new types of defects whose origin and annealing characteristics will need to be addressed. Publications in this field mainly focus on the effects of ion implantation on the material and the modification in the implanted layer after high temperature annealing. The editors of this volume and Volume 45 focus on the physics of the annealing kinetics of the damaged layer. An overview of characterization tehniques and a critical comparison of the information on annealing kinetics is also presented. Key Features * Provides basic knowledge of ion implantation-induced defects * Focuses on physical mechanisms of defect annealing * Utilizes electrical, physical, and optical characterization tools for processed semiconductors * Provides the basis for understanding the problems caused by the defects g...

  18. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  20. Studies of ion implanted thermally oxidised chromium

    International Nuclear Information System (INIS)

    Muhl, S.

    1977-01-01

    The thermal oxidation of 99.99% pure chromium containing precise amounts of foreign elements has been studied and compared to the oxidation of pure chromium. Thirty-three foreign elements including all of the naturally occurring rare earth metals were ion implanted into chromium samples prior to oxidation at 750 0 C in oxygen. The role of radiation induced damage, inherent in this doping technique, has been studied by chromium implantations at various energies and doses. The repair of the damage has been studied by vacuum annealing at temperatures up to 800 0 C prior to oxidation. Many of the implants caused an inhibition of oxidation, the greatest being a 93% reduction for 2 x 10 16 ions/cm 2 of praseodymium. The distribution of the implant was investigated by the use of 2 MeV alpha backscattering and ion microprobe analysis. Differences in the topography and structure of the chromic oxide on and off the implanted area were studied using scanning electron and optical microscopy. X-ray diffraction analysis was used to investigate if a rare earth-chromium compound of a perovskite-type structure had been formed. Lastly, the electrical conductivity of chromic oxide on and off the implanted region was examined at low voltages. (author)

  1. Cytological effect of nitrogen ion implantation into Stevia

    International Nuclear Information System (INIS)

    Shen Mei; Wang Cailian; Chen Qiufang; Lu Ting; Shu Shizhen

    1997-01-01

    Dry seeds of Stevia were implanted by 35∼150 keV nitrogen ion with various doses. The cytological effect on M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with the increased with the increase of ion beam energy and dose. However, there was no significant linear regression relationship between ion dose and aberration rate. The cytological effect of nitrogen ion implantation was lower than that of γ-rays

  2. Improvement of in vitro corrosion and cytocompatibility of biodegradable Fe surface modified by Zn ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Henan; Zheng, Yang; Li, Yan, E-mail: liyan@buaa.edu.cn; Jiang, Chengbao

    2017-05-01

    Highlights: • Fe{sub 2}O{sub 3}/ZnO oxides were formed on the surface of Zn implanted pure Fe samples. • The corrosion rate of the pure Fe in SBF was increased after Zn implantation. • Cytocompatibility of the pure Fe was improved by Zn ion implantation. - Abstract: Pure Fe was surface-modified by Zn ion implantation to improve the biodegradable behavior and cytocompatibility. Surface topography, chemical composition, corrosion resistance and cytocompatibility were investigated. Atomic force microscopy, auger electron spectroscopy and X-ray photoelectron spectroscopy results showed that Zn was implanted into the surface of pure Fe in the depth of 40–60 nm and Fe{sub 2}O{sub 3}/ZnO oxides were formed on the outmost surface. Electrochemical measurements and immersion tests revealed an improved degradable behavior for the Zn-implanted Fe samples. An approximately 12% reduction in the corrosion potential (E{sub corr}) and a 10-fold increase in the corrosion current density (i{sub corr}) were obtained after Zn ion implantation with a moderate incident ion dose, which was attributed to the enhanced pitting corrosion. The surface free energy of pure Fe was decreased by Zn ion implantation. The results of direct cell culture indicated that the short-term (4 h) cytocompatibility of MC3T3-E1 cells was promoted by the implanted Zn on the surface.

  3. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  4. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  5. Inverted end-Hall-type low-energy high-current gaseous ion source

    International Nuclear Information System (INIS)

    Oks, E. M.; Vizir, A. V.; Shandrikov, M. V.; Yushkov, G. Yu.; Grishin, D. M.; Anders, A.; Baldwin, D. A.

    2008-01-01

    A novel approach to low-energy, high-current, gaseous ion beam generation was explored and an ion source based on this technique has been developed. The source utilizes a dc high-current (up to 20 A) gaseous discharge with electron injection into the region of ion generation. Compared to the conventional end-Hall ion source, the locations of the discharge anode and cathode are inverted: the cathode is placed inside the source and the anode outside, and correspondingly, the discharge current is in the opposite direction. The discharge operates in a diverging axial magnetic field, similar to the end-Hall source. Electron generation and injection is accomplished by using an additional arc discharge with a ''cold'' (filamentless) hollow cathode. Low plasma contamination is achieved by using a low discharge voltage (avoidance of sputtering), as well as by a special geometric configuration of the emitter discharge electrodes, thereby filtering (removing) the erosion products stemming from the emitter cathode. The device produces a dc ion flow with energy below 20 eV and current up to 2.5 A onto a collector of 500 cm 2 at 25 cm from the source edge, at a pressure ≥0.02 Pa and gas flow rate ≥14 SCCM. The ion energy spread is 2 to 3 eV (rms). The source is characterized by high reliability, low maintenance, and long lifetime. The beam contains less than 0.1% of metallic ions. The specific electric energy consumption is 400 eV per ion registered at the collector. The source operates with noble gases, nitrogen, oxygen, and hydrocarbons. Utilizing biasing, it can be used for plasma sputtering, etching, and other ion technologies

  6. Ion implantation induced blistering of rutile single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Bing-Xi [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Jiao, Yang [College of Physics and Electronics, Shandong Normal University, Jinan, Shandong 250100 (China); Guan, Jing [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Wang, Lei [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (China)

    2015-07-01

    The rutile single crystals were implanted by 200 keV He{sup +} ions with a series fluence and annealed at different temperatures to investigate the blistering behavior. The Rutherford backscattering spectrometry, optical microscope and X-ray diffraction were employed to characterize the implantation induced lattice damage and blistering. It was found that the blistering on rutile surface region can be realized by He{sup +} ion implantation with appropriate fluence and the following thermal annealing.

  7. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  8. Positron annihilation studies of silicon-rich SiO2 produced by high dose ion implantation

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Corni, F.; Tonini, R.

    1997-01-01

    Positron annihilation spectroscopy (PAS) is used to study Si-rich SiO 2 samples prepared by implantation of Si (160 keV) ions at doses in the range 3x10 16 endash 3x10 17 cm -2 and subsequent thermal annealing at high temperature (up to 1100 degree C). Samples implanted at doses higher than 5x10 16 cm -2 and annealed above 1000 degree C showed a PAS spectrum with an annihilation peak broader than the unimplanted sample. We discuss how these results are related to the process of silicon precipitation inside SiO 2 . copyright 1997 American Institute of Physics

  9. Measurement of electron emission due to energetic ion bombardment in plasma source ion implantation

    Science.gov (United States)

    Shamim, M. M.; Scheuer, J. T.; Fetherston, R. P.; Conrad, J. R.

    1991-11-01

    An experimental procedure has been developed to measure electron emission due to energetic ion bombardment during plasma source ion implantation. Spherical targets of copper, stainless steel, graphite, titanium alloy, and aluminum alloy were biased negatively to 20, 30, and 40 kV in argon and nitrogen plasmas. A Langmuir probe was used to detect the propagating sheath edge and a Rogowski transformer was used to measure the current to the target. The measurements of electron emission coefficients compare well with those measured under similar conditions.

  10. Surface modification of yttria stabilized zirconia by ion implantation

    International Nuclear Information System (INIS)

    Scholten, D.

    1987-01-01

    The results of investigations of surface modification by ion implantation in zirconia are described. As dopant material, iron was investigated thoroughly. The depth distribution of implanted ions depends on implantation parameters and the dopant-matrix system. The investigations of thermal stability of some implanted iron profiles by RBS and AES are described. Special interest lies in the thermal stability under working conditions of the zirconia material (400-1000 0 C). Radiation damage introduced in the implanted layer was investigated using transmission electron microscopy on polycrystalline material and channeling experiments on a single crystal implanted with iron. 179 refs.; 87 figs.; 20 tabs

  11. Improvement of in vitro corrosion and cytocompatibility of biodegradable Fe surface modified by Zn ion implantation

    Science.gov (United States)

    Wang, Henan; Zheng, Yang; Li, Yan; Jiang, Chengbao

    2017-05-01

    Pure Fe was surface-modified by Zn ion implantation to improve the biodegradable behavior and cytocompatibility. Surface topography, chemical composition, corrosion resistance and cytocompatibility were investigated. Atomic force microscopy, auger electron spectroscopy and X-ray photoelectron spectroscopy results showed that Zn was implanted into the surface of pure Fe in the depth of 40-60 nm and Fe2O3/ZnO oxides were formed on the outmost surface. Electrochemical measurements and immersion tests revealed an improved degradable behavior for the Zn-implanted Fe samples. An approximately 12% reduction in the corrosion potential (Ecorr) and a 10-fold increase in the corrosion current density (icorr) were obtained after Zn ion implantation with a moderate incident ion dose, which was attributed to the enhanced pitting corrosion. The surface free energy of pure Fe was decreased by Zn ion implantation. The results of direct cell culture indicated that the short-term (4 h) cytocompatibility of MC3T3-E1 cells was promoted by the implanted Zn on the surface.

  12. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  13. Graphitic structure formation in ion implanted polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Tavenner, E., E-mail: tazman1492@gmail.com [Creative Polymers Pty. Ltd., 41 Wilkinson Street, Toowoomba, Queensland 4350 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Wood, B. [Centre for Microscopy and Microanalysis, University of Queensland, St. Lucia, Queensland 4072 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Curry, M.; Jankovic, A.; Patel, R. [Center for Applied Science and Engineering, Missouri State University, 524 North Boonville Avenue, Springfield, MO 65806 (United States)

    2013-10-15

    Ion implantation is a technique that is used to change the electrical, optical, hardness and biocompatibility of a wide range of inorganic materials. This technique also imparts similar changes to organic or polymer based materials. With polymers, ion implantation can produce a carbon enriched volume. Knowledge as to the nature of this enrichment and its relative concentration is necessary to produce accurate models of the physical properties of the modified material. One technique that can achieve this is X-ray photoelectron spectroscopy. In this study the formation of graphite like structures in the near surface of polyetheretherketone by ion implantation has been elucidated from detailed analysis of the C 1s and valence band peak structures generated by X-ray photoelectron spectroscopy. Further evidence is given by both Rutherford backscatter spectroscopy and elastic recoil detection.

  14. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    Science.gov (United States)

    Gastaldo, L.; Ranitzsch, P. C.-O.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-05-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163Ho using MMCs having the radioactive 163Ho ions implanted in the absorber. The isotope 163Ho decays through electron capture to 163Dy and features the smallest known QEC value. This peculiarity makes 163Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163Ho experiments is presented.

  15. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    International Nuclear Information System (INIS)

    Gastaldo, L.; Ranitzsch, P.C.-O.; Seggern, F. von; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-01-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163 Ho using MMCs having the radioactive 163 Ho ions implanted in the absorber. The isotope 163 Ho decays through electron capture to 163 Dy and features the smallest known Q EC value. This peculiarity makes 163 Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163 Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163 Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163 Ho experiments is presented

  16. Development of high current low energy H+ ion source

    International Nuclear Information System (INIS)

    Forrester, A.T.; Crow, J.T.; Goebel, D.M.

    1978-01-01

    The ultimate goal of this work is the development of an ion source suitable for double charge exchange of D + ions to D - ions in cesium or other vapor. Since the fraction of the D + which changes to D - may be as high as 0.35 in the energy below one keV, the process appears very favorable. What is desired is a source of several hundred cm 2 area, with a D + current density greater than, say 0.2A/cm 2 . Small angular spread is essential with up to about 0.1 radian being acceptable. A simple approach to this problem appears to be through fine mesh extraction electrodes. In this system a single grid facing the ion source plasma constitutes the entire extraction electrode system. If the potential difference between the grid and the source plasma is large compared to the ion energy at the plasma boundary, then the distance s 0 is just the Child-Langmuir distance corresponding to the ion current density J and the potential difference V 0 between the plasma and the grid

  17. Beam-envelope calculations of space-charge loaded beams in MeV dc ion-implantation facilities

    International Nuclear Information System (INIS)

    Urbanus, W.H.; Bannenberg, J.G.; Doorn, S.; Saris, F.W.; Koudijs, R.; Dubbelman, P.; Koelewijn, W.

    1989-01-01

    MeV dc ion accelerators are being developed that can deliver a beam current up to several hundred micro-amperes. At the low-energy part of the accelerator, the beam transport is space-charge dominated rather than emittance dominated. A system of differential equations has been derived, based on the Kapchinski-Vladimirski equations, which describe the envelope of a space-charge loaded ion beam, taking a longitudinal electrical field in an accelerating tube into account. The equations have been used to design the accelerator of a high-current 1 MV heavy-ion implantation facility. Furthermore, the design of a 2 MV accelerator is presented, which is used for analyzing techniques such as RBS and PIXE. Both facilities are based on single-ended Van de Graaff accelerators. (orig.)

  18. A simple ion implanter for material modifications in agriculture and gemmology

    Science.gov (United States)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  19. A simple ion implanter for material modifications in agriculture and gemmology

    International Nuclear Information System (INIS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M.W.; Suwankosum, R.; Rattanarin, S.; Yu, L.D.

    2015-01-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  20. A simple ion implanter for material modifications in agriculture and gemmology

    Energy Technology Data Exchange (ETDEWEB)

    Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Phanchaisri, B.; Techarung, J. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Rhodes, M.W.; Suwankosum, R.; Rattanarin, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  1. Paramagnetism in ion-implanted oxides

    CERN Document Server

    Mølholt, Torben Esmann; Gíslason, Hafliði Pétur; Ólafsson, Sveinn

    This thesis describes the investigation on para-magnetism in dilute ion-implanted single-crystal oxide samples studied by on- and off-line $^{57}$Fe emission Mössbauer spectroscopy. The ion-implantation of the radioactive isotopes ( $^{57}$Mn and $^{57}$Co) was performed at the ISOLDE facility at CERN in Geneva, Switzerland. The off-line measurements were performed at Aarhus University, Denmark. Mössbauer spectroscopy is a unique method, giving simultaneously local information on valence/spin state of the $^{57}$Fe probe atoms, site symmetry and magnetic properties on an atomic scale. The utilisation of emission Mössbauer spectroscopy opens up many new possibilities compared with traditional transmission Mössbauer spectroscopy. Among them is the possibility of working with a low concentration below 10$^{-4}$ –10$^{-3}$ at.%, where the implanted Mössbauer $^{57}$Fe probes are truly dilute impurities exclusively interacting with their nearest neighbours and therefore the possibility of crea...

  2. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S; Nugent, K W; Bettiol, A A; Kostidis, L I; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  3. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  4. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1996-01-01

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 μm 2 . After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs

  5. Mg ion implantation on SLA-treated titanium surface and its effects on the behavior of mesenchymal stem cell

    International Nuclear Information System (INIS)

    Kim, Beom-Su; Kim, Jin Seong; Park, Young Min; Choi, Bo-Young; Lee, Jun

    2013-01-01

    Magnesium (Mg) is one of the most important ions associated with bone osseointegration. The aim of this study was to evaluate the cellular effects of Mg implantation in titanium (Ti) surfaces treated with sand blast using large grit and acid etching (SLA). Mg ions were implanted into the surface via vacuum arc source ion implantation. The surface morphology, chemical properties, and the amount of Mg ion release were evaluated by scanning electron microscopy (SEM), Auger electron spectroscopy (AES), Rutherford backscattering spectroscopy (RBS), and inductively coupled plasma-optical emission spectrometer (ICP-OES). Human mesenchymal stem cells (hMSCs) were used to evaluate cellular parameters such as proliferation, cytotoxicity, and adhesion morphology by MTS assay, live/dead assay, and SEM. Furthermore, osteoblast differentiation was determined on the basis of alkaline phosphatase (ALP) activity and the degree of calcium accumulation. In the Mg ion-implanted disk, 2.3 × 10 16 ions/cm 2 was retained. However, after Mg ion implantation, the surface morphology did not change. Implanted Mg ions were rapidly released during the first 7 days in vitro. The MTS assay, live/dead assay, and SEM demonstrated increased cell attachment and growth on the Mg ion-implanted surface. In particular, Mg ion implantation increased the initial cell adhesion, and in an osteoblast differentiation assay, ALP activity and calcium accumulation. These findings suggest that Mg ion implantation using the plasma source ion implantation (PSII) technique may be useful for SLA-treated Ti dental implants to improve their osseointegration capacity. - Highlights: ► Mg ion was coated onto surface of SLA treated titanium via vacuum arc source ion implantation method. ► The morphological characteristics did not change after Mg ion implantation. ► Mg ion implanted SLA Ti is highly cytocompatible. ► Initial cell adhesion of MSCs is improved by Mg ion implantation. ► Mg ion implantation improved

  6. Study on surface modification of M2 steel induced by Cu ions and Al ions implantation

    International Nuclear Information System (INIS)

    Wang Chao; Liu Zhengmin

    2001-01-01

    Changes of surface hardness and wear resistances in M2 type steel implanted by Cu Al ions were reported. The dependence of surface strengthening on ion species and dose was studied by X-ray diffraction (XRD) and Rutherford Backscattering Spectroscopy (RBS) for microhardness and wear resistances measurement. It is shown that both hardness and wear resistance increases apparently after ion implantation. XRD analysis indicates that different phases formed after Al Cu ions implanted. It is also suggested that Cu, Al ions have different role in surface strengthening

  7. Surface depression of glass and surface swelling of ceramics induced by ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Saitoh, Kazuo; Nakao, Setsuo; Niwa, Hiroaki; Tanemura, Seita; Miyagawa, Yoshiko; Miyagawa, Souji

    1994-01-01

    By the measurement of the change of the surface shapes of the glass and ceramics in which ion implantation was performed, it was clarified that glass surface was depressed, and ceramic surface swelled. These depression and swelling changed according to the kinds of ions, energy and the amount to be implanted and the temperature of samples. It became clear that the depression of glass surface was nearly proportional to the range of flight of the implanted ions, and the swelling of ceramic surface showed different state in the silicon nitride with strong covalent bond and the alumina and sapphire with strong ionic bond. For the improvement of the mechanical characteristics of solid materials such as hardness, strength, toughness, wear resistance, oxidation resistance and so on, attention has been paid to the surface reforming by high energy ion implantation at MeV level. The change of shapes of base materials due to ion implantation is not always negligible. The experiment was carried out on sintered silicon nitride and alumina, polished sapphire single crystals and quartz glass. The experimental method and the results are reported. (K.I.)

  8. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation

  9. Eelectrochemical properties and corrosion resistance of carbon-ion-implanted magnesium

    International Nuclear Information System (INIS)

    Xu, Ruizhen; Yang, Xiongbo; Li, Penghui; Suen, Kai Wong; Wu, Guosong; Chu, Paul K.

    2014-01-01

    Highlights: • Carbon, as a biocompatible benign element, was implanted into Mg. • A protective amorphous carbon layer was formed after implantation. • Treated sample exhibits good corrosion resistance in two solutions. - Abstract: The corrosion resistance of magnesium-based biomaterials is critical to clinical applications. In this work, carbon as a biocompatible and benign nonmetallic element with high chemical inertness is implanted into pure magnesium to improve the corrosion behavior. X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HR-TEM), and Raman scattering reveal the formation of an amorphous carbon layer after ion implantation. Electrochemical studies demonstrate remarkable improvement in the corrosion resistance of magnesium in simulated body fluids (SBF) and Dulbecco’s Modified Eagle Medium (DMEM)

  10. Application of ion implantation in metals and alloys

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1981-01-01

    Ion implantation first became established as a precise method of introducing dopant elements into semiconductors. It is now appreciated that there may be equally important applications in metallic tools or components with the purpose of improving their resistance to wear, fatigue or corrosion. Nitrogen ions implanted into steels pin dislocations and thereby harden the metal. Some metallic ions such as yttrium reduce the tendency for oxidative wear. There is a fairly good understanding of how both treatments can provide a long-lasting protection that extends to many times the original depth of implantation. Nitrogen implantation also improves the wear resistance of Co-cemented tungsten carbide and of hard chromium electroplated coatings. These treatments have wide application in press tools, molds, dies and other metal-forming tools as well as in a more limited variety of cutting tools. Some striking improvements can be achieved in the corrosion field, but there are economic and technical reasons for concluding that practical applications of ion implantation will be more restricted and specialized in this area. The most promising area is that in which mechanical stress and oxidation coexist. When a metallic species has to be introduced, a promising new development is to bombard a thin coating of the metal at an elevated temperature. Several powerful mechanisms of radiation-enhanced diffusion can bring about a complete intermixing. Examples of how this has been used to produce wear resistant surfaces in titanium are given. Finally, the equipment developed for the large scale application of the ion implantation process in the engineering field is described

  11. Application of micro beam PIXE to detection of titanium ion release from dental and orthopaedic implants

    International Nuclear Information System (INIS)

    Ektessabi, A.M.; Otsuka, T.; Tsuboi, Y.; Yokoyama, K.; Albrektsson, T.; Sennerby, L.; Johansson, C.

    1994-01-01

    In the past two decades the utilization of dental and orthopaedic implants in reconstructive surgery has been spread widely. Most of these implants are inserted in the corrosive environment of the human body for long periods of time. The level of dissolution, release, and transport of metal ions as a result of corrosion of these materials are not fully known at present. We report the results of application of micro ion beam PIXE spectroscopy to detect release of titanium from titanium and titanium alloy implants inserted in the tibiae of rabbits for three months. It was found that titanium ions could be detected in the surrounding tissues, with high precision, as a gradient from the implant surface and in higher amounts in the bone tissue as compared with the soft tissues. It is concluded that application of micro ion beam PIXE spectroscopy for detection of metal ion release, and distribution of the released material around the implants with high special resolution and accuracy may be used to further investigate the mechanism of metal release, and the relation between surface micromorphology and corrosion resistance of the implant materials. (author)

  12. Seeding of silicon by copper ion implantation for selective electroless copper plating

    Energy Technology Data Exchange (ETDEWEB)

    Bhansali, S.; Sood, D.K.; Zmood, R.B. [Microelectronic and Materials Technology Centre, Royal Melbourne Institute of Technolgy, Melbourne, VIC (Australia)

    1993-12-31

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm{sup 2} using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm{sup 2} for `seed` formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by `scotch tape test`. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs.

  13. Seeding of silicon by copper ion implantation for selective electroless copper plating

    Energy Technology Data Exchange (ETDEWEB)

    Bhansali, S; Sood, D K; Zmood, R B [Microelectronic and Materials Technology Centre, Royal Melbourne Institute of Technolgy, Melbourne, VIC (Australia)

    1994-12-31

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm{sup 2} using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm{sup 2} for `seed` formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by `scotch tape test`. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs.

  14. Seeding of silicon by copper ion implantation for selective electroless copper plating

    International Nuclear Information System (INIS)

    Bhansali, S.; Sood, D.K.; Zmood, R.B.

    1993-01-01

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm 2 using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm 2 for 'seed' formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by 'scotch tape test'. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs

  15. High-intensity laser for Ta and Ag implantation into different substrates for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Mackova, A.; Malinsky, P. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Sciences, Messina University, V.le F.S. d’Alcontres 31, 98166 S. Agata, Messina (Italy); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-07-01

    High-intensity lasers generating non-equilibrium plasma, can be employed to accelerate ions in the keV–MeV region, useful for many applications. In the present work, we performed study of ion implantation into different substrates by using a high-intensity laser at the PALS laboratory in Prague. Multi-energy ions generated by plasma from Ta and Ag targets were implanted into polyethylene and metallic substrates (Al, Ti) at energies of tens of keV per charge state. The ion emission was monitored online using time-of-flight detectors and electromagnetic deflection systems. Rutherford Backscattering Spectrometry (RBS) was used to characterise the elemental composition in the implanted substrates by ion plasma emission and to provide the implanted ion depth profiling. These last measurements enable offline plasma characterisation and provide information on the useful potentiality of multi-ion species and multi-energy ion implantation into different substrates. XPS analysis gives information on the chemical bonds and their modifications in the first superficial implanted layers. The depth distributions of implanted Ta and Ag ions were compared with the theoretical ones achieved by using the SRIM-2012 simulation code.

  16. Effect of ion implantation on apple wine yeast

    International Nuclear Information System (INIS)

    Song Andong; Chen Hongge; Zhang Shimin; Jia Cuiying

    2004-01-01

    The wild type apple wine yeast Y 02 was treated by ion implantation with the dose of 8 x 10 15 ion/cm 2 . As results, a special mutant strain, ION II -11 dry, was obtained. The morphology characters, partial biochemistry characters, mycelium protein of the mutant strain were distinctively changed compared with original strain Y 02 . After the fermentation test ,the apple wine producing rate of the mutant strain increased 22.4% compared with original strain. These results showed that ion implantation was an effective method for mutagenesis

  17. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  18. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C; Edwards, Paul R.; O'Donnell, Kevin Peter; Boćkowski, Michał X.; Alves, Eduardo Jorge; Roqan, Iman S.; Vantomme, André ; Lorenz, Katharina

    2014-01-01

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C

    2014-01-20

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Carbon dioxide ion implantation in Titanium Nitride (Ti N)

    International Nuclear Information System (INIS)

    Torabi, Sh.; Sari, A. H.; Hojabri, A.; Ghoranneviss, M.

    2007-01-01

    Nitrogen ion implantation on titanium samples performed at 3x10 18 , 8x10 17 , 3x10 18 doses. In addition CO 2 ions were also implanted at doses in the range of 1x10 17 ,4 x10 17 ,8x10 17 . Atomic Force Microscopy, used to investigate the topographical changes of implanted samples. The structure of samples and phase composition were characterized using x-ray diffraction. The results show that by increasing of nitrogen ions, the roughness, grain sizes and hardness will increase. But by further increasing of dose, hardness will be decreased. The CO 2 implantation also enhance the roughness, grain size and hardness which could be caused by phase composition.

  1. Enhanced wear resistance of production tools and steel samples by implantation of nitrogen and carbon ions

    International Nuclear Information System (INIS)

    Mikkelsen, N.J.; Straede, C.A.

    1992-01-01

    In recent years ion implantation has become a feasible technique for obtaining improved wear resistance of production tools. However, basic knowledge of how and in which cases ion implantation is working at its best is still needed. The present paper discusses structural and tribological investigations of carbon and nitrogen implanted steels. The nitrogen data were obtained mainly from field tests and the investigation of carbon implantations took place mainly in the laboratory. A study was made of how the tribological behaviour of implanted steels changes with different implantation parameters. The tribological laboratory investigations were carried out using pin-on-disc equipment under controlled test conditions, and deal with high dose carbon implantation (approximately (1-2)x10 18 ions cm -2 ). The wear resistance of steels was enhanced dramatically, by up to several orders of magnitude. The field test results cover a broad range of ion implanted production tools, which showed a marked improvement in wear resistance. Nitrogen implanted tools are also compared with carbon and titanium implanted tools. (orig.)

  2. Doping of silicon carbide by ion implantation; Dopage du carbure de silicium par implantation ionique

    Energy Technology Data Exchange (ETDEWEB)

    Gimbert, J

    1999-03-04

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  3. Simulation of in-plane distribution of beam irradiation amount in ion implantation

    International Nuclear Information System (INIS)

    Sone, Yuki; Sato, Masataka; Yamamoto, Yasuhiro

    1994-01-01

    In the ion implantation process which is one of the important technologies for making devices, the good controllability and the implantation in a short time aiming at high through put have been demanded. Therefore, the increase of current in implantation beam is planned, but such short time implantation is to worsen the uniformity of dose in wafer plane. The method of quantitatively determining this in-plane uniformity by computer simulation has been established, therefore, it is reported. In the simulation, the method of beam scan was made into raster scan, and the in-plane uniformity of dose was determined when the time of implantation, the with of overscan, and the band width of beam scanning waveform were taken as the parameters. As the result, in the case of assuming the scan waveform being ideal triangular wave, under the supposed condition, by taking the time of implantation as longer than 30s, the in-plane uniformity within 1% was able to be attained. It was found that the scanning device having 175 kHz band must be used for the above conditions. The simulation and as the results, the relation of the time of implantation with the in-plane uniformity, the scanning waveform and the in-plane uniformity and so on are reported. (K.I.)

  4. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Yang, C.; Dzurak, A.S.; Yang, C.; Clark, R.G.; Yang, C.

    2005-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors. (author). 9 refs., 4 figs., 1 tab

  5. Enhanced light extraction efficiency of GaN-based light-emittng diodes by nitrogen implanted current blocking layer

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yong Deok; Oh, Seung Kyu; Park, Min Joo; Kwak, Joon Seop, E-mail: jskwak@sunchon.ac.kr

    2016-10-15

    Highlights: • A nitrogen implanted current-blocking layer was successfully demonstrated. • Light-extraction efficiency and radiant intensity was increased by more than 20%. • Ion implantation was successfully implemented in GaN based light-emitting diodes. - Abstract: GaN-based light emitting diodes (LEDs) with a nitrogen implanted current-blocking layer (CBL) were successfully demonstrated for improving the light extraction efficiency (LEE) and radiant intensity. The LEE and radiant intensity of the LEDs with a shallow implanted CBL with nitrogen was greatly increased by more than 20% compared to that of a conventional LED without the CBL due to an increase in the effective current path, which reduces light absorption at the thick p-pad electrode. Meanwhile, deep implanted CBL with a nitrogen resulted in deterioration of the LEE and radiant intensity because of formation of crystal damage, followed by absorption of the light generated at the multi-quantum well(MQW). These results clearly suggest that ion implantation method, which is widely applied in the fabrication of Si based devices, can be successfully implemented in the fabrication of GaN based LEDs by optimization of implanted depth.

  6. Ion implantation damage annealing in 4H-SiC monitored by scanning spreading resistance microscopy

    International Nuclear Information System (INIS)

    Suchodolskis, A.; Hallen, A.; Linnarsson, M.K.; Osterman, J.; Karlsson, U.O.

    2006-01-01

    To obtain a better understanding of the damage annealing process and dopant defect incorporation and activation we have implanted epitaxially grown 4H-SiC layers with high doses of Al + ions. Cross-sections of the samples are investigated by scanning spreading resistance microscopy (SSRM) using a commercial atomic force microscopy (AFM). The defects caused by the implanted ions compensate for the doping and decrease the charge carrier mobility. This causes the resistivity to increase in the as-implanted regions. The calculated profile of implanted ions is in good agreement with the measured ones and shows a skewed Gaussian shape. Implanted samples are annealed up to 400 deg. C. Despite these low annealing temperatures we observe a clear improvement of the sample conductivity in the as-implanted region

  7. Cell adhesion of F{sup +} ion implantation of intraocular lens

    Energy Technology Data Exchange (ETDEWEB)

    Li, D.J. E-mail: dejunli@hotmail.com; Cui, F.Z.; Gu, H.Q

    1999-04-01

    The cell adhesion of ion implanted polymethylmethacrylate (PMMA) intraocular lens was studied using cultured cells in vitro. F{sup +} ion implantation was performed at the energies of 40, 60, 80, 100 keV with the fluences ranging from 5x10{sup 13} to 1x10{sup 15} ions/cm{sup 2} at room temperature. The cell adhesion tests gave interesting results that the number of the neutral granulocytes and the macrophages adhering on surface were reduced significantly after ion implantation. The optimal fluence was about 4x10{sup 14} ions/cm{sup 2}. The hydrophobicity imparted to the lens surface was also enhanced. The results of X-ray photoelectron spectroscopy analysis indicated that ion implantation resulted in the cleavage of some pendant groups, the oxidation of the surface, and the formation of some new chemical bonds, which was probably the main reason for the cell adhesion change.

  8. Cell patterning on a glass surface by a mask-assisted ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Chan-Hee; Kim, Dong-Ki; Hwang, In-Tae; Lim, Youn-Mook; Kim, Hae-Kyoung; Nho, Young-Chang [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Choi, Jae-Hak [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of)], E-mail: jaehakchoi@kaeri.re.kr

    2009-04-15

    A simple patterning method of cells on a glass has been developed by using ion implantation. The glass was implanted through a pattern mask with 150 keV Ar ions in the absence or presence of oxygen. Surface properties of the ion-implanted glass were investigated by means of X-ray photoelectron spectroscopy, contact angle measurement and cell culture test. The results showed that more hydrophilic groups were formed on the glass surface implanted in the presence of oxygen. Thus, the glass surface implanted in the presence of oxygen showed lower contact angle compared with the glass surface implanted in the absence of oxygen. The cells were strongly adhered to and proliferated on the ion-implanted regions of the glass. The cell population was found to be the highest on the glass implanted at a fluence of 1 x 10{sup 16} ions/cm{sup 2} in the presence of oxygen.

  9. The ion implantation of metals and engineering materials

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1978-01-01

    An entirely new method of metal finishing, by the process of ion implantation, is described. Introduced at first for semiconductor device applications, this method has now been demonstrated to produce major and long-lasting improvements in the durability of material surfaces, as regards both wear and corrosion. The process is distinct from that of ion plating, and it is not a coating technique. After a general description of ion implantation examples are given of its effects on wear behaviour (mostly in steels and cemented carbides) and on corrosion, in a variety of metals and alloys. Its potential for producing decorative finishes is mentioned briefly. The equipment necessary for carrying out ion implantation for engineering applications has now reached the prototype stage, and manufacture of plant for treating a variety of tools and components is about to commence. These developments are outlined. (author)

  10. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  11. Improving Aspergillus niger tannase yield by N+ ion beam implantation

    Directory of Open Access Journals (Sweden)

    Wei Jin

    2013-02-01

    Full Text Available This work aimed to improve tannase yield of Aspergillus niger through N+ ion beam implantation in submerged fermentation. The energy and dose of N+ ion beam implantation were investigated. The results indicated that an excellent mutant was obtained through nine successive implantations under the conditions of 10 keV and 30-40 (×2.6×10(13 ions/cm², and its tannase yield reached 38.5 U/mL, which was about five-time higher than the original strain. The study on the genetic stability of the mutant showed that its promising performance in tannase production could be stable. The studies of metal ions and surfactants affecting tannase yield indicated that manganese ions, stannum ions, xylene and SDS contained in the culture medium had positive effects on tannase production under submerged fermentation. Magnesium ions, in particular, could enhance the tannase yield by the mutant increasing by 42%, i.e. 53.6 U/mL. Accordingly, low-energy ion implantation could be a desirable approach to improve the fungal tannase yield for its commercial application.

  12. Comparative study of 150 keV Ar+ and O+ ion implantation induced structural modification on electrical conductivity in Bakelite polymer

    Science.gov (United States)

    Aneesh Kumar, K. V.; Krishnaveni, S.; Asokan, K.; Ranganathaiah, C.; Ravikumar, H. B.

    2018-02-01

    A comparative study of 150 keV argon (Ar+) and oxygen (O+) ion implantation induced microstructural modifications in Bakelite Resistive Plate Chamber (RPC) detector material at different implantation fluences have been studied using Positron Annihilation Lifetime Spectroscopy (PALS). Positron lifetime parameters viz., o-Ps lifetime (τ3) and its intensity (I3) upon lower implantation fluences can be interpreted as the cross-linking and the increased local temperature induced diffusion followed by trapping of ions in the interior polymer voids. The increased o-Ps lifetime (τ3) at higher O+ ion implantation fluences indicates chain scission owing to the oxidation and track formation. This is also justified by the X-Ray Diffraction (XRD) and Fourier Transform Infrared (FTIR) results. The modification in the microstructure and electrical conductivity of Bakelite materials are more upon implantation of O+ ions than Ar+ ions of same energy and fluences. The reduced electrical conductivity of Bakelite polymer material upon ion implantation of both the ions is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate energy and fluence of implanting ions might reduce the leakage current and hence improve the performance of Bakelite RPC detectors.

  13. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  14. Ion implantation in semiconductor bodies

    International Nuclear Information System (INIS)

    Badawi, M.H.

    1984-01-01

    Ions are selectively implanted into layers of a semiconductor substrate of, for example, semi-insulating gallium arsenide via a photoresist implantation mask and a metallic layer of, for example, titanium disposed between the substrate surface and the photoresist mask. After implantation the mask and metallic layer are removed and the substrate heat treated for annealing purposes. The metallic layer acts as a buffer layer and prevents possible contamination of the substrate surface, by photoresist residues, at the annealing stage. Such contamination would adversely affect the electrical properties of the substrate surface, particularly gallium arsenide substrates. (author)

  15. The diffusion properties of ion implanted species in selected target materials

    International Nuclear Information System (INIS)

    Alton, G.D.; Dellwo, J.; Carter, H.K.; Kormicki, J.; Bartolo, G. di; Batchelder, J.C.; Breitenbach, J.; Chediak, J.A.; Jentoff-Nilsen, K.; Ichikawa, S.

    1995-01-01

    Experiments important to the future success of the Holifield Radioactive Ion Beam Facility (HRIBF) are in progress at the Oak Ridge National Laboratory which are designed to select the most appropriate target material for generating a particular radioactive ion beam (RIB). The 25-MV HHIRF tandem accelerator is used to implant stable complements of interesting radioactive elements into refractory targets mounted in a high-temperature FEBIAD ion source which is open-quotes on-lineclose quotes at the UNISOR facility. The intensity versus time of implanted species, which diffuse from the high-temperature target material (∼1700 degrees C) and are ionized in the FEBIAD ion source, is used to determine release times for a particular projectile/target material combination. From such release data, diffusion coefficients can be derived by fitting the theoretical results obtained by computational solution of Fick's second equation to experimental data. The diffusion coefficient can be used subsequently to predict the release properties of the particular element from the same material in other target geometries and at other temperatures, provided that the activation energy is also known. Diffusion coefficients for Cl implanted into and diffused from CeS and Zr 5 Si 3 and As, Br, and Se implanted into and diffused from Zr 5 Ge 3 have been derived from the resulting intensity versus time profiles. Brief descriptions of the experimental apparatus and procedures utilized in the present experiments and plans for future related experiments are presented

  16. Electrical conductivity enhancement of polyethersulfone (PES) by ion implantation

    International Nuclear Information System (INIS)

    Bridwell, L.B.; Giedd, R.E.; Wang Yongqiang; Mohite, S.S.; Jahnke, T.; Brown, I.M.

    1991-01-01

    Amorphous polyethersulfone (PES) films have been implanted with a variety of ions (He, B, C, N and As) at a bombarding energy of 50 keV in the dose range 10 16 -10 17 ions/cm 2 . Surface resistance as a function of dose indicates a saturation effect with a significant difference between He and the other ions used. ESR line shapes in the He implanted samples changed from a mixed Gaussian/Lorentzian to a pure Lorentzian and narrowed with increasing dose. Temperature dependent resistivity indicates an electron hopping mechanism for conduction. Infrared results indicate cross-linking or self-cyclization occurred for all implanted ions with further destruction in the case of As. (orig.)

  17. Surface Passivation and Junction Formation Using Low Energy Hydrogen Implants

    Science.gov (United States)

    Fonash, S. J.

    1985-01-01

    New applications for high current, low energy hydrogen ion implants on single crystal and polycrystal silicon grain boundaries are discussed. The effects of low energy hydrogen ion beams on crystalline Si surfaces are considered. The effect of these beams on bulk defects in crystalline Si is addressed. Specific applications of H+ implants to crystalline Si processing are discussed. In all of the situations reported on, the hydrogen beams were produced using a high current Kaufman ion source.

  18. Optical stability under photo-irradiation of urushi films by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio [Industrial Research Inst. of Ishikawa, Kanazawa (Japan)

    1995-06-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.).

  19. Optical stability under photo-irradiation of urushi films by ion implantation

    International Nuclear Information System (INIS)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio

    1995-01-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.)

  20. Production of amorphous alloys by ion implantation

    International Nuclear Information System (INIS)

    Grant, W.A.; Chadderton, L.T.; Johnson, E.

    1978-01-01

    Recent data are reported on the use of ion implantation to produce amorphous metallic alloys. In particular data on the dose dependence of the crystalline to amorphous transition induced by P + implantation of nickel is presented. (Auth.)

  1. The formation of magnetic silicide Fe3Si clusters during ion implantation

    Science.gov (United States)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe3Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  2. The formation of magnetic silicide Fe3Si clusters during ion implantation

    International Nuclear Information System (INIS)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-01-01

    A simple two-dimensional model of the formation of magnetic silicide Fe 3 Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field

  3. Nitrogen ion implantation: Barriers to industrial acceptance and prospects for the future

    International Nuclear Information System (INIS)

    Alexander, R.B.

    1989-01-01

    Nitrogen ion implantation has been used to improve the wear and fatigue resistance of metals in industrial applications since the process was developed at the UK Harwell Laboratory in the 1970s. However, implantation service companies like Ion Surface Technology have found so far that the market for nitrogen implantation is limited. Both market and technical barriers exist to more widespread acceptance in industry. Market factors include cost, industrial conservatism, and production priorities in manufacturing. Technical factors include the size of available implanters, the line-of-sight limitation of ion implantation, sputtering, and other process limitations such as shallow penetration depth. Several recent technical developments that should greatly increase market acceptance are described: 1. large-scale nitrogen implanters, 2. the non-line-of-sight plasma source ion implantation process, and 3. ion assisted coating techniques. (orig.)

  4. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    Science.gov (United States)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-11-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.

  5. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    International Nuclear Information System (INIS)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-01-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants

  6. Tribological studies of nitrogen ion implantation induced overlayer coatings of amorphous carbon and carbonitride phase

    International Nuclear Information System (INIS)

    Kumar, N.; Srivastava, S.K.; Pandian, R.; Bahuguna, Ashok; Dhara, S.; Nair, K.G.M.; Dash, S.; Tyagi, A.K.

    2013-01-01

    Highlights: ► Composite phase of amorphous carbon and carbonitride phase is observed on the N + ion implanted surface of steel. ► Advanced properties of implanted surface shows low friction coefficient of ∼0.05. ► High wear resistance 4.3 × 10 −8 mm 3 /Nm of N + implanted surface is obtained. -- Abstract: Morphology and microstructure of N + ion implanted 316 LN steel are found to modify with irradiated substrate temperature. At low temperature of 100 °C, self-similar micro-ripples are formed but at high temperature of 200 and 300 °C, micro-pores and blisters are observed on the implanted surface. Chemically modified surface is found to consist of amorphous carbon and carbonitride phase. Such composite characteristic of implanted steel surface at irradiated substrate temperature of 300 °C shows improved tribological properties with low friction coefficient and high wear resistance

  7. Recent advances in ion implantation. A state of the art review

    International Nuclear Information System (INIS)

    Stone, J.L.; Plunkett, J.C.

    1976-01-01

    The latest advances in ion implantation related to MOS, CMOS, CCDS, I 2 L, and other semiconductor devices are discussed. In addition, the application of ion implantation to superconductivity, integrated optics, compound semiconductors, and magnetic bubbles is considered. The requirements of ion implantation machine technology to fulfill the needs of the production environment are also reviewed. 75 refs

  8. Investigation of Steel Surfaces Treated by a Hybrid Ion Implantation Technique

    International Nuclear Information System (INIS)

    Reuther, H.; Richter, E.; Prokert, F.; Ueda, M.; Beloto, A. F.; Gomes, G. F.

    2004-01-01

    Implantation of nitrogen ions into stainless steel in combination with oxidation often results in a decrease or even complete removal of the chromium in the nitrogen containing outermost surface layer. While iron nitrides can be formed easily by this method, due to the absence of chromium, the formation of chromium nitrides is impossible and the beneficial influence of chromium in the steel for corrosion resistance cannot be used. To overcome this problem we use the following hybrid technique. A thin chromium layer is deposited on steel and subsequently implanted with nitrogen ions. Chromium can be implanted by recoil into the steel surface and thus the formation of iron/chromium nitrides should be possible. Both beam line ion implantation and plasma immersion ion implantation are used. Due to the variation of the process parameters, different implantation profiles and different compounds are produced. The produced layers are characterized by Auger electron spectroscopy, conversion electron Moessbauer spectroscopy and X-ray diffraction. The obtained results show that due to the variation of the implantation parameters, the formation of iron/chromium nitrides can be achieved and that plasma immersion ion implantation is the most suitable technique for the enrichment of chromium in the outermost surface layer of the steel when compared to the beam line implantation.

  9. Modification of polyethyleneterephtalate by implantation of nitrogen ions

    International Nuclear Information System (INIS)

    Svorcik, V.; Endrst, R.; Rybka, V.; Hnatowicz, V.; Cerny, F.

    1994-01-01

    The implantation of 90 keV N + ions into polyethyleneterephtalate (PET) to fluences of 1 x 10 14 --1 x 10 17 cm -2 was studied. The changes in electrical sheet conductivity and polarity of ion-exposed PET were observed and the structural changes were examined using IR spectroscopy. One degradation process is a chain fission according to the Norrish II reaction. The sheet conductivity due to conjugated double bonds was increased by ten orders of magnitude as a result of ion implantation. The surface polarity of the PET samples increases slightly with increasing ion fluence

  10. Electrical properties and annealing kinetics study of laser-annealed ion-implanted silicon

    International Nuclear Information System (INIS)

    Wang, K.L.; Liu, Y.S.; Kirkpatrick, C.G.; Possin, G.E.

    1979-01-01

    This paper describes measurements of electrical properties and the regrowth behavior of ion-implanted silicon annealed with an 80-ns (FWHM) laser pulse at 1.06 μm. The experimental results include: (1) a determination of threshold energy density required for melting using a transient optical reflectivity technique, (2) measurements of dopant distribution using Rutherford backscattering spectroscopy, (3) characterization of electrical properties by measuring reverse leakage current densities of laser-annealed and thermal-annealed mesa diodes, (4) determination of annealed junction depth using an electron-beam-induced-current technique, and (5) a deep-level-transient spectroscopic study of residual defects. In particular, by measuring these properties of a diode annealed at a condition near the threshold energy density for liquid phase epitaxial regrowth, we have found certain correlations among these various annealing behaviors and electrical properties of laser-annealed ion-implanted silicon diodes

  11. Nitrogen implantation in steel with an impulsive ion implanter

    International Nuclear Information System (INIS)

    Feugeas, J.N.; Gonzalez, C.O.; Hermida, J.; Nieto, M.; Peyronel, M.F.; Sanchez, G.

    1990-01-01

    This work describes the results of steel implantation with nitrogen, with a pulsed accelerator which provides a continuous ion energy spectrum giving a uniform profile of nitrogen without changing its operative conditions. (Author)

  12. High-resolution ion-implanted silicon detectors

    International Nuclear Information System (INIS)

    von Borany, J.; Schmidt, B.

    1985-01-01

    An account is given of the properties of silicon detectors developed at the Central Institute of Nuclear Research of the Academy of Sciences of the German Democratic Republic (Rossendorf) and made by a special planar technology using ion implantation, anodic oxidation, thermal oxidation in an oxygen atmosphere containing HCl, and annealing by pulses of 10--20 msec duration. The resolution for α particles of 5.5 MeV energy was 11.2 keV (active area A 2 ). The detectors were characterized by a low intrinsic noise (< or =5 keV), so that they could be used for spectrometry of low-energy electrons (E/sub e/< or =250 keV). In a certain range of energies (E/sub x/ = 15--60 keV) it was possible to use these detectors for spectrometry of x rays at room temperature. Examples and results of applications of detectors in radiation chemistry (investigations of backscattering of particles and nuclear reaction spectroscopy) are given. The feasibility of annealing of radiation defects in such detectors after irradiation with a large dose of charged particles is considered

  13. A study on mutagenic effects of antibiotic-producers by ion implantation

    International Nuclear Information System (INIS)

    Xie Liqing; Zhang Yinfen; Chen Ruyi; Zhou Ruiying; Zhang Peiling; Ying Hengfeng; Yang Guorong; Yang Guifang

    1995-01-01

    Mutagenic effects of Streptomyces ribosidificus, Streptomyces kanamyceticus and the phage-resistant culture of Streptomyces kanamyceticus induced by N + and C + ion implantation with different doses have been investigated. The experimental results show that the death rates of antibiotic-producers increase with the increase of ion implantation dose, and the form mutation of the antibiotic-producers is rather obvious. After N + ion implantation, the titer units increase by 10%-25%, 5.2%-12.1% and 2.1%-12.75% for the above three strains respectively; while after C + ion implantation the titer units increase by 10%-16.9%, 1.05%-3.08% and 5%-20% respectively. The selected strains of Micromonospora echimospoora and Streptomyces kanamyceticus after N + ion implantation have been used in the factory. The increase of production is 20% and 12.5% respectively and marked economic benefits are obtained

  14. More-reliable SOS ion implantations

    Science.gov (United States)

    Woo, D. S.

    1980-01-01

    Conducting layer prevents static charges from accumulating during implantation of silicon-on-sapphire MOS structures. Either thick conducting film or thinner film transparent to ions is deposited prior to implantation, and gaps are etched in regions to be doped. Grounding path eliminates charge flow that damages film or cracks sapphire wafer. Prevention of charge buildup by simultaneously exposing structure to opposite charges requires equipment modifications less practical and more expensive than deposition of conducting layer.

  15. Dual-ion implantation into GaAs

    International Nuclear Information System (INIS)

    Sealy, B.J.; Bell, E.C.; Surridge, R.K.; Stephens, K.G.; Ambridge, T.; Heckingbottom, R.

    1976-01-01

    A variety of dual implants have been carried out to test the theory of Ambridge and Heckingbottom (Ambridge, T. and Heckingbottom, R., 1973, Radiat. Effects, vol. 17, 31). After annealing at 700 0 C or 750 0 C a significant enhancement of electrical activity compared with single-ion implants has been obtained for (Ga + Se) and (Sn + Se) implants but the degree of enhancement is dose dependent. The results imply that the dual implantation process is more complex than predicted by the theory and the electrical activity measured seems to be dominated by residual, compensating damage. (author)

  16. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    Directory of Open Access Journals (Sweden)

    Ronald Machaka

    2012-01-01

    degradation of near-surface mechanical properties with increasing fluorine fluence. Implications of these observations in the creation of amorphous near-surface layers by high-dose ion implantation are discussed in this paper.

  17. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    International Nuclear Information System (INIS)

    Reichel, Christian; Feldmann, Frank; Müller, Ralph; Hermle, Martin; Glunz, Stefan W.; Reedy, Robert C.; Lee, Benjamin G.; Young, David L.; Stradins, Paul

    2015-01-01

    Passivated contacts (poly-Si/SiO x /c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF 2 ), the ion implantation dose (5 × 10 14  cm −2 to 1 × 10 16  cm −2 ), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells. Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV oc ) of 725 and 720 mV, respectively. For p-type passivated contacts, BF 2 implantations into intrinsic a-Si yield well passivated contacts and allow for iV oc of 690 mV, whereas implanted B gives poor passivation with iV oc of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V oc of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF 2 implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V oc of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts

  18. High current pulsed ion inductor accelerator for destruction of radioactive wastes

    Energy Technology Data Exchange (ETDEWEB)

    Korenev, S.A.; Puzynin, I.V.; Samoilov, V.N.; Sissakian, A.N. [Joint Inst. for Nuclear Research, Dubna (Russian Federation)

    1997-09-01

    The project of a high current pulsed linear ion accelerator is described in this paper. The accelerator consists of an ion injector, a system of charge and energy separation, an inductor accelerator and an output system. The ion source with explosive ion emission can produce all kinds of ions. The separation system includes a pulsed magnetic system. The inductors are based on amorphous iron with inside magnetic elements. 3 refs., 3 figs.

  19. High current pulsed ion inductor accelerator for destruction of radioactive wastes

    International Nuclear Information System (INIS)

    Korenev, S.A.; Puzynin, I.V.; Samoilov, V.N.; Sissakian, A.N.

    1997-01-01

    The project of a high current pulsed linear ion accelerator is described in this paper. The accelerator consists of an ion injector, a system of charge and energy separation, an inductor accelerator and an output system. The ion source with explosive ion emission can produce all kinds of ions. The separation system includes a pulsed magnetic system. The inductors are based on amorphous iron with inside magnetic elements. 3 refs., 3 figs

  20. Mechanical and tribological properties of AISI 304 stainless steel nitrided by glow discharge compared to ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Foerster, C.E.; Serbena, F.C.; Silva, S.L.R. da; Lepienski, C.M.; Siqueira, C.J. de M.; Ueda, M.

    2007-01-01

    Results about mechanical and tribological behavior of AISI 304 stainless steel nitrided by three different ion beam processes - glow discharge (GD), ion implantation (II) and plasma immersion ion implantation (PI3) are reported. Expanded austenite γ N and nitrides phases (Fe 2+x N, γ'-Fe 4 N and Cr-N) were identified as a function of nitriding conditions. Hardness (H) and elastic modulus (E) profiles were obtained by instrumented penetration. The hardness reached values as high as 21 GPa by PI3. Tribological behavior was studied by reciprocating sliding tests with a WC (Co) ball at room temperature (RT) in dry condition. Different wear regimes were identified in the friction coefficient profiles. The profile form and the running-in distance are strongly dependent on the nitriding process. Adhesive and abrasive wear components can be inferred from these friction profiles. Hardness and tribological performance, after the nitriding processes, are discussed in terms of surface microstructure

  1. Variable-temperature sample system for ion implantation at -192 to +5000C

    International Nuclear Information System (INIS)

    Fuller, C.T.

    1978-04-01

    A variable-temperature sample system based on exchange-gas coupling was developed for ion-implantation use. The sample temperature can be controlled from -192 0 C to +500 0 C with rapid cooling. The system also has provisions for focusing and alignment of the ion beam, electron suppression, temperature monitoring, sample current measuring, and cryo-shielding. Design considerations and operating characteristics are discussed. 5 figures

  2. Recent results with a high-current, heavy-ion source system

    International Nuclear Information System (INIS)

    Keller, R.; Spaedtke, P.; Emig, H.

    1986-01-01

    In the last conference of this series, an improved high-current ion source for gases, CORDIS was presented. This source has been further developed to allow the processing of substances which are not volatile at room temperature. One of these modifications, HORDIS, incorporates an oven whereas the third version works at rather moderate temperatures and can be fed through a slightly heated external bottle. With this source system, high-current ion beams in the 100 mA range can be produced for a considerable part of the periodic table. Operation parameters and experiences with the sources are discussed, and the most recent results for all versions are given. (author)

  3. Magnetoreflection studies of ion implanted bismuth

    International Nuclear Information System (INIS)

    Nicolini, C.; Chieu, T.C.; Dresselhaus, M.S.; Massachusetts Inst. of Tech., Cambridge; Dresselhaus, G.

    1982-01-01

    The effect of the implantation of Sb ions on the electronic structure of the semimetal bismuth is studied by the magnetoreflection technique. The results show long electronic mean free paths and large implantation-induced increases in the band overlap and L-point band gap. These effects are opposite to those observed for Bi chemically doped with Sb. (author)

  4. Surface modification of materials by ion implantations for industrial and medical applications. Final report of a co-ordinated research project

    International Nuclear Information System (INIS)

    2000-07-01

    The objectives of the Co-ordinated Research Project on Modification of Materials by Ion Treatment for Industrial Applications were to develop economically acceptable surface modification techniques leading to thick treated layers, to predict ion beam mixing and impurity atom migration during and after implantation, and to evaluate the tribological post-implantation properties and performance of treated components. This TECDOC summarises the current status and prospects in surface modification by ion implantation methodology and technology, providing new information in basic and applied research

  5. Surface modification of materials by ion implantations for industrial and medical applications. Final report of a co-ordinated research project

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-07-01

    The objectives of the Co-ordinated Research Project on Modification of Materials by Ion Treatment for Industrial Applications were to develop economically acceptable surface modification techniques leading to thick treated layers, to predict ion beam mixing and impurity atom migration during and after implantation, and to evaluate the tribological post-implantation properties and performance of treated components. This TECDOC summarises the current status and prospects in surface modification by ion implantation methodology and technology, providing new information in basic and applied research.

  6. Room temperature diamond-like carbon coatings produced by low energy ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Markwitz, A., E-mail: a.markwitz@gns.cri.nz [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Mohr, B.; Leveneur, J. [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand)

    2014-07-15

    Nanometre-smooth diamond-like carbon coatings (DLC) were produced at room temperature with ion implantation using 6 kV C{sub 3}H{sub y}{sup +} ion beams. Ion beam analysis measurements showed that the coatings contain no heavy Z impurities at the level of 100 ppm, have a homogeneous stoichiometry in depth and a hydrogen concentration of typically 25 at.%. High resolution TEM analysis showed high quality and atomically flat amorphous coatings on wafer silicon. Combined TEM and RBS analysis gave a coating density of 3.25 g cm{sup −3}. Raman spectroscopy was performed to probe for sp{sup 2}/sp{sup 3} bonds in the coatings. The results indicate that low energy ion implantation with 6 kV produces hydrogenated amorphous carbon coatings with a sp{sup 3} content of about 20%. Results highlight the opportunity of developing room temperature DLC coatings with ion beam technology for industrial applications.

  7. Managing peri-implant bone loss: current understanding.

    Science.gov (United States)

    Aljateeli, Manar; Fu, Jia-Hui; Wang, Hom-Lay

    2012-05-01

    With the improved macro- and micro-designs, dental implants enjoy a high survival rate. However, peri-implant bone loss has recently emerged to be the focus of implant therapy. As such, researchers and clinicians are in need of finding predictable techniques to treat peri-implant bone loss and stop its progression. Literature search on the currently available treatment modalities was performed and a brief description of each modality was provided. Numerous techniques have been proposed and none has been shown to be superior and effective in managing peri-implant bone loss. This may be because of the complex of etiological factors acting on the implant-supported prosthesis hence the treatment approach has to be individually tailored. Due to the lack of high-level clinical evidence on the management of peri-implant bone loss, the authors, through a literature review, attempt to suggest a decision tree or guideline, based on sound periodontal surgical principles, to aid clinicians in managing peri-implantitis associated bone loss. © 2011 Wiley Periodicals, Inc.

  8. Magnetic properties of thin films obtained by ion implantation of 3d metals in polyethylene-terephthalate

    International Nuclear Information System (INIS)

    Petukhov, V.Yu.; Ibragimova, M.I.; Khabibullina, N.R; Zheglov, E.P.; Muller, R.

    2002-01-01

    Polymer films containing small metal particles have been attracting particular interest because of their unique properties. Implantation of metal ions in polymers is one of the methods to synthesize metal-polymer nano-composite materials. Ion implantation makes possible the magnetic nano-structures with controlled parameters. Previously, we showed that 3d-metal implantation into numerous polymers (polymethylmethacrylate, phosphorus containing polymethylmethacrylate) resulted in the formation of a composite film consisting of metal nanoparticles buried in an implanted layer. The particles are usually found to be distributed randomly in the surface layer. It has been established that structural peculiarities, phase composition, and magnetic properties of synthesized metal-polymer systems depend on the type of the initial polymer matrix, ion types, as well as conditions of ion implantation. In the present study we have been prepared thin metal-polymer composite films by ion-beam implantation of Fe + and Co + ions in polyethylene terephthalate. The implantation of 40 keV ions at room temperature with doses from 2·10 1 6 to 3·10 17 cm -2 have been performed, with the ion current density not exceeding 10 μA/cm 2 . The magnetic properties have been investigated both by ferromagnetic resonance (FMR) and vibrating sample magnetometry (VSM). FMR spectra were recorded using magnetic radio spectrometer Varian E-12 with frequency of 9.5 GHz at room temperature. The dependencies of FMR spectra on orientation have been measured for all samples. Measurements were carried out for two orientations of the sample, normal direction of the films being either parallel or perpendicular to dc magnetic field. The values of the effective magnetization were calculated from orientation dependencies. Thin ferromagnetic films (TFF) have been shown to form for samples with both implanted ions. For samples implanted with Co + ions, the appearance of FMR lines occurs at doses markedly greater

  9. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M.V. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A.J. [Australian National Univ., Canberra, ACT (Australia); Treglio, J.R.

    1996-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  10. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M V [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A J [Australian National Univ., Canberra, ACT (Australia); Treglio, J R

    1997-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  11. Mechanical response of nitrogen ion implanted NiTi shape memory alloy

    International Nuclear Information System (INIS)

    Kucharski, S.; Levintant-Zayonts, N.; Luckner, J.

    2014-01-01

    Highlights: • The effect of ion implantation process on shape memory alloy was investigated. • In the implantation process both surface layer and bulk material are modified. • The microstructure is modified and superelastic effect is destroyed in surface layer. • The parameters of superelastic phenomena are changed in bulk material. - Abstract: In the paper a change of material (mechanical) parameters of NiTi shape memory alloy subjected to ion implantation treatment is investigated. The spherical indentation tests in micro- and nano-scale and tension test have been performed to study an evolution of local superelastic effect in different volumes of nonimplanted and nitrogen ion implanted NiTi alloy. The differential scanning calorimetry has been applied to measure the change of characteristic temperatures due to ion implantation treatment. The structure of implanted material has been investigated using electron microscopy technique. It has been found that the ion implantation process changes the properties not only in a thin surface layer but also in bulk material. In the layer the pseudoelastic effect is destroyed, and in the substrate is preserved, however its parameters are changed. The characteristic phase transformation temperatures in substrate are also modified

  12. Transport and acceleration of the high-current ion beam in magneto-isolated gap

    International Nuclear Information System (INIS)

    Karas', V.I.; Kornilov, E.A.; Manuilenko, O.V.; Fedorovskaya, O.V.; Tarakanov, V.P.

    2015-01-01

    The possibility of transportation and acceleration of the high-current ion beam in the magneto-isolated gap has been demonstrated. Found the parameters of the system and beams (the magnetic field produced by the coils with opposing currents, the size of the system, and the parameters of the beams), under which the uniform acceleration of the high-current ion beam all along the gap length is realized. It is shown that the quality of the ion beam, during transport and acceleration, at the exit of the gap is acceptable for many technological applications.

  13. Nano-size metallic oxide particle synthesis in Fe-Cr alloys by ion implantation

    Science.gov (United States)

    Zheng, C.; Gentils, A.; Ribis, J.; Borodin, V. A.; Delauche, L.; Arnal, B.

    2017-10-01

    Oxide Dispersion Strengthened (ODS) steels reinforced with metal oxide nanoparticles are advanced structural materials for nuclear and thermonuclear reactors. The understanding of the mechanisms involved in the precipitation of nano-oxides can help in improving mechanical properties of ODS steels, with a strong impact for their commercialization. A perfect tool to study these mechanisms is ion implantation, where various precipitate synthesis parameters are under control. In the framework of this approach, high-purity Fe-10Cr alloy samples were consecutively implanted with Al and O ions at room temperature and demonstrated a number of unexpected features. For example, oxide particles of a few nm in diameter could be identified in the samples already after ion implantation at room temperature. This is very unusual for ion beam synthesis, which commonly requires post-implantation high-temperature annealing to launch precipitation. The observed particles were composed of aluminium and oxygen, but additionally contained one of the matrix elements (chromium). The crystal structure of aluminium oxide compound corresponds to non-equilibrium cubic γ-Al2O3 phase rather than to more common corundum. The obtained experimental results together with the existing literature data give insight into the physical mechanisms involved in the precipitation of nano-oxides in ODS alloys.

  14. Nanostructure and Properties of Corrosion Resistance in C+Ti Multi-Ion-Implanted Steel

    Institute of Scientific and Technical Information of China (English)

    张通和; 吴瑜光; 刘安东; 张旭; 王晓妍

    2003-01-01

    The corrosion and pitting corrosion resistance of C+ Ti dual and C+Ti+C ternary implanted H13 steel were studied by using a multi-sweep cyclic voltammetry and a scanning electron microscope. The effects of phase formation on corrosion and pitting corrosion resistance were explored. The x-ray diffraction analysis shows that the nanometer-sized precipitate phases consist of compounds of Fe2 Ti, TiC, Fe2C and Fe3 C in dual implanted layer and even in ternary implanted layer. The passivation layer consists of these nanometer phases. It has been found that the corrosion and pitting corrosion resistance of dual and ternary implanted H13 steel are improved extremely. The corrosion resistance of ternary implanted layer is better than that of dual implantations and is enhanced with the increasing ion dose. When the ion dose of Ti is 6 × 1017/cm2 in the ternary implantation sample, the anodic peak current density is 95 times less than that of the H13 steel. The pitting corrosion potential of dual and ternary implantation samples is in the range from 55mV to 160mV which is much higher than that of the H13 steel. The phases against the corrosion and pitting corrosion are nanometer silkiness phases.

  15. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W.; Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X.; Brown, I.G. [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1993-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  16. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W; Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X; Brown, I G [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1994-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  17. Synergistic effects of iodine and silver ions co-implanted in 6H–SiC

    International Nuclear Information System (INIS)

    Kuhudzai, R.J.; Malherbe, J.B.; Hlatshwayo, T.T.; Berg, N.G. van der; Devaraj, A.; Zhu, Z.; Nandasiri, M.

    2015-01-01

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H–SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H–SiC and their subsequent annealing behaviour has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag in the co-implanted samples after annealing at 1500 °C for 30 h in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H–SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings. - Highlights: • Co-implantation of Ag and I ions in 6H–SiC was performed. • Clear spatial association of Ag and I clusters observed after annealing. • Complete loss of Ag after high temperature annealing of silver only sample. • Iodine was retained in iodine only sample after high temperature annealing. • Iodine was found to play a role in the retention of Ag in the co-implanted samples.

  18. Damage accumulation in ceramics during ion implantation

    International Nuclear Information System (INIS)

    McHargue, C.J.; Farlow, G.C.; Begun, G.M.; Williams, J.M.; White, C.W.; Appleton, B.R.; Sklad, P.S.; Angelini, P.

    1985-01-01

    The damage structures of α-Al 2 O 3 and α-SiC were examined as functions of ion implantation parameters using Rutherford backscattering-channeling, analytical electron microscopy, and Raman spectroscopy. Low temperatures or high fluences of cations favor formation of the amorphous state. At 300 0 K, mass of the bombarding species has only a small effect on residual damage, but certain ion species appear to stabilize the damage microstructure and increase the rate of approach to the amorphous state. The type of chemical bonding present in the host lattice is an important factor in determining the residual damage state

  19. Dose measurement of ion implanted silicon by RBS technique

    International Nuclear Information System (INIS)

    Kamawanna, Teerasak; Intarasiri, Saweat; Prapunsri, Chowunchun; Thongleurm, Chome; Maleepatra, Saenee; Singkarat, Somsorn

    2003-10-01

    Surface modification can be achieved by ion implantation. This study used a 1 mm thick silicon wafer as a target which was implanted with Ar+ at 80 keV. The degree of the modification depends on both the ion energy and the implanted dose. The distribution of argon in the silicon substrate and the absolute implanted dose can be measured by using Rutherford Backscattering Spectrometry (RBS). These investigations utilized a 1.7 MV Tandetron accelerator system at Chiang Mai University. The dose determination by a direct calculation is in agreement with the simulation by the SIMNRA code

  20. Mechanical properties of ion implanted ceramic surfaces

    International Nuclear Information System (INIS)

    Burnett, P.J.

    1985-01-01

    This thesis investigates the mechanisms by which ion implantation can affect those surface mechanical properties of ceramics relevant to their tribological behaviour, specifically hardness and indentation fracture. A range of model materials (including single crystal Si, SiC, A1 2 0 3 , Mg0 and soda-lime-silica glass) have been implanted with a variety of ion species and at a range of ion energies. Significant changes have been found in both low-load microhardness and indentation fracture behaviour. The changes in hardness have been correlated with the evolution of an increasingly damaged and eventually amorphous thin surface layer together with the operation of radiation-, solid-solution- and precipitation-hardening mechanisms. Compressive surface stresses have been shown to be responsible for the observed changes in identation fracture behaviour. In addition, the levels of surface stress present have been correlated with the structure of the surface layer and a simple quantitative model proposed to explain the observed stress-relief upon amorphisation. Finally, the effects of ion implantation upon a range of polycrystalline ceramic materials has been investigated and the observed properties modifications compared and contrasted to those found for the model single crystal materials. (author)

  1. High charge state metal ion production in vacuum arc ion sources

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1994-01-01

    The vacuum arc is a rich source of highly ionized metal plasma that can be used to make a high current metal ion source. Vacuum arc ion sources have been developed for a range of applications including ion implantation for materials surface modification, particle accelerator injection for fundamental nuclear physics research, and other fundamental and applied purposes. Typically the source is repetitively pulsed with pulse length of order a millisecond and duty cycle or order 1% and operation of a dc embodiment has been demonstrated also. Beams have been produced from over 50 of the solid metals of the periodic table, with mean ion energy up to several hundred keV and with peak (pulsed) beam current up to several amperes. The ion charge state distribution has been extensively studied. Ion spectra have been measured for a wide range of metallic cathode materials, including Li, C, Mg, Al, Si, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge, Sr, Y, Zr, Nb, Mo, Pd, Ag, Cd, In, Sn, Sb, Ba, La, Ce, Pr, Nd, Sm, Gd, Dy, Ho, Er, Tm, Yb, Hf, Ta, W, Ir, Pt, Au, Pb, Bi, Th and U, as well as compound and alloy cathode materials such as TiC, SiC, UC, PbS, brass, and stainless steel. The ions generated are in general multiply-stripped with a mean charge state of from 1 to 3, depending on the particular metal species, and the charge state distribution can have components from Q = 1+ to 6+. Here the authors review the characteristics of vacuum arc ion sources from the perspective of their high charge state metal ion production

  2. Radiation effects in ion implanted β-Ga_2O_3

    International Nuclear Information System (INIS)

    Wendler, E.; Treiber, E.; Baldauf, J.; Wolf, S.; Ronning, C.; Kuramata, A.

    2015-01-01

    Ion implantation induced effects are studied in β-Ga_2O_3 at room temperature. The main technique applied is Rutherford backscattering spectrometry in channelling configuration (RBS) using He ions. Additionally, selected samples were investigated by optical spectroscopy and transmission electron microscopy (TEM). For the implanted P, Ar or Sn ions clear damage peaks are visible in the RBS spectra. The concentration of displaced lattice atoms in the maximum of the distribution (as deduced from the channelling spectra) increases almost continuously up to a saturation value of about 90% with increasing ion fluence. Once this level is reached in the maximum of the distribution, during further implantation a broadening of the distribution occurs with the concentration remaining at this level. RBS measurements performed with different energy of the analysing He ions reveal that the damage produced is characterized by randomly distributed lattice atoms. This indicates point defects, point defect complexes or amorphous zones. As the channelling spectra of the implanted layers do not reach the random level, complete amorphisation can be excluded. Furthermore, the applied optical techniques do not exhibit significant changes in comparison to the signal measured for the unimplanted sample even though these studies were performed for the highest ion fluences implanted. Cross sectional TEM confirms this result. The diffraction pattern shows clear spots as for the unimplanted material and extended defects are almost not visible. The β-Ga_2O_3 layers ion implanted at room temperature contain mainly point defects the strong influence of which on the dechannelling of the He ions in the RBS analysis is not yet understood. (authors)

  3. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  4. Surface modification of multi-point cutting tools using ion implantation

    International Nuclear Information System (INIS)

    Sarwar, M.; Ahmed, W.; Ahmed, M.

    1995-01-01

    Ion-implantation has been used to treat multi-point cutting tools using a 'systems approach' in order to improve the performance of these tools in dynamic cutting conditions. The effects of energy, species and system pressure on life and performance of circular saws have been investigated. For both nitrogen and argon ion-implantation an improvement in cutting performance has been observed as compared to untreated edges. As the energy of the nitrogen ions is increased there is a gradual improvement in the performance of the cutting edge. Ion-implanted tools were compared to those coated with TiN and these results are also presented. (author) 5 figs

  5. High-fluence implantation in insulators. 1

    International Nuclear Information System (INIS)

    Mazzoldi, P.

    1989-01-01

    The defects which can be formed by ion implantation depend upon the insulator structure and composition. Thus, for glasses and ceramics, different changes are expected in mechanical and tribological properties, network dilatation, induced optical absorption and luminescence, compositional changes and modifications in the chemical behaviour. The modifications induced by ion implantation in the composition of glasses, with particular reference to alkali silicate glasses, the mechanical and tribological properties of ion implanted insulators, in particular glasses and ceramics, and the optical properties are discussed. 56 refs.; 20 figs

  6. Heavy-Ion Injector for the High Current Experiment

    Science.gov (United States)

    Bieniosek, F. M.; Henestroza, E.; Kwan, J. W.; Prost, L.; Seidl, P.

    2001-10-01

    We report on progress in development of the Heavy-Ion Injector at LBNL, which is being prepared for use as an injector for the High Current Experiment (HCX). It is composed of a 10-cm-diameter surface ionization source, an extraction diode, and an electrostatic quadrupole (ESQ) accelerator, with a typical operating current of 0.6 A of potassium ions at 1.8 MeV, and a beam pulse length of 4.5 microsecs. We have improved the Injector equipment and diagnostics, and have characterized the source emission and radial beam profiles at the diode and ESQ regions. We find improved agreement with EGUN predictions, and improved compatibility with the downstream matching section. Plans are to attach the matching section and the initial ESQ transport section of HCX. Results will be presented and compared with EGUN and WARP simulations.

  7. Modulation of the sound press level by the treatment of polymer diaphragms through ion implantation method

    International Nuclear Information System (INIS)

    Yeo, Sunmog; Park, Jaewon; Lee, Hojae

    2010-01-01

    We present two different surface modification treatments, an ion implantation, and an ion beam mixing, and show that the surface modifications caused by these treatments are useful tools to modulate the sound press level. The ion implantations on various polymer diaphragms cause an increase in the resonant frequency so that the sound press level is lowered at low frequencies. On the contrary, a Cu or Fe 2 O 3 coating by using an ion beam mixing method causes a decrease in the resonant frequency, resulting in a high sound press level at low frequencies. We discuss the physical reasons for the change in the sound press level due to the ion-implantation methods.

  8. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  9. Studying of ion implantation effect on the biology in China

    International Nuclear Information System (INIS)

    Yu Zengliang

    1993-04-01

    Since low energy ion effect on the biology was observed, the ion implantation as a new mutagenic source has been widely used in improving crops and modifying microbes in China. The basic phenomenon of ion implantation effect on the biology and analytical results are reported, and the examples of its application and its further development are shown

  10. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  11. Ion Implantation in Ge: Structural and electrical investigation of the induced lattice damage & Study of the lattice location of implanted impurities

    CERN Document Server

    Decoster, Stefan; Wahl, Ulrich

    The past two decades, germanium has drawn international attention as one of the most promising materials to replace silicon in semiconductor applications. Due to important advantages with respect to Si, such as the increased electron and hole mobility, Ge is well on its way to become an important material in future high-speed integrated circuits. Although the interest in this elemental group IV semiconductor is increasing rapidly nowadays, the number of publications about this material is still relatively scarce, especially when compared to Si. The most widely used technique to dope semiconductors is ion implantation, due to its good control of the dopant concentration and profile, and the isotopic purity of the implanted species. However, there is a major lack of knowledge of the fundamental properties of ion implantation in Ge, which has triggered the research presented in this thesis. One of the most important and generally unwanted properties of ion implantation is the creation of damage to the crystal la...

  12. Study of ion implantation in grown layers of multilayer coatings under ion-plasma vacuum deposition

    International Nuclear Information System (INIS)

    Voevodin, A.A.; Erokhin, A.L.

    1993-01-01

    The model of ion implantation into growing layers of a multilayer coating produced with vacuum ion-plasma deposition was developed. The model takes into account a possibility for ions to pass through the growing layer and alloys to find the distribution of implanted atoms over the coating thickness. The experimental vitrification of the model was carried out on deposition of Ti and TiN coatings

  13. The formation of magnetic silicide Fe{sub 3}Si clusters during ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Balakirev, N. [Kazan National Research Technological University, K.Marx st. 68, Kazan 420015 (Russian Federation); Zhikharev, V., E-mail: valzhik@mail.ru [Kazan National Research Technological University, K.Marx st. 68, Kazan 420015 (Russian Federation); Gumarov, G. [Zavoiskii Physico-Technical Institute of Russian Academy of Sciences, 10/7 Sibirskii trakt st., Kazan 420029 (Russian Federation)

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe{sub 3}Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  14. Beam brilliance investigation of high current ion beams at GSI heavy ion accelerator facility.

    Science.gov (United States)

    Adonin, A A; Hollinger, R

    2014-02-01

    In this work the emittance measurements of high current Ta-beam provided by VARIS (Vacuum Arc Ion Source) ion source are presented. Beam brilliance as a function of beam aperture at various extraction conditions is investigated. Influence of electrostatic ion beam compression in post acceleration gap on the beam quality is discussed. Use of different extraction systems (single aperture, 7 holes, and 13 holes) in order to achieve more peaked beam core is considered. The possible ways to increase the beam brilliance are discussed.

  15. Mechanical properties of ion-implanted alumina

    International Nuclear Information System (INIS)

    Pope, S.G.

    1988-01-01

    Monolithic oxide ceramics are being proposed as structural materials in continuously more-demanding applications. The demands being placed on these materials have caused concern pertaining to the continued growth of oxide structural ceramics due to limited toughness. The realization that ceramic strength and toughness can be affected by surface conditions has led to many surface-modification techniques, all striving to improve the mechanical properties of ceramics. Along these lines, the effects of ion implantation as a surface modification technique for improvement of the mechanical properties of alumina were studied. Initially, sapphire samples were implanted with elemental ion species that would produce oxide precipitates within the sapphire surface when annealed in an oxygen-containing atmosphere. Optimum conditions as determined from implantation into sapphire were then used to modify a polycrystalline alumina. Specific modifications in microhardness, indentation fracture toughness and flexure strength are reported for the parameters studied. Microstructure and phase relationships related to modified surfaces properties are also reported

  16. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  17. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  18. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  19. Ion implantation for manufacturing bent and periodically bent crystals

    Energy Technology Data Exchange (ETDEWEB)

    Bellucci, Valerio; Camattari, Riccardo; Guidi, Vincenzo, E-mail: guidi@fe.infn.it; Mazzolari, Andrea; Paternò, Gianfranco [Department of Physics and Earth Sciences, University of Ferrara, Via Saragat 1/c, 44122 Ferrara, Italy and INFN, Section of Ferrara (Italy); Mattei, Giovanni, E-mail: giovanni.mattei@unipd.it; Scian, Carlo [Department of Physics and Astronomy Galileo Galilei, University of Padova, Via Marzolo 8, 35131 Padova (Italy); Lanzoni, Luca [Dipertimento di Economia e Tecnologia, Università degli Studi della Repubblica di San Marino, Salita alla Rocca, 44, 47890 San Marino Città (San Marino)

    2015-08-10

    Ion implantation is proposed to produce self-standing bent monocrystals. A Si sample 0.2 mm thick was bent to a radius of curvature of 10.5 m. The sample curvature was characterized by interferometric measurements; the crystalline quality of the bulk was tested by X-ray diffraction in transmission geometry through synchrotron light at ESRF (Grenoble, France). Dislocations induced by ion implantation affect only a very superficial layer of the sample, namely, the damaged region is confined in a layer 1 μm thick. Finally, an elective application of a deformed crystal through ion implantation is here proposed, i.e., the realization of a crystalline undulator to produce X-ray beams.

  20. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    Science.gov (United States)

    Wu, Guosong; Xu, Ruizhen; Feng, Kai; Wu, Shuilin; Wu, Zhengwei; Sun, Guangyong; Zheng, Gang; Li, Guangyao; Chu, Paul K.

    2012-07-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  1. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    International Nuclear Information System (INIS)

    Wu Guosong; Xu Ruizhen; Feng Kai; Wu Shuilin; Wu Zhengwei; Sun Guangyong; Zheng Gang; Li Guangyao; Chu, Paul K.

    2012-01-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  2. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Pacha-Olivenza, Miguel A.; Calzado-Martín, Alicia; Multigner, Marta; Vera, Carolina; Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M.; González-Carrasco, José Luis; Vilaboa, Nuria

    2014-01-01

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10 16 ions/cm 2 ; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed

  3. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Pacha-Olivenza, Miguel A. [CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); Calzado-Martín, Alicia [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Multigner, Marta [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vera, Carolina [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M. [Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); González-Carrasco, José Luis [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vilaboa, Nuria [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); and others

    2014-08-15

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10{sup 16} ions/cm{sup 2}; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed.

  4. High-current negative hydrogen ion beam production in a cesium-injected multicusp source

    International Nuclear Information System (INIS)

    Takeiri, Y.; Tsumori, K.; Kaneko, O.

    1997-01-01

    A high-current negative hydrogen ion source has been developed, where 16.2 A of the H - current was obtained with a current density of 31 mA/cm 2 . The ion source is a multicusp source with a magnetic filter for negative ion production, and cesium vapor is injected into the arc chamber, leading to enhancement of the negative ion yields. The cesium-injection effects are discussed, based on the experimental observations. Although the surface production of the negative ions on the cesium-covered plasma grid is thought to be a dominant mechanism of the H - current enhancement, the cesium effects in the plasma volume, such as the cesium ionization and the electron cooling, are observed, and could contribute to the improved operation of the negative ion source. (author)

  5. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of the polyethylene (PE) after its modification by ion implantation. In this way the mechanical, optical, magnetic and electric characteristics can be changed. Experiments were processed on PE films with 15 μm thickness. For modification of the surface of PE for implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV and with doses from 1·10 13 to 3·10 15 cm +2 were used. The aim of this work was the study of structural changes of modified layer of the PE

  6. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  7. High current pulsed ion inductor accelerator for destruction of radioactive wastes

    Energy Technology Data Exchange (ETDEWEB)

    Korenev, S A; Puzynin, I V; Samojlov, V N; Sissakyan, A N [Joint Institute for Nuclear Research, Dubna (Russian Federation)

    1997-12-31

    A new high-current pulsed linear induction accelerator proposed for application in beam-driven transmutation technologies is described. The accelerator consists of an ion injector, of ion separation and induction accelerating systems, and of an output system for extracting an ion beam into open air. An ion source with explosive ion emission, capable of producing various kinds of ions, is used as an injector. The ion separator exploits a pulsed magnetic system. The induction acceleration structure includes inductors with amorphous iron cores. Imbedded magnetic elements assure the ion beam transport. Main parameters of the accelerator are given in the paper and the design of an ion injector is discussed in more detail. (J.U.). 3 figs., 3 refs.

  8. High current pulsed ion inductor accelerator for destruction of radioactive wastes

    International Nuclear Information System (INIS)

    Korenev, S.A.; Puzynin, I.V.; Samojlov, V.N.; Sissakyan, A.N.

    1996-01-01

    A new high-current pulsed linear induction accelerator proposed for application in beam-driven transmutation technologies is described. The accelerator consists of an ion injector, of ion separation and induction accelerating systems, and of an output system for extracting an ion beam into open air. An ion source with explosive ion emission, capable of producing various kinds of ions, is used as an injector. The ion separator exploits a pulsed magnetic system. The induction acceleration structure includes inductors with amorphous iron cores. Imbedded magnetic elements assure the ion beam transport. Main parameters of the accelerator are given in the paper and the design of an ion injector is discussed in more detail. (J.U.). 3 figs., 3 refs

  9. 2-D analytical modeling of subthreshold current and subthreshold swing for ion-implanted strained-Si double-material double-gate (DMDG) MOSFETs

    Science.gov (United States)

    Goel, Ekta; Singh, Kunal; Singh, Balraj; Kumar, Sanjay; Jit, Satyabrata

    2017-09-01

    In this paper, the subthreshold behavior of ion-implanted strained-Si double-material double-gate (DMDG) MOSFETs has been analyzed by means of subthreshold current and subthreshold swing. The surface potential based formulation of subthreshold current and subthreshold swing is done by solving the 2-D Poisson's equations in the channel region using parabolic approximation method. The dependence of subthreshold characteristics on various device parameters such as gate length ratio, Ge mole fraction, peak doping concentration, projected range, straggle parameter etc. has been studied. The modeling results are found to be well matched with the simulation data obtained by a 2-D device simulator, ATLAS™, from SILVACO.

  10. Single ion implantation for single donor devices using Geiger mode detectors

    International Nuclear Information System (INIS)

    Bielejec, E; Seamons, J A; Carroll, M S

    2010-01-01

    Electronic devices that are designed to use the properties of single atoms such as donors or defects have become a reality with recent demonstrations of donor spectroscopy, single photon emission sources, and magnetic imaging using defect centers in diamond. Ion implantation, an industry standard for atom placement in materials, requires augmentation for single ion capability including a method for detecting a single ion arrival. Integrating single ion detection techniques with the single donor device construction region allows single ion arrival to be assured. Improving detector sensitivity is linked to improving control over the straggle of the ion as well as providing more flexibility in lay-out integration with the active region of the single donor device construction zone by allowing ion sensing at potentially greater distances. Using a remotely located passively gated single ion Geiger mode avalanche diode (SIGMA) detector we have demonstrated 100% detection efficiency at a distance of >75 μm from the center of the collecting junction. This detection efficiency is achieved with sensitivity to ∼600 or fewer electron-hole pairs produced by the implanted ion. Ion detectors with this sensitivity and integrated with a thin dielectric, for example a 5 nm gate oxide, using low energy Sb implantation would have an end of range straggle of -1 and 10 -4 for operation temperatures of ∼300 K and ∼77 K, respectively. Low temperature operation and reduced false, 'dark', counts are critical to achieving high confidence in single ion arrival. For the device performance in this work, the confidence is calculated as a probability of >98% for counting one and only one ion for a false count probability of 10 -4 at an average ion number per gated window of 0.015.

  11. Effects of cesium ion-implantation on mechanical and electrical properties of organosilicate low-k films

    Energy Technology Data Exchange (ETDEWEB)

    Li, W.; Pei, D.; Guo, X.; Cheng, M. K.; Lee, S.; Shohet, J. L. [Plasma Processing and Technology Laboratory, Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Lin, Q. [IBM T.J. Watson Research Center, Yorktown Heights, New York 10598 (United States); King, S. W. [Intel Corporation, Hillsboro, Oregon 97124 (United States)

    2016-05-16

    The effects of cesium (Cs) ion-implantation on uncured plasma-enhanced chemical-vapor-deposited organosilicate low dielectric constant (low-k) (SiCOH) films have been investigated and compared with an ultraviolet (UV) cured film. The mechanical properties, including the elastic modulus and hardness, of the SiCOH low-k films are improved by up to 30% with Cs implantation, and further up to 52% after annealing at 400 °C in a N{sub 2} ambient for 1 h. These improvements are either comparable to or better than the effects of UV-curing. They are attributed to an enhancement of the Si-O-Si network structure. The k-value of the SiCOH films increased slightly after Cs implantation, and increased further after annealing. These increases are attributed to two carbon-loss mechanisms, i.e., the carbon loss due to Si-CH{sub 3} bond breakage from implanted Cs ions, and the carbon loss due to oxidation during the annealing. The time-zero dielectric breakdown strength was improved after the Cs implantation and the annealing, and was better than the UV-cured sample. These results indicate that Cs ion implantation could be a supplement to or a substitution for the currently used UV curing method for processing SiCOH low-k films.

  12. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  13. High-current pulsed ion source for metallic ions

    International Nuclear Information System (INIS)

    Gavin, B.; Abbott, S.; MacGill, R.; Sorensen, R.; Staples, J.; Thatcher, R.

    1981-03-01

    A new sputter-ion PIG source and magnet system, optimized for intermediate charge states, q/A of 0.02 to 0.03, is described. This source will be used with the new Wideroe-based injector for the SuperHILAC. Pulsed electrical currents of several emA of heavy metal ions have been produced in a normalized emittance area of .05π cm-mr. The source system is comprised of two electrically separate anode chambers, one in operation and one spare, which can be selected by remote control. The entire source head is small and quickly removable

  14. Plasma source ion implantation research at southwestern institute of physics

    International Nuclear Information System (INIS)

    Shang Zhenkui; Geng Man; Tong Honghui

    1997-10-01

    The PSII-EX device and PSII-IM device for research and development of plasma source ion implantation (PSII) technology are described briefly. The functions, main technical specifications and properties of the devices are also discussed. After ion implantation by PSII, the improvements of the surface-mechanical properties (such as microhardness, wear-resistance, friction factor, biological compatibility, etc) for some materials, microanalysis and numerical simulation of modified layers of materials, the technical developments for the practical workpiece treatments and the preliminary experiments for plasma source ion implantation-enhanced deposition are introduced too. As last, the future work about PSII have been proposed

  15. Ion implantation as a method of studying inhomogeneities in superconductors: results for indium films with embedded helium particles

    International Nuclear Information System (INIS)

    Fogel, N.Ya.; Moshenski, A.A.; Dmitrenko, I.M.

    1978-01-01

    The paper considers the applicability of ion implantation into superconductors to investigate inhomogeneity effects on their macroscopic properties. Noble-gas-ion implantation into thin superconducting films is shown to be a unique means of systematically studying these effects in a single sample. Data demonstrating the effect of inhomogeneities on the critical current, Isub(c) in the mixed state and phase-transition smearing in He + -ion-irradiated indium films are presented. First, experimental evidence was obtained to support the Larkin-Ovchinnikov theory which relates Isub(c) and the phase-transition smearing to inhomogeneities of the electron-electron interaction constant g(r) and the electron mean free path (r). Results are presented for parallel critical field anomalies in He-implanted indium films which are due to an implantation-induced anisotropy of xi(t). Changes in the critical parameters for the film resulting from the implantation are compared to structural changes. (Auth.)

  16. In-Situ Photoexcitation-Induced Suppression of Point Defect Generation in Ion Implanted Silicon

    International Nuclear Information System (INIS)

    Cho, C.R.; Rozgonyi, G.A.; Yarykin, N.; Zuhr, R.A.

    1999-01-01

    The formation of vacancy-related defects in n-type silicon has been studied immediately after implantation of He, Si, or Ge ions at 85 K using in-situ DLTS. A-center concentrations in He-implanted samples reach a maximum immediately after implantation, whereas, with Si or Ge ion implanted samples they continuously increase during subsequent anneals. It is proposed that defect clusters, which emit vacancies during anneals, are generated in the collision cascades of Si or Ge ions. An illumination-induced suppression of A-center formation is seen immediately after implantation of He ions at 85 K. This effect is also observed with Si or Ge ions, but only after annealing. The suppression of vacancy complex formation via photoexcitation is believed to occur due to an enhanced recombination of defects during ion implantation, and results in reduced number of vacancies remaining in the defect clusters. In p-type silicon, a reduction in K-center formation and an enhanced migration of defects are concurrently observed in the illuminated sample implanted with Si ions. These observations are consistent with a model where the injection of excess carriers modifies the defect charge state and impacts their diffusion

  17. Dislocation loops in spinel crystals irradiated successively with deep and shallow ion implants

    International Nuclear Information System (INIS)

    Ai, R.X.; Cooper, E.A.; Sickafus, K.E.; Nastasi, M.; Bordes, N.; Ewing, R.C.

    1993-01-01

    This study examines the influence of microstructural defects on irradiation damage accumulation in the oxide spinel. Single crystals of the compound MgAl 2 O 4 with surface normal [111] were irradiated under cryogenic temperature (100K) either with 50 keV Ne ions (fluence 5.0 x 10 12 /cm 2 ), 400 keV Ne ions (fluence 6.7 x 10 13 /cm 2 ) or successively with 400 keV Ne ions followed by 50 keV Ne ions. The projected range of 50 keV Ne ions in spinel is ∼50 mn (''shallow'') while the projected range of 400 keV Ne ions is ∼500 mn (''deep''). Transmission electron microscopy (TEM) was used to examine dislocation loops/defect clusters formed by the implantation process. Measurements of the dislocation loop size were made using weak-beam imaging technique on cross-sectional TEM ion-implanted specimens. Defect clusters were observed in both deep and shallow implanted specimens, while dislocation loops were observed in the shallow implanted sample that was previously irradiated by 400 keV Ne ions. Cluster size was seen to increase for shallow implants in crystals irradiated with a deep implant (size ∼8.5 nm) as compared to crystals treated only to a shallow implant (size ∼3.1 nm)

  18. Analysis of metal ion release from biomedical implants

    Directory of Open Access Journals (Sweden)

    Ivana Dimić

    2013-06-01

    Full Text Available Metallic biomaterials are commonly used for fixation or replacement of damaged bones in the human body due to their good combination of mechanical properties. The disadvantage of metals as implant materials is their susceptibility to corrosion and metal ion release, which can cause serious health problems. In certain concentrations metals and metal ions are toxic and their presence can cause diverse inflammatory reactions, genetic mutations or even cancer. In this paper, different approaches to metal ion release examination, from biometallic materials sample preparation to research results interpretation, will be presented. An overview of the analytical techniques, used for determination of the type and concentration of released ions from implants in simulated biofluids, is also given in the paper.

  19. Characterization of ion-implanted aluminum and iron by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Brodkin, J.S.; Franzen, W.; Culbertson, R.J.

    1990-01-01

    The change in the optical constants of aluminum alloy and iron samples caused by implantation with nitrogen and chromium ions has been investigated by spectroscopic ellipsometry. The objective is to develop a method for simple, non-destructive characterization of ion-implanted metals. 5 refs., 6 figs

  20. Effect of ion implantation on thermal shock resistance of magnesia and glass

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Williams, J.S.; Watt, A.J.

    1995-01-01

    Monocrystals of magnesia together with glass samples have been subjected to ion implantation prior to thermal shock testing in an impulse plasma of continuously varied intensity. Measurements of the separation between fragments have been used to estimate the surface temperature. Fracture and deformation characteristics of the surface layer are measured in ion implanted and unimplanted samples using optical and scanning electron microscopy. Implantation-induced near-surface damage is analysed by ion channeling using 2 MeV He + ions. Ion implantation is shown to modify the near-surface structure of magnesia samples by introducing damage, which makes crack initiation easier under thermal stresses. The fracture threshold and maximum crack density are shifted towards the lower temperature range. Ion implanted MgO crystals show a ten fold increase in surface crack density. An increased crack density results in a decreased degree of damage characterised by the depth of crack penetration. The thermal stress resistance parameter of glass samples is increased at relatively small doses and decreased at higher doses. The results suggest that crack density and the degree of fracture damage in brittle ceramics operating under thermal shock conditions can be effectively controlled by ion implantation which provides crack initiating defects in the near-surface region. 23 refs., 7 figs