WorldWideScience

Sample records for high temperature epitaxy

  1. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    Science.gov (United States)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  2. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    Science.gov (United States)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  3. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Sandstrom, R.L.; Giess, E.A.; Gallagher, W.J.; Segmueller, A.; Cooper, E.I.; Chisholm, M.F.; Gupta, A.; Shinde, S.; Laibowitz, R.B.

    1988-01-01

    We demonstrate that lanthanum gallate (LaGaO 3 ) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa 2 Cu 3 O/sub 7-//sub x/, can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant (ε≅25) and low dielectric losses. Epitaxial YBa 2 Cu 3 O/sub 7-//sub x/ films grown on LaGaO 3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K

  4. Catastrophic degradation of the interface of epitaxial silicon carbide on silicon at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Pradeepkumar, Aiswarya; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca [Queensland Micro and Nanotechnology Centre and Environmental Futures Research Institute, Griffith University, Nathan QLD 4111 (Australia); Boeckl, John J. [Materials and Manufacturing Directorate, Air Force Research Laboratories, Wright-Patterson Air Force Base, Ohio 45433 (United States); Hellerstedt, Jack; Fuhrer, Michael S. [Monash Centre for Atomically Thin Materials, Monash University, Monash, VIC 3800 (Australia)

    2016-07-04

    Epitaxial cubic silicon carbide on silicon is of high potential technological relevance for the integration of a wide range of applications and materials with silicon technologies, such as micro electro mechanical systems, wide-bandgap electronics, and graphene. The hetero-epitaxial system engenders mechanical stresses at least up to a GPa, pressures making it extremely challenging to maintain the integrity of the silicon carbide/silicon interface. In this work, we investigate the stability of said interface and we find that high temperature annealing leads to a loss of integrity. High–resolution transmission electron microscopy analysis shows a morphologically degraded SiC/Si interface, while mechanical stress measurements indicate considerable relaxation of the interfacial stress. From an electrical point of view, the diode behaviour of the initial p-Si/n-SiC junction is catastrophically lost due to considerable inter-diffusion of atoms and charges across the interface upon annealing. Temperature dependent transport measurements confirm a severe electrical shorting of the epitaxial silicon carbide to the underlying substrate, indicating vast predominance of the silicon carriers in lateral transport above 25 K. This finding has crucial consequences on the integration of epitaxial silicon carbide on silicon and its potential applications.

  5. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  6. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  7. Epitaxial YBa2Cu3O7 films on rolled-textured metals for high temperature superconducting applications

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Prouteau, C.

    1998-04-01

    The epitaxial growth of high temperature superconducting (HTS) films on rolled-textured metal represents a viable approach for long-length superconducting tapes. Epitaxial, 0.5 microm thick YBa 2 Cu 3 O 7 (YBCO) films with critical current densities, J c , greater than 1 MA/cm 2 have been realized on rolled-textured (001) Ni tapes with yttria-stabilized zirconia (YSZ)/CeO 2 oxide buffer layers. This paper describes the synthesis using pulsed-laser deposition (PLD) of epitaxial oxide buffer layers on biaxially-textured metal that comprise the so-called rolling-assisted biaxially-textured substrates (RABiTs trademark). The properties of the buffer and YBa 2 Cu 3 O 7 films on rolled-textured Ni are discussed, with emphasis given to the crystallographic and microstructural properties that determine the superconducting properties of these multilayer structures

  8. Magnetic state controllable critical temperature in epitaxial Ho/Nb bilayers

    Directory of Open Access Journals (Sweden)

    Yuanzhou Gu

    2014-04-01

    Full Text Available We study the magnetic properties of Ho thin films with different crystallinity (either epitaxial or non-epitaxial and investigate their proximity effects with Nb thin films. Magnetic measurements show that epitaxial Ho has large anisotropy in two different crystal directions in contrast to non-epitaxial Ho. Transport measurements show that the superconducting transition temperature (Tc of Nb thin films can be significantly suppressed at zero field by epitaxial Ho compared with non-epitaxial Ho. We also demonstrate a direct control over Tc by changing the magnetic states of the epitaxial Ho layer, and attribute the strong proximity effects to exchange interaction.

  9. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  10. Effects of AlN nucleation layers on the growth of AlN films using high temperature hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Balaji, M.; Claudel, A.; Fellmann, V.; Gélard, I.; Blanquet, E.; Boichot, R.; Pierret, A.

    2012-01-01

    Highlights: ► Growth of AlN Nucleation layers and its effect on high temperature AlN films quality were investigated. ► AlN nucleation layers stabilizes the epitaxial growth of AlN and improves the surface morphology of AlN films. ► Increasing growth temperature of AlN NLs as well as AlN films improves the structural quality and limits the formation of cracks. - Abstract: AlN layers were grown on c-plane sapphire substrates with AlN nucleation layers (NLs) using high temperature hydride vapor phase epitaxy (HT-HVPE). Insertion of low temperature NLs, as those typically used in MOVPE process, prior to the high temperature AlN (HT-AlN) layers has been investigated. The NLs surface morphology was studied by atomic force microscopy (AFM) and NLs thickness was measured by X-ray reflectivity. Increasing nucleation layer deposition temperature from 650 to 850 °C has been found to promote the growth of c-oriented epitaxial HT-AlN layers instead of polycrystalline layers. The growth of polycrystalline layers has been related to the formation of dis-oriented crystallites. The density of such disoriented crystallites has been found to decrease while increasing NLs deposition temperature. The HT-AlN layers have been characterized by X-ray diffraction θ − 2θ scan and (0 0 0 2) rocking curve measurement, Raman and photoluminescence spectroscopies, AFM and field emission scanning electron microscopy. Increasing the growth temperature of HT-AlN layers from 1200 to 1400 °C using a NL grown at 850 °C improves the structural quality as well as the surface morphology. As a matter of fact, full-width at half-maximum (FWHM) of 0 0 0 2 reflections was improved from 1900 to 864 arcsec for 1200 °C and 1400 °C, respectively. Related RMS roughness also found to decrease from 10 to 5.6 nm.

  11. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  12. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  13. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  14. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  15. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  16. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  17. Microwave impedance of epitaxial high-temperature superconductor films

    International Nuclear Information System (INIS)

    Melkov, G.A.; Malyshev, V.Yu.; Bagada, A.V.

    1995-01-01

    In the 3 cm band dependences of the epitaxial HTS film surface resistance on the magnitude of ac and dc magnetic fields have been measured. YBa 2 Cu 3 O 7-σ films on sapphire were investigated. It was established that alternating magnetic field produces a stronger impact on the surface resistance than dc field. To explain experimental results the assumption is made that a HTS film is not an ideal superconductor and consists of series-connected sections of various types: sections of an ideal superconductor, sections of low and large resistance intragranular Josephson junctions, shunted by the ideal superconductor, and finally, sections of intergranular Josephson junctions few for epitaxial films. In these conditions the dependences of the surface resistance on dc magnetic field are caused by Abrikosov's vortices moving in ideal superconductive sections, and dependences on the amplitude of ac magnetic field are caused by switching of large resistance junctions to a low resistance state

  18. Growth mechanisms of plasma-assisted molecular beam epitaxy of green emission InGaN/GaN single quantum wells at high growth temperatures

    International Nuclear Information System (INIS)

    Yang, W. C.; Wu, C. H.; Tseng, Y. T.; Chiu, S. Y.; Cheng, K. Y.

    2015-01-01

    The results of the growth of thin (∼3 nm) InGaN/GaN single quantum wells (SQWs) with emission wavelengths in the green region by plasma-assisted molecular beam epitaxy are present. An improved two-step growth method using a high growth temperature up to 650 °C is developed to increase the In content of the InGaN SQW to 30% while maintaining a strong luminescence intensity near a wavelength of 506 nm. The indium composition in InGaN/GaN SQW grown under group-III-rich condition increases with increasing growth temperature following the growth model of liquid phase epitaxy. Further increase in the growth temperature to 670 °C does not improve the photoluminescence property of the material due to rapid loss of indium from the surface and, under certain growth conditions, the onset of phase separation

  19. Nucleation of two-dimensional islands on Si (111) during high-temperature epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Sitnikov, S. V., E-mail: sitnikov@isp.nsc.ru; Kosolobov, S. S.; Latyshev, A. V. [Russian Academy of Sciences, Institute of Semiconductor Physics, Siberian Branch (Russian Federation)

    2017-02-15

    The process of two-dimensional island nucleation at the surface of ultra large Si (111) during hightemperature epitaxial growth is studied by in situ ultrahigh-vacuum reflection electron microscopy. The critical terrace size D{sub crit}, at which a two-dimensional island is nucleated in the center, is measured in the temperature range 900–1180°C at different silicon fluxes onto the surface. It is found that the parameter D{sub crit}{sup 2} is a power function of the frequency of island nucleation, with the exponent χ = 0.9 ± 0.05 in the entire temperature range under study. It is established that the kinetics of nucleus formation is defined by the diffusion of adsorbed silicon atoms at temperatures of up to 1180°C and the minimum critical nucleus size corresponds to 12 silicon atoms.

  20. High-temperature operation of self-assembled GaInNAs/GaAsN quantum-dot lasers grown by solid-source molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Liu, C.Y.; Yoon, S.F.; Sun, Z.Z.; Yew, K.C.

    2006-01-01

    Self-assembled GaInNAs/GaAsN single layer quantum-dot (QD) lasers grown using solid-source molecular-beam epitaxy have been fabricated and characterized. Temperature-dependent measurements have been carried out on the GaInNAs QD lasers. The lowest obtained threshold current density in this work is ∼1.05 kA/cm 2 from a GaInNAs QD laser (50x1700 μm 2 ) at 10 deg. C. High-temperature operation up to 65 deg. C was also demonstrated from an unbonded GaInNAs QD laser (50x1060 μm 2 ), with high characteristic temperature of 79.4 K in the temperature range of 10-60 deg. C

  1. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  2. Epitaxial growth of high temperature superconductors by cathodic sputtering I: thin films of YBaCuO

    International Nuclear Information System (INIS)

    Navacerrada, M.A.; Sefrioui, Z.; Arias, D.; Varela, M.; Loos, G.; Leon, C.; Lucia, M.L.; Santamaria, J.; Sanchez-Quesada, F.

    1998-01-01

    High quality c-oriented YBa 2 Cu 3 O 7 -x thin films have been grown on SrTiO 3 (100)substrates by high pressure sputtering in pure oxygen atmosphere. Low angle X-ray diffraction and atomic force microscopy were performed on films less than 250 angstrom thick showing a plenitude better than one unit cell. Moreover, the structural characterization by means of X ray φ scans showed that growth is epitaxial. The critical temperature has been measured by different ways and was always in the range 89.5-90.5K. the resistance transition is sharper than 1K and the mutual inductance response always shows magnetic losses peaks narrower than 0.3K. Critical current densities are in excess of 10''''6 angstrom/cm''''2 at 77K. (Author) 8 refs

  3. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    Science.gov (United States)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  4. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  5. Boron, arsenic and phosphorus dopant incorporation during low temperature low pressure silicon epitaxial growth

    International Nuclear Information System (INIS)

    Borland, J.O.; Thompson, T.; Tagle, V.; Benzing, W.

    1987-01-01

    Submicron silicon epitaxial structures with very abrupt epi/substrate transition widths have been realized through the use of low temperature silicon epitaxial growth techniques. At these low temperature and low pressure epitaxial growth conditions there is minimal, if any, dopant diffusion from the substrate into the epilayer during deposition. The reincorporation of autodoped dopant as well as the incorporation of intentional dopant can be a trade-off at low temperatures and low pressures. For advanced CMOS and Bi-CMOS technologies, five to six orders of magnitude change in concentration levels are desirable. In this investigation, all of the epitaxial depositions were carried out in an AMC-7810 epi-reactor with standard jets for a turbulent mixing system, and using a modified center inject configuration to achieve a single pass laminar flow system. To simulate the reincorporation of various autodoped dopant, the authors ran a controlled dopant flow of 100 sccm for each of the three dopants (boron, phosphorus and arsenic) to achieve the controlled background dopant level in the reactor gas stream

  6. High purity liquid phase epitaxial gallium arsenide nuclear radiation detector

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.

    1991-11-01

    Surface barrier radiation detector made from high purity liquid phase epitaxial gallium arsenide wafers have been operated as X- and γ-ray detectors at various operating temperatures. Low energy isotopes are resolved including 241 Am at 40 deg C. and the higher gamma energies of 235 U at -80 deg C. 15 refs., 1 tab., 6 figs

  7. High resolution x-ray diffraction study of the substrate temperature and thickness dependent microstructure of reactively sputtered epitaxial ZnO films

    KAUST Repository

    Singh, Devendra

    2017-08-24

    Epitaxial ZnO films were grown on c-sapphire by reactive sputtering of zinc target in Ar-O2 mixture. High resolution X-ray diffraction measurements were carried out to obtain lateral and vertical coherence lengths, crystallite tilt and twist, micro-strain and densities of screw and edge dislocations in epilayers of different thickness (25 - 200 nm) and those grown at different temperatures (100 - 500 °C). phgr-scans indicate epitaxial growth in all the cases, although epilayers grown at lower substrate temperatures (100 °C and 200 °C) and those of smaller thickness (25 nm and 50 nm) display inferior microstructural parameters. This is attributed to the dominant presence of initially grown strained 2D layer and subsequent transition to an energetically favorable mode. With increase in substrate temperature, the transition shifts to lower thickness and growth takes place through the formation of 2D platelets with intermediate strain, over which 3D islands grow. Consequently, 100 nm thick epilayers grown at 300 °C display the best microstructural parameters (micro-strain ~1.2 x 10-3, screw and edge dislocation densities ~1.5 x 1010 cm-2 and ~2.3 x 1011 cm-2, respectively). A marginal degradation of microstructural parameters is seen in epilayers grown at higher substrate temperatures, due to the dominance of 3D hillock type growth.

  8. High resolution x-ray diffraction study of the substrate temperature and thickness dependent microstructure of reactively sputtered epitaxial ZnO films

    KAUST Repository

    Singh, Devendra; Kumar, Ravi; Ganguli, Tapas; Major, Syed S

    2017-01-01

    Epitaxial ZnO films were grown on c-sapphire by reactive sputtering of zinc target in Ar-O2 mixture. High resolution X-ray diffraction measurements were carried out to obtain lateral and vertical coherence lengths, crystallite tilt and twist, micro-strain and densities of screw and edge dislocations in epilayers of different thickness (25 - 200 nm) and those grown at different temperatures (100 - 500 °C). phgr-scans indicate epitaxial growth in all the cases, although epilayers grown at lower substrate temperatures (100 °C and 200 °C) and those of smaller thickness (25 nm and 50 nm) display inferior microstructural parameters. This is attributed to the dominant presence of initially grown strained 2D layer and subsequent transition to an energetically favorable mode. With increase in substrate temperature, the transition shifts to lower thickness and growth takes place through the formation of 2D platelets with intermediate strain, over which 3D islands grow. Consequently, 100 nm thick epilayers grown at 300 °C display the best microstructural parameters (micro-strain ~1.2 x 10-3, screw and edge dislocation densities ~1.5 x 1010 cm-2 and ~2.3 x 1011 cm-2, respectively). A marginal degradation of microstructural parameters is seen in epilayers grown at higher substrate temperatures, due to the dominance of 3D hillock type growth.

  9. Seed layer technique for high quality epitaxial manganite films

    Directory of Open Access Journals (Sweden)

    P. Graziosi

    2016-08-01

    Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.

  10. Development of High Temperature/High Sensitivity Novel Chemical Resistive Sensor

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Chunrui [Univ. of Texas, San Antonio, TX (United States); Enriquez, Erik [Univ. of Texas, San Antonio, TX (United States); Wang, Haibing [Univ. of Texas, San Antonio, TX (United States); Xu, Xing [Univ. of Texas, San Antonio, TX (United States); Bao, Shangyong [Univ. of Texas, San Antonio, TX (United States); Collins, Gregory [Univ. of Texas, San Antonio, TX (United States)

    2013-08-13

    The research has been focused to design, fabricate, and develop high temperature/high sensitivity novel multifunctional chemical sensors for the selective detection of fossil energy gases used in power and fuel systems. By systematically studying the physical properties of the LnBaCo2O5+d (LBCO) [Ln=Pr or La] thin-films, a new concept chemical sensor based high temperature chemical resistant change has been developed for the application for the next generation highly efficient and near zero emission power generation technologies. We also discovered that the superfast chemical dynamic behavior and an ultrafast surface exchange kinetics in the highly epitaxial LBCO thin films. Furthermore, our research indicates that hydrogen can superfast diffuse in the ordered oxygen vacancy structures in the highly epitaxial LBCO thin films, which suggest that the LBCO thin film not only can be an excellent candidate for the fabrication of high temperature ultra sensitive chemical sensors and control systems for power and fuel monitoring systems, but also can be an excellent candidate for the low temperature solid oxide fuel cell anode and cathode materials.

  11. High resolution x-ray diffraction analysis of annealed low-temperature gallium arsenide

    Science.gov (United States)

    Matyi, R. J.; Melloch, M. R.; Woodall, J. M.

    1992-05-01

    High resolution x-ray diffraction methods have been used to characterize GaAs grown at low substrate temperatures by molecular beam epitaxy and to examine the effects of post-growth annealing on the structure of the layers. Double crystal rocking curves from the as-deposited epitaxial layer show well-defined interference fringes, indicating a high level of structural perfection despite the presence of excess arsenic. Annealing at temperatures from 700 to 900 °C resulted in a decrease in the perpendicular lattice mismatch between the GaAs grown at low temperature and the substrate from 0.133% to 0.016% and a decrease (but not total elimination) of the visibility of the interference fringes. Triple-crystal diffraction scans around the 004 point in reciprocal space exhibited an increase in the apparent mosaic spread of the epitaxial layer with increasing anneal temperature. The observations are explained in terms of the growth of arsenic precipitates in the epitaxial layer.

  12. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  13. Temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact

    International Nuclear Information System (INIS)

    Hu, C.Y.; Qin, Z.X.; Feng, Z.X.; Chen, Z.Z.; Ding, Z.B.; Yang, Z.J.; Yu, T.J.; Hu, X.D.; Yao, S.D.; Zhang, G.Y.

    2006-01-01

    The temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact were studied with Rutherford backscattering spectroscopy/channeling (RBS/C) and synchrotron X-ray diffraction (XRD). It is found that the Au diffuses to the surface of p-GaN to form an epitaxial structure on p-GaN after annealing at 450 deg. C. At the same time, the O diffuses to the metal-semiconductor interface and forms NiO. Both of them are suggested to be responsible for the sharp decrease in the specific contact resistance (ρ c ) at 450 deg. C. At 500 deg. C, the epitaxial structure of Au develops further and the O also diffuses deeper into the interface. As a result, the ρ c reaches the lowest value at this temperature. However, when annealing temperature reaches 600 deg. C, part or all of the interfacial NiO is detached from the p-GaN and diffuses out, which cause the ρ c to increase greatly

  14. Self-assembled InAs quantum dots formed by molecular beam epitaxy at low temperature and postgrowth annealing

    NARCIS (Netherlands)

    Zhan, H.H.; Nötzel, R.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2003-01-01

    Self-assembled InAs quantum dots are grown at low temperature (LT) by molecular beam epitaxy (MBE) on GaAs substrates. The growth is in situ monitored by reflection high-energy electron diffraction, and ex situ evaluated by atomic force microscopy for the morphological properties, and by

  15. High electron mobility in Ga(In)NAs films grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Miyashita, Naoya; Ahsan, Nazmul; Monirul Islam, Muhammad; Okada, Yoshitaka; Inagaki, Makoto; Yamaguchi, Masafumi

    2012-01-01

    We report the highest mobility values above 2000 cm 2 /Vs in Si doped GaNAs film grown by molecular beam epitaxy. To understand the feature of the origin which limits the electron mobility in GaNAs, temperature dependences of mobility were measured for high mobility GaNAs and referential low mobility GaInNAs. Temperature dependent mobility for high mobility GaNAs is similar to the GaAs case, while that for low mobility GaInNAs shows large decrease in lower temperature region. The electron mobility of high quality GaNAs can be explained by intrinsic limiting factor of random alloy scattering and extrinsic factor of ionized impurity scattering.

  16. Epitaxial growth of higher transition-temperature VO2 films on AlN/Si

    Directory of Open Access Journals (Sweden)

    Tetiana Slusar

    2016-02-01

    Full Text Available We report the epitaxial growth and the mechanism of a higher temperature insulator-to-metal-transition (IMT of vanadium dioxide (VO2 thin films synthesized on aluminum nitride (AlN/Si (111 substrates by a pulsed-laser-deposition method; the IMT temperature is TIMT ≈ 350 K. X-ray diffractometer and high resolution transmission electron microscope data show that the epitaxial relationship of VO2 and AlN is VO2 (010 ‖ AlN (0001 with VO2 [101] ‖   AlN   [ 2 1 ̄ 1 ̄ 0 ] zone axes, which results in a substrate-induced tensile strain along the in-plane a and c axes of the insulating monoclinic VO2. This strain stabilizes the insulating phase of VO2 and raises TIMT for 10 K higher than TIMT single crystal ≈ 340 K in a bulk VO2 single crystal. Near TIMT, a resistance change of about four orders is observed in a thick film of ∼130 nm. The VO2/AlN/Si heterostructures are promising for the development of integrated IMT-Si technology, including thermal switchers, transistors, and other applications.

  17. Low temperature epitaxy of Ge-Sb-Te films on BaF{sub 2} (111) by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Thelander, E., E-mail: erik.thelander@iom-leipzig.de; Gerlach, J. W.; Ross, U.; Lotnyk, A.; Rauschenbach, B. [Leibniz-Institut für Oberflächenmodifizierung e.V., Leipzig 04318 (Germany)

    2014-12-01

    Pulsed laser deposition was employed to deposit epitaxial Ge{sub 2}Sb{sub 2}Te{sub 5}-layers on the (111) plane of BaF{sub 2} single crystal substrates. X-ray diffraction measurements show a process temperature window for epitaxial growth between 85 °C and 295 °C. No crystalline growth is observed for lower temperatures, whereas higher temperatures lead to strong desorption of the film constituents. The films are of hexagonal structure with lattice parameters consistent with existing models. X-ray pole figure measurements reveal that the films grow with one single out-of-plane crystal orientation, but rotational twin domains are present. The out-of-plane epitaxial relationship is determined to be Ge{sub 2}Sb{sub 2}Te{sub 5}(0001) || BaF{sub 2}(111), whereas the in-plane relationship is characterized by two directions, i.e., Ge{sub 2}Sb{sub 2}Te{sub 5} [-12-10] || BaF{sub 2}[1-10] and Ge{sub 2}Sb{sub 2}Te{sub 5}[1-210] || BaF{sub 2}[1-10]. Aberration-corrected high-resolution scanning transmission electron microscopy was used to resolve the local atomic structure and confirm the hexagonal structure of the films.

  18. Self-assembled GaInNAs/GaAsN quantum dot lasers: solid source molecular beam epitaxy growth and high-temperature operation

    Directory of Open Access Journals (Sweden)

    Yoon SF

    2006-01-01

    Full Text Available AbstractSelf-assembled GaInNAs quantum dots (QDs were grown on GaAs (001 substrate using solid-source molecular-beam epitaxy (SSMBE equipped with a radio-frequency nitrogen plasma source. The GaInNAs QD growth characteristics were extensively investigated using atomic-force microscopy (AFM, photoluminescence (PL, and transmission electron microscopy (TEM measurements. Self-assembled GaInNAs/GaAsN single layer QD lasers grown using SSMBE have been fabricated and characterized. The laser worked under continuous wave (CW operation at room temperature (RT with emission wavelength of 1175.86 nm. Temperature-dependent measurements have been carried out on the GaInNAs QD lasers. The lowest obtained threshold current density in this work is ∼1.05 kA/cm2from a GaInNAs QD laser (50 × 1,700 µm2 at 10 °C. High-temperature operation up to 65 °C was demonstrated from an unbonded GaInNAs QD laser (50 × 1,060 µm2, with high characteristic temperature of 79.4 K in the temperature range of 10–60 °C.

  19. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  20. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  1. Low-temperature ballistic transport in nanoscale epitaxial graphene cross junctions

    OpenAIRE

    Weingart, S.; Bock, C.; Kunze, U.; Speck, F.; Seyller, Th.; Ley, L.

    2009-01-01

    We report on the observation of inertial-ballistic transport in nanoscale cross junctions fabricated from epitaxial graphene grown on SiC(0001). Ballistic transport is indicated by a negative bend resistance of R12,43 ~ 170 ohm which is measured in a non-local, four-terminal configuration at 4.2 K and which vanishes as the temperature is increased above 80 K.

  2. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  3. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  4. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy.

    Science.gov (United States)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R

    2014-04-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  5. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R., E-mail: smitha2@ohio.edu [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States)

    2014-04-15

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  6. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R.

    2014-01-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  7. A Hall probe technique for characterizing high-temperature superconductors

    International Nuclear Information System (INIS)

    Zhang, J.; Sheldon, P.; Ahrenkiel, R.K.

    1992-01-01

    Thin-film GaAs Hall probes were fabricated by molecular beam epitaxy technology. A contactless technique was developed to characterize thin-film, high-temperature superconducting (HTSC) materials. The Hall probes detected the ac magnetic flux penetration through the high-temperature superconducting materials. The Hall detector has advantages over the mutual inductance magnetic flux detector

  8. Terahertz-radiation generation in low-temperature InGaAs epitaxial films on (100) and (411) InP substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B., E-mail: galiev-galib@mail.ru [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation); Grekhov, M. M. [National Research Nuclear University “MEPhI” (Russian Federation); Kitaeva, G. Kh. [Moscow State University, Faculty of Physics (Russian Federation); Klimov, E. A.; Klochkov, A. N. [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation); Kolentsova, O. S. [National Research Nuclear University “MEPhI” (Russian Federation); Kornienko, V. V.; Kuznetsov, K. A. [Moscow State University, Faculty of Physics (Russian Federation); Maltsev, P. P.; Pushkarev, S. S. [Russian Academy of Sciences, Institute of Ultra-High Frequency Semiconductor Electronics (Russian Federation)

    2017-03-15

    The spectrum and waveforms of broadband terahertz-radiation pulses generated by low-temperature In{sub 0.53}Ga{sub 0.47}As epitaxial films under femtosecond laser pumping are investigated by terahertz time-resolved spectroscopy. The In{sub 0.53}Ga{sub 0.47}As films are fabricated by molecular-beam epitaxy at a temperature of 200°C under different arsenic pressures on (100)-oriented InP substrates and, for the first time, on (411)A InP substrates. The surface morphology of the samples is studied by atomic-force microscopy and the structural quality is established by high-resolution X-ray diffraction analysis. It is found that the amplitude of terahertz radiation from the LT-InGaAs layers on the (411)A InP substrates exceeds that from similar layers formed on the (100) InP substrates by a factor of 3–5.

  9. Physical-chemical and technological aspects of the preparation of think layers of the high temperature superconductors Bi-Sr-Ca-Cu-O by method of metal organic vapour phase epitaxy

    International Nuclear Information System (INIS)

    Stejskal, J.; Nevriva, M.; Leitner, J.

    1995-01-01

    The method of metal organic vapour phase epitaxy (MO VPE) was used for preparation of think layers of the high temperature superconductors Bi-Sr-Ca-Cu-O. The suitable chemical precursors (β-diketonates) on the literature data and of the own thermodynamic calculations were selected. The optimal thermodynamic data and thermodynamic stability of the prepared samples were determined

  10. The Low Temperature Epitaxy of Strained GeSn Layers Using RTCVD System

    Science.gov (United States)

    Kil, Yeon-Ho; Yuk, Sim-Hoon; Jang, Han-Soo; Lee, Sang-Geul; Choi, Chel-Jong; Shim, Kyu-Hwan

    2018-03-01

    We have investigated the low temperature (LT) growth of GeSn-Ge-Si structures using rapid thermal chemical vapor deposition system utilizing Ge2H6 and SnCl4 as the reactive precursors. Due to inappropriate phenomena, such as, Ge etch and Sn segregation, it was hard to achieve high quality GeSn epitaxy at the temperature > 350 °C. On the contrary, we found that the SnCl4 promoted the reaction of Ge2H6 precursors in a certain process condition of LT, 240-360 °C. In return, we could perform the growth of GeSn epi layer with 7.7% of Sn and its remaining compressive strain of 71.7%. The surface propagated defects were increased with increasing the Sn content in the GeSn layer confirmed by TEM analysis. And we could calculate the activation energies at lower GeSn growth temperature regime using by Ge2H6 and SnCl4 precursors about 0.43 eV.

  11. Absence of low temperature phase transitions and enhancement of ferroelectric transition temperature in highly strained BaTiO{sub 3} epitaxial films grown on MgO Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Satish; Kumar, Dhirendra; Sathe, V. G., E-mail: vasant@csr.res.in [UGC-DAE Consortium for Scientific Research, University Campus, Khandwa Road, Indore 452001 (India); Kumar, Ravi; Sharma, T. K. [Semiconductor Physics and Devices Lab, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-04-07

    Recently, a large enhancement in the ferroelectric transition temperature of several oxides is reported by growing the respective thin films on appropriate substrates. This phenomenon is correlated with high residual strain in thin films often leading to large increase in the tetragonality of their crystal structure. However, such an enhancement of transition temperature is usually limited to very thin films of ∼10 nm thickness. Here, we report growth of fully strained epitaxial thin films of BaTiO{sub 3} of 400 nm thickness, which are coherently grown on MgO substrates by pulsed laser deposition technique. Conventional high resolution x-ray diffraction and also the reciprocal space map measurements confirm that the film is fully strained with in-plane tensile strain of 5.5% that dramatically increases the tetragonality to 1.05. Raman measurements reveal that the tetragonal to cubic structural phase transition is observed at 583 K, which results in an enhancement of ∼200 K. Furthermore, temperature dependent Raman studies on these films corroborate absence of all the low temperature phase transitions. Numerical calculations based on thermodynamical model predict a value of the transition temperature that is greater than 1500 °C. Our experimental results are therefore in clear deviation from the existing strain dependent phase diagrams.

  12. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  13. Low-temperature epitaxy of silicon by electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Gorka, B. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany); Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Sieber, I.; Fenske, F.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2007-07-16

    In this paper we report on homoepitaxial growth of thin Si films at substrate temperatures T{sub s} = 500-650 deg. C under non-ultra-high vacuum conditions by using electron beam evaporation. Si films were grown at high deposition rates on monocrystalline Si wafers with (100), (110) and (111) orientations. The ultra-violet visible reflectance spectra of the films show a dependence on T{sub s} and on the substrate orientation. To determine the structural quality of the films in more detail Secco etch experiments were carried out. No etch pits were found on the films grown on (100) oriented wafers. However, on films grown on (110) and (111) oriented wafers different types of etch pits could be detected. Films were also grown on polycrystalline silicon (poly-Si) seed layers prepared by an Aluminum-Induced Crystallisation (AIC) process on glass substrates. Electron Backscattering Diffraction (EBSD) shows that the film growth proceeds epitaxially on the grains of the seed layer. But a considerably higher density of extended defects is revealed by Secco etch experiments.

  14. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    Science.gov (United States)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  15. Electronic properties of epitaxial 6H silicon carbide

    International Nuclear Information System (INIS)

    Wessels, B.W.; Gatos, H.C.

    1977-01-01

    The electrical conductivity and Hall coefficient were measured in the temperature range from 78 to 900 K for n-type epitaxially grown 6H silicon carbide. A many-valley model of the conduction band was used in the analysis of electron concentration as a function of temperature. From this analysis, the density of states mass to the free electron mass ratio per ellipsoid was calculated to be 0.45. It was estimated that the constant energy surface of the conduction band consists of three ellipsoids. The ionization energy of the shallowest nitrogen donor was found to be 105 meV, when the valley-orbit interaction was taken into account. The electron scattering mechanisms in the epitaxial layers were analyzed and it was shown that the dominant mechanism limiting electron mobility at high temperatures is inter-valley scattering and at low temperatures (200K), impurity and space charge scattering. A value of 360 cm 2 /V sec was calculated for the maximum room temperature Hall mobility expected for electrons in pure 6H SiC. The effect of epitaxial growth temperature on room temperature Hall mobility was also investigated. (author)

  16. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    Science.gov (United States)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  17. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  18. Quantum Nanostructures by Droplet Epitaxy

    OpenAIRE

    Somsak Panyakeow

    2009-01-01

    Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C). Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic...

  19. Effects of substrate temperature and Cu underlayer thickness on the formation of SmCo5(0001) epitaxial thin films

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    SmCo 5 (0001) epitaxial thin films were prepared on Cu(111) underlayers heteroepitaxially grown on Al 2 O 3 (0001) single-crystal substrates by molecular beam epitaxy. The effects of substrate temperature and Cu underlayer thickness on the crystallographic properties of SmCo 5 (0001) epitaxial films were investigated. The Cu atoms of underlayer diffuse into the SmCo 5 film and substitute the Co sites in SmCo 5 structure forming an alloy compound of Sm(Co,Cu) 5 . The ordered phase formation is enhanced with increasing the substrate temperature and with increasing the Cu underlayer thickness. The Cu atom diffusion into the SmCo 5 film is assisting the formation of Sm(Co,Cu) 5 ordered phase.

  20. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  1. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    Science.gov (United States)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  2. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  3. Accompanying growth and room-temperature ferromagnetism of η-Mn3N2 thin films by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yu, Fengmei; Liu, Yajing; Yang, Mei; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2013-01-01

    η-phase manganese nitride films have been grown on LaAlO 3 (100) and LaSrAlO 4 (001) substrates by using plasma-assisted molecular beam epitaxy. On the basis of reflective high energy electron diffraction, X-ray diffraction, and X-ray photoemission spectroscopy, it is confirmed that two types of η-Mn 3 N 2 with different lattice constants coexist in the films due to the lattice mismatches between the Mn 3 N 2 films and the substrates. Magnetic properties of the films were characterized by a superconducting quantum interference device magnetometer at room temperature. The Mn 3 N 2 films on LaAlO 3 substrate were found to have room-temperature ferromagnetism. Two potential interaction mechanisms are proposed regarding the origin of the observed ferromagnetism. - Highlights: ► The films of two types of η-Mn 3 N 2 have been grown by molecular beam epitaxy. ► Mn 3 N 2 A and Mn 3 N 2 B coexisted in the films on LaAlO 3 and LaSrAlO 4 . ► The room-temperature ferromagnetism of the Mn 3 N 2 films on LaAlO 3 was obtained

  4. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  5. Strain induced room temperature ferromagnetism in epitaxial magnesium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Zhenghe; Kim, Ki Wook [Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Nori, Sudhakar; Lee, Yi-Fang; Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Kumar, D. [Department of Mechanical Engineering, North Carolina A & T State University, Greensboro, North Carolina 27411 (United States); Wu, Fan [Princeton Institute for the Science and Technology of Materials (PRISM), Princeton University, Princeton, New Jersey 08540 (United States); Prater, J. T. [Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States)

    2015-10-28

    We report on the epitaxial growth and room-temperature ferromagnetic properties of MgO thin films deposited on hexagonal c-sapphire substrates by pulsed laser deposition. The epitaxial nature of the films has been confirmed by both θ-2θ and φ-scans of X-ray diffraction pattern. Even though bulk MgO is a nonmagnetic insulator, we have found that the MgO films exhibit ferromagnetism and hysteresis loops yielding a maximum saturation magnetization up to 17 emu/cc and large coercivity, H{sub c} = 1200 Oe. We have also found that the saturation magnetization gets enhanced and that the crystallization degraded with decreased growth temperature, suggesting that the origin of our magnetic coupling could be point defects manifested by the strain in the films. X-ray (θ-2θ) diffraction peak shift and strain analysis clearly support the presence of strain in films resulting from the presence of point defects. Based on careful investigations using secondary ion mass spectrometer and X-ray photoelectron spectroscopy studies, we have ruled out the possibility of the presence of any external magnetic impurities. We discuss the critical role of microstructural characteristics and associated strain on the physical properties of the MgO films and establish a correlation between defects and magnetic properties.

  6. Epitaxial growth of new half-metallic ferromagnet 'zinc-blende CrAs' and the substrate temperature dependence

    International Nuclear Information System (INIS)

    Mizuguchi, Masaki; Akinaga, Hiro; Manago, Takashi; Ono, Kanta; Oshima, Masaharu; Shirai, Masafumi

    2002-01-01

    Epitaxial zinc-blende CrAs thin films were grown at two different temperatures. CrAs (2 nm) grown at 200 deg. C formed plateau-shapes, whereas CrAs (2 nm) grown at 300 deg. C formed dispersed dots. The thin film grown at 200 deg. C showed ferromagnetic behavior at room temperature, and the Curie temperature was estimated to be over 400 K

  7. Microwave dynamics of YBCO bi-epitaxial Josephson structures

    DEFF Research Database (Denmark)

    Constantinian, K. Y.; Ovsyannikov, G. A.; Mashtakov, A. D.

    1996-01-01

    The processes of interaction of microwaves (frequency View the MathML source) with a single high-Tc superconducting YBa2Cu3Ox (YBCO) bi-epitaxial grain-boundary junction and with an array of two junctions connected in series, have been investigated experimentally at temperatures T = 4.2− 77 K......, as well as the subharmonic detector response at weak magnetic fields φ microwave field induced frequency synchronization of two series connected bi-epitaxial YBCO junctions....

  8. Electrical properties of single crystal Yttrium Iron Garnet ultra-thin films at high temperatures

    OpenAIRE

    Thiery, Nicolas; Naletov, Vladimir V.; Vila, Laurent; Marty, Alain; Brenac, Ariel; Jacquot, Jean-François; de Loubens, Grégoire; Viret, Michel; Anane, Abdelmadjid; Cros, Vincent; Youssef, Jamal Ben; Demidov, Vladislav E.; Demokritov, Sergej O.; Klein, Olivier

    2017-01-01

    We report a study on the electrical properties of 19 nm thick Yttrium Iron Garnet (YIG) films grown by liquid phase epitaxy. The electrical conductivity and Hall coefficient are measured in the high temperature range [300,400]~K using a Van der Pauw four-point probe technique. We find that the electrical resistivity decreases exponentially with increasing temperature following an activated behavior corresponding to a band-gap of $E_g\\approx 2$ eV, indicating that epitaxial YIG ultra-thin film...

  9. Development of materials for high temperature superconductor Josephson junctions

    International Nuclear Information System (INIS)

    Houlton, R.J.; Reagor, D.W.; Hawley, M.E.; Springer, K.N.; Jia, Q.X.; Mombourquette, C.B.; Garzon, F.H.; Wu, X.D.

    1994-01-01

    We have conducted a systematic optimization of deposition parameters for fabrication of multilayered oxide films to be used in the development of high temperature superconducting SNS Functions. These films were deposited by off-axis sputtering using a custom fabricated multi-gun planar magnetron system. Each material and the various combinations of materials were optimized for epitaxial lattice match, crystal quality, film uniformity, electrical properties, and surface microstructure. In addition to the standard procedures commonly used to sputter deposit epitaxial oxide films, a variety of insitu and exsitu procedures were used to produce high quality multilayer devices, including varying the nucleation temperature from the actual film growth temperature, location of the substrate during the deposition process, constant rotation of the substrate, and timing of the oxygen anneal. The unprocessed films and devices in process were characterized with Atomic Force Microscopy and Scanning Tunneling Microscopy as well as other common materials characterization techniques. Completed multilayer devices were patterned and packaged for electrical characterization. Relation between material properties and electrical characteristics is discussed

  10. Development of materials for high temperature superconductor Josephson junctions

    Energy Technology Data Exchange (ETDEWEB)

    Houlton, R.J.; Reagor, D.W.; Hawley, M.E.; Springer, K.N.; Jia, Q.X.; Mombourquette, C.B.; Garzon, F.H.; Wu, X.D.

    1994-10-01

    We have conducted a systematic optimization of deposition parameters for fabrication of multilayered oxide films to be used in the development of high temperature superconducting SNS Functions. These films were deposited by off-axis sputtering using a custom fabricated multi-gun planar magnetron system. Each material and the various combinations of materials were optimized for epitaxial lattice match, crystal quality, film uniformity, electrical properties, and surface microstructure. In addition to the standard procedures commonly used to sputter deposit epitaxial oxide films, a variety of insitu and exsitu procedures were used to produce high quality multilayer devices, including varying the nucleation temperature from the actual film growth temperature, location of the substrate during the deposition process, constant rotation of the substrate, and timing of the oxygen anneal. The unprocessed films and devices in process were characterized with Atomic Force Microscopy and Scanning Tunneling Microscopy as well as other common materials characterization techniques. Completed multilayer devices were patterned and packaged for electrical characterization. Relation between material properties and electrical characteristics is discussed

  11. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Role of high growth temperature

    Science.gov (United States)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Hwang, Eun-Sook; Imai, Daichi; Itoi, Takaomi

    2016-12-01

    The growth kinetics and properties of nominally 1-ML (monolayer)-thick InN wells on/in +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the effects of growth temperature. Attention was also given to how and where the ˜1-ML-thick InN layers were frozen or embedded on/in the +c-GaN matrix. The D-ALEp of InN on GaN was a two-stage process; in the 1st stage, an "In+N" bilayer/monolayer was formed on the GaN surface, while in the 2nd, this was capped by a GaN barrier layer. Each process was monitored in-situ using spectroscopic ellipsometry. The target growth temperature was above 620 °C and much higher than the upper critical epitaxy temperature of InN (˜500 °C). The "In+N" bilayer/monolayer tended to be an incommensurate phase, and the growth of InN layers was possible only when they were capped with a GaN layer. The InN layers could be coherently inserted into the GaN matrix under self-organizing and self-limiting epitaxy modes. The growth temperature was the most dominant growth parameter on both the growth process and the structure of the InN layers. Reflecting the inherent growth behavior of D-ALEp grown InN on/in +c-GaN at high growth temperature, the embedded InN layers in the GaN matrix were basically not full-ML in coverage, and the thickness of sheet-island-like InN layers was essentially either 1-ML or 2-ML. It was found that these InN layers tended to be frozen at the step edges on the GaN and around screw-type threading dislocations. The InN wells formed type-I band line-up heterostructures with GaN barriers, with exciton localization energies of about 300 and 500 meV at 15 K for the 1-ML and 2-ML InN wells, respectively.

  12. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  13. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  14. Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1993-03-01

    We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)

  15. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  16. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  17. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  18. Temperature and coverage effects on the stability of epitaxial silicene on Ag(111) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Hongsheng; Han, Nannan; Zhao, Jijun, E-mail: zhao_jijun@hotmail.com

    2017-07-01

    Highlights: • Chemical potential phase diagrams of silicene/Ag(111) at varied temperatures. • The priorities of various silicene phases in experiments are explained. • A proper experimental condition to obtain homogeneous 4 × 4 silicene is recommended. - Abstract: Silicene, the single layer of silicon atoms arranged in a honeycomb lattice, has been synthesized in recent experiments and attracted significant attentions. Silicene is promising in future nanoelectronic devices due to its outstanding electronic properties. In experiments, however, different silicene superstructures coexist on Ag(111) substrate. For the device applications, homogenous silicene sheet with large scale and high quality is highly desired. Here, for the first time, we investigate both the temperature and the coverage effects on the thermal stability of epitaxial silicene on Ag(111) surface by ab initio molecular dynamics simulations. The relationship between the stability of various silicene superstructures and the growth conditions, including temperature and coverage of silicon atoms, is revealed by plotting the chemical potential phase diagram of silicene on Ag(111) surfaces at different temperatures. Our results are helpful for understanding the observed diversity of silicene phases on Ag(111) surfaces and provide some useful guidance for the synthesis of homogenous silicene phase in experiments.

  19. The origin of local strain in highly epitaxial oxide thin films.

    Science.gov (United States)

    Ma, Chunrui; Liu, Ming; Chen, Chonglin; Lin, Yuan; Li, Yanrong; Horwitz, J S; Jiang, Jiechao; Meletis, E I; Zhang, Qingyu

    2013-10-31

    The ability to control the microstructures and physical properties of hetero-epitaxial functional oxide thin films and artificial structures is a long-sought goal in functional materials research. Normally, only the lattice misfit between the film and the substrate is considered to govern the physical properties of the epitaxial films. In fact, the mismatch of film unit cell arrangement and the Surface-Step-Terrace (SST) dimension of the substrate, named as "SST residual matching", is another key factor that significantly influence the properties of the epitaxial film. The nature of strong local strain induced from both lattice mismatch and the SST residual matching on ferroelectric (Ba,Sr)TiO3 and ferromagnetic (La,Ca)MnO3 thin films are systematically investigated and it is demonstrated that this combined effect has a dramatic impact on the physical properties of highly epitaxial oxide thin films. A giant anomalous magnetoresistance effect (~10(10)) was achieved from the as-designed vicinal surfaces.

  20. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  1. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  2. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  3. Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.

    Science.gov (United States)

    Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J

    2016-11-24

    Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .

  4. In situ observation of low temperature growth of Ge on Si(1 1 1) by reflection high energy electron diffraction

    International Nuclear Information System (INIS)

    Grimm, Andreas; Fissel, Andreas; Bugiel, Eberhard; Wietler, Tobias F.

    2016-01-01

    Highlights: • Investigation of the initial stages of epitaxial growth of Ge on Si(1 1 1) in situ by RHEED. • Impact of growth temperature on strain evolution for temperatures between 200 °C and 400 °C. • Epitaxy with a high degree of structural perfection already at growth temperature of 200 °C. • Ordered interfacial dislocation networks already at 200 °C. • Tensile strain contribution of Si(1 1 1) 7 × 7-surface reconstruction to strain relaxation process for epitaxial growth of Ge. - Abstract: In this paper we investigate the initial stages of epitaxial growth of Ge on Si(1 1 1) and the impact of growth temperature on strain evolution in situ by reflection high energy electron diffraction (RHEED) for temperatures between 200 °C and 400 °C. The change in surface morphology from a flat wetting layer to subsequent islanding that is characteristic for Stranski–Krastanov growth is monitored by spot intensity analysis. The corresponding critical layer thickness is determined to 3.1 < d c < 3.4 ML. In situ monitoring of the strain relaxation process reveals a contribution of the Si(1 1 1) 7 × 7-surface reconstruction to the strain relaxation process. High resolution transmission electron microscopy confirms that the Ge islands exhibit a high degree of structural perfection and an ordered interfacial misfit dislocation network already at a growth temperature of 200 °C is established. The temperature dependency of island shape, density and height is characterized by atomic force microscopy and compared to the RHEED investigations.

  5. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  6. AASERT: Rare Earth Arsenides, Magnetic Semi-Metal Epitaxy for Opto-Electronics

    National Research Council Canada - National Science Library

    Palmstrom, Chris

    2000-01-01

    ...). An ultra-high vacuum sample transfer system and a variable temperature scanning tunneling microscope were attached to two already existing molecular beam epitaxy systems and surface science equipment...

  7. Structural properties of relaxed thin film germanium layers grown by low temperature RF-PECVD epitaxy on Si and Ge (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cariou, R., E-mail: romain.cariou@polytechnique.edu [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); III-V lab a joint laboratory between Alcatel-Lucent Bell Labs France, Thales Research and Technology and CEA-LETI, route de Nozay, 91460, Marcoussis, France. (France); Ruggeri, R. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy); Tan, X.; Nassar, J.; Roca i Cabarrocas, P. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); Mannino, Giovanni [CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy)

    2014-07-15

    We report on unusual low temperature (175 °C) heteroepitaxial growth of germanium thin films using a standard radio-frequency plasma process. Spectroscopic ellipsometry and transmission electron microscopy (TEM) reveal a perfect crystalline quality of epitaxial germanium layers on (100) c-Ge wafers. In addition direct germanium crystal growth is achieved on (100) c-Si, despite 4.2% lattice mismatch. Defects rising from Ge/Si interface are mostly located within the first tens of nanometers, and threading dislocation density (TDD) values as low as 10{sup 6} cm{sup −2} are obtained. Misfit stress is released fast: residual strain of −0.4% is calculated from Moiré pattern analysis. Moreover we demonstrate a striking feature of low temperature plasma epitaxy, namely the fact that crystalline quality improves with thickness without epitaxy breakdown, as shown by TEM and depth profiling of surface TDD.

  8. Photovoltaic x-ray detectors based on the GaAs epitaxial structures

    CERN Document Server

    Akhmadullin, R A; Dvoryankina, G G; Dikaev, Y M; Ermakov, M G; Ermakova, O N; Krikunov, A I; Kudryashov, A A; Petrov, A G; Telegin, A A

    2002-01-01

    The new photovoltaic detector of the X-ray radiation is proposed on the basis of the GaAs epitaxial structures, which operates with high efficiency of the charge carriers collection without shift voltage and at the room temperature. The structures are grown by the method of the gas-phase epitaxy on the n sup + -type highly-alloyed substrates. The range of sensitivity to the X-ray radiation is within the range of effective energies from 8 up to 120 keV. The detector maximum response in the current short circuit mode is determined

  9. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  10. High temperature superconducting YBCO microwave filters

    Science.gov (United States)

    Aghabagheri, S.; Rasti, M.; Mohammadizadeh, M. R.; Kameli, P.; Salamati, H.; Mohammadpour-Aghdam, K.; Faraji-Dana, R.

    2018-06-01

    Epitaxial thin films of YBCO high temperature superconductor are widely used in telecommunication technology such as microwave filter, antenna, coupler and etc., due to their lower surface resistance and lower microwave loss than their normal conductor counterparts. Thin films of YBCO were fabricated by PLD technique on LAO substrate. Transition temperature and width were 88 K and 3 K, respectively. A filter pattern was designed and implemented by wet photolithography method on the films. Characterization of the filter at 77 K has been compared with the simulation results and the results for a made gold filter. Both YBCO and gold filters show high microwave loss. For YBCO filter, the reason may be due to the improper contacts on the feedlines and for gold filter, low thickness of the gold film has caused the loss increased.

  11. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  12. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  13. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    Science.gov (United States)

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  14. Epitaxial growth of Ge-Sb-Te based phase change materials

    International Nuclear Information System (INIS)

    Perumal, Karthick

    2013-01-01

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb 2 Te 3 thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb 2 Te 3 to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  15. Solid phase epitaxial growth of high mobility La:BaSnO_3 thin films co-doped with interstitial hydrogen

    International Nuclear Information System (INIS)

    Niedermeier, Christian A.; Rhode, Sneha; Fearn, Sarah; Moram, Michelle A.; Ide, Keisuke; Hiramatsu, Hidenori; Hosono, Hideo; Kamiya, Toshio

    2016-01-01

    This work presents the solid phase epitaxial growth of high mobility La:BaSnO_3 thin films on SrTiO_3 single crystal substrates by crystallization through thermal annealing of nanocrystalline thin films prepared by pulsed laser deposition at room temperature. The La:BaSnO_3 thin films show high epitaxial quality and Hall mobilities up to 26 ± 1 cm"2/Vs. Secondary ion mass spectroscopy is used to determine the La concentration profile in the La:BaSnO_3 thin films, and a 9%–16% La doping activation efficiency is obtained. An investigation of H doping to BaSnO_3 thin films is presented employing H plasma treatment at room temperature. Carrier concentrations in previously insulating BaSnO_3 thin films were increased to 3 × 10"1"9" cm"−"3 and in La:BaSnO_3 thin films from 6 × 10"1"9" cm"−"3 to 1.5 × 10"2"0" cm"−"3, supporting a theoretical prediction that interstitial H serves as an excellent n-type dopant. An analysis of the free electron absorption by infrared spectroscopy yields a small (H,La):BaSnO_3 electron effective mass of 0.27 ± 0.05 m_0 and an optical mobility of 26 ± 7 cm"2/Vs. As compared to La:BaSnO_3 single crystals, the smaller electron mobility in epitaxial thin films grown on SrTiO_3 substrates is ascribed to threading dislocations as observed in high resolution transmission electron micrographs.

  16. Epitaxial Al2O3 capacitors for low microwave loss superconducting quantum circuits

    Directory of Open Access Journals (Sweden)

    K.-H. Cho

    2013-10-01

    Full Text Available We have characterized the microwave loss of high-Q parallel plate capacitors fabricated from thin-film Al/Al2O3/Re heterostructures on (0001 Al2O3 substrates. The superconductor-insulator-superconductor trilayers were grown in situ in a hybrid deposition system: the epitaxial Re base and polycrystalline Al counterelectrode layers were grown by sputtering, while the epitaxial Al2O3 layer was grown by pulsed laser deposition. Structural analysis indicates a highly crystalline epitaxial Al2O3 layer and sharp interfaces. The measured intrinsic (low-power, low-temperature quality factor of the resonators is as high as 3 × 104. These results indicate that low-loss grown Al2O3 is an attractive candidate dielectric for high-fidelity superconducting qubit circuits.

  17. Changes in the Mg profile and in dislocations induced by high temperature annealing of blue LEDs

    Science.gov (United States)

    Meneghini, M.; Trivellin, N.; Berti, M.; Cesca, T.; Gasparotto, A.; Vinattieri, A.; Bogani, F.; Zhu, D.; Humphreys, C. J.; Meneghesso, G.; Zanoni, E.

    2013-03-01

    The efficiency of the injection and recombination processes in InGaN/GaN LEDs is governed by the properties of the active region of the devices, which strongly depend on the conditions used for the growth of the epitaxial material. To improve device quality, it is very important to understand how the high temperatures used during the growth process can modify the quality of the epitaxial material. With this paper we present a study of the modifications in the properties of InGaN/GaN LED structures induced by high temperature annealing: thermal stress tests were carried out at 900 °C, in nitrogen atmosphere, on selected samples. The efficiency and the recombination dynamics were evaluated by photoluminescence measurements (both integrated and time-resolved), while the properties of the epitaxial material were studied by Secondary Ion Mass Spectroscopy (SIMS) and Rutherford Backscattering (RBS) channeling measurements. Results indicate that exposure to high temperatures may lead to: (i) a significant increase in the photoluminescence efficiency of the devices; (ii) a decrease in the parasitic emission bands located between 380 nm and 400 nm; (iii) an increase in carrier lifetime, as detected by time-resolved photoluminescence measurements. The increase in device efficiency is tentatively ascribed to an improvement in the crystallographic quality of the samples.

  18. Quantum Nanostructures by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Somsak Panyakeow

    2009-02-01

    Full Text Available Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C. Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic strain gives rise to quantum rings with square holes and non-uniform ring stripe. Regrowth of quantum dots on these anisotropic quantum rings, Quadra-Quantum Dots (QQDs could be realized. Potential applications of these quantum nanostructures are also discussed.

  19. Nanoripple formation on GaAs (001) surface by reverse epitaxy during ion beam sputtering at elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Chowdhury, Debasree; Ghose, Debabrata, E-mail: debabrata1.ghose@gmail.com

    2016-11-01

    Highlights: • GaAs (001) surfaces are sputtered by 1 keV Ar{sup +} at sample temperature of 450 °C. • Highly ordered defect-free ripples develop at near-normal incidence angles (θ ≈ 0–25{sup 0}). • Concurrent sample rotation does not alter the ripple orientation with respect to the ion beam. • At grazing incidence angles anisotropic structure is formed. • Concurrent sample rotation shows that the structure orientation depends on the beam direction. - Abstract: Self-organized pattern formation by the process of reverse epitaxial growth has been investigated on GaAs (001) surfaces during 1 keV Ar{sup +} bombardment at target temperature of 450 °C for a wide range of incident angles. Highly ordered ripple formation driven by diffusion instability is evidenced at near normal incidence angles. Concurrent sample rotation shows that the ripple morphology and its orientation do not depend on the incident beam direction; rather they are determined by the symmetry of the crystal face.

  20. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R.; Storm, David F.; Meyer, David J.; Zhang, Weidong; Brown, Elliott R.

    2016-01-01

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm 2 and a peak-to-valley current ratio of ≈1.15 across different sizes.

  1. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R., E-mail: pberger@ieee.org [Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio 43210 (United States); Storm, David F.; Meyer, David J. [U.S. Naval Research Laboratory, Washington, DC 20375 (United States); Zhang, Weidong; Brown, Elliott R. [Departments of Physics and Electrical Engineering, Wright State University, Dayton, Ohio 45435 (United States)

    2016-08-22

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm{sup 2} and a peak-to-valley current ratio of ≈1.15 across different sizes.

  2. Growth of high purity semiconductor epitaxial layers by liquid phase ...

    Indian Academy of Sciences (India)

    Unknown

    semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.

  3. High-temperature ferromagnetism in heavily Fe-doped ferromagnetic semiconductor (Ga,Fe)Sb

    International Nuclear Information System (INIS)

    Tu, Nguyen Thanh; Hai, Pham Nam; Anh, Le Duc; Tanaka, Masaaki

    2016-01-01

    We show high-temperature ferromagnetism in heavily Fe-doped ferromagnetic semiconductor (Ga_1_−_x,Fe_x)Sb (x = 23% and 25%) thin films grown by low-temperature molecular beam epitaxy. Magnetic circular dichroism spectroscopy and anomalous Hall effect measurements indicate intrinsic ferromagnetism of these samples. The Curie temperature reaches 300 K and 340 K for x = 23% and 25%, respectively, which are the highest values reported so far in intrinsic III-V ferromagnetic semiconductors.

  4. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  5. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  6. High-quality AlGaN/GaN grown on sapphire by gas-source molecular beam epitaxy using a thin low-temperature AlN layer

    Energy Technology Data Exchange (ETDEWEB)

    Jurkovic, M.J.; Li, L.K.; Turk, B.; Wang, W.I.; Syed, S.; Simonian, D.; Stormer, H.L.

    2000-07-01

    Growth of high-quality AlGaN/GaN heterostructures on sapphire by ammonia gas-source molecular beam epitaxy is reported. Incorporation of a thin AlN layer grown at low temperature within the GaN buffer is shown to result in enhanced electrical and structural characteristics for subsequently grown heterostructures. AlGaN/GaN structures exhibiting reduced background doping and enhanced Hall mobilities (2100, 10310 and 12200 cm{sup 2}/Vs with carrier sheet densities of 6.1 x 10{sup 12} cm{sup {minus}2}, and 5.8 x 10{sup 12} cm{sup {minus}2} at 300 K, 77 K, and 0.3 K, respectively) correlate with dislocation filtering in the thin AlN layer. Magnetotransport measurements at 0.3 K reveal well-resolved Shubnikov-de Haas oscillations starting at 3 T.

  7. Continuous room-temperature operation of GaAs-Al/sub x/Ga1/sub -//sub x/As double-heterostructure lasers prepared by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Cho, A.Y.; Dixon, R.W.; Casey, H.C. Jr.; Hartman, R.L.

    1976-01-01

    The continuous (cw) operation at temperatures as high as 100 0 C of stripe-geometry GaAs-Al/sub x/Ga/sub 1-x/As double-heterostructure lasers fabricated by molecular-beam epitaxial (MBE) techniques has been achieved. Improved MBE laser performance was the result of the extensive efforts to eliminate hydrocarbon and water vapor from the growth apparatus. For 12-μm-wide stripe-geometry lasers with 380-μm-long cavities, the cw threshold currents varied between 163 and 297 mA at room temperature

  8. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  9. Molecular beam epitaxy for high-performance Ga-face GaN electron devices

    International Nuclear Information System (INIS)

    Kaun, Stephen W; Speck, James S; Wong, Man Hoi; Mishra, Umesh K

    2013-01-01

    Molecular beam epitaxy (MBE) has emerged as a powerful technique for growing GaN-based high electron mobility transistor (HEMT) epistructures. Over the past decade, HEMT performance steadily improved, mainly through the optimization of device fabrication processes. Soon, HEMT performance will be limited by the crystalline quality of the epistructure. MBE offers heterostructure growth with highly abrupt interfaces, low point defect concentrations, and very low carbon and hydrogen impurity concentrations. Minimizing parasitic leakage pathways and resistances is essential in the growth of HEMTs for high-frequency and high-power applications. Through growth on native substrates with very low threading dislocation density, low-leakage HEMTs with very low on-resistance can be realized. Ga-rich plasma-assisted MBE (PAMBE) has been studied extensively, and it is clear that this technique has inherent limitations, including a high density of leakage pathways and a very small growth parameter space. Relatively new MBE growth techniques—high-temperature N-rich PAMBE and ammonia-based MBE—are being developed to circumvent the shortcomings of Ga-rich PAMBE. (invited review)

  10. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  11. Direct formation of thin films and epitaxial overlayers at low temperatures using a low-energy (10-500 eV) ion beam deposition system

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Alton, G.D.; Appleton, B.R.; Herbots, N.; Noggle, T.S.; Pennycook, S.J.

    1987-01-01

    A low-energy ion beam deposition system has been developed at Oak Ridge National Laboratory and has been applied successfully to the growth of epitaxial films at low temperatures for a number of different elements. The deposition system utilizes the ion source and optics of a commercial ion implantation accelerator. The 35 keV mass- and energy-analyzed ion beam from the accelerator is decelerated in a four-element electrostatic lens assembly to energies between 10 and 500 eV for direct deposition onto a target under UHV conditions. Current densities on the order of 10 μA/cm 2 are achieved with good uniformity over a 1.4 cm diameter spot. The completed films are characterized by Rutherford backscattering, ion channeling, cross-section transmission electron microscopy, and x-ray diffraction. The effects of substrate temperature, ion energy, and substrate cleaning have been studied. Epitaxial overlayers which show good minimum yields by ion channeling (3 to 4%) have been produced at temperatures as low as 375 0 C for Si on Si(100) and 250 0 C for Ge on Ge(100) at growth rates that exceed the solid-phase epitaxy rates at these temperatures by more than an order of magnitude

  12. Enhanced growth of highly lattice-mismatched CdSe on GaAs substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Wang, Hsiao-Hua; Ke, Han-Xiang; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Shen, Ji-Lin

    2013-01-01

    This work demonstrates the improvement of the molecular beam epitaxial growth of zinc-blende CdSe on (0 0 1) GaAs substrate with a large lattice mismatch by introducing a small amount of Te atoms. Exposing the growing surface to Te atoms changes the reflection high-energy electron diffraction pattern from spotty to streaky together with (2 × 1) surface reconstruction, and greatly reduces the full width at half maximum of the X-ray rocking curve and increases the integral intensity of room-temperature photoluminescence by a factor of about nine.

  13. Molecular Beam Epitaxy Growth of High Crystalline Quality LiNbO3

    Science.gov (United States)

    Tellekamp, M. Brooks; Shank, Joshua C.; Goorsky, Mark S.; Doolittle, W. Alan

    2016-12-01

    Lithium niobate is a multi-functional material with wide reaching applications in acoustics, optics, and electronics. Commercial applications for lithium niobate require high crystalline quality currently limited to bulk and ion sliced material. Thin film lithium niobate is an attractive option for a variety of integrated devices, but the research effort has been stagnant due to poor material quality. Both lattice matched and mismatched lithium niobate are grown by molecular beam epitaxy and studied to understand the role of substrate and temperature on nucleation conditions and material quality. Growth on sapphire produces partially coalesced columnar grains with atomically flat plateaus and no twin planes. A symmetric rocking curve shows a narrow linewidth with a full width at half-maximum (FWHM) of 8.6 arcsec (0.0024°), which is comparable to the 5.8 arcsec rocking curve FWHM of the substrate, while the film asymmetric rocking curve is 510 arcsec FWHM. These values indicate that the individual grains are relatively free of long-range disorder detectable by x-ray diffraction with minimal measurable tilt and twist and represents the highest structural quality epitaxial material grown on lattice mismatched sapphire without twin planes. Lithium niobate is also grown on lithium tantalate producing high quality coalesced material without twin planes and with a symmetric rocking curve of 193 arcsec, which is nearly equal to the substrate rocking curve of 194 arcsec. The surface morphology of lithium niobate on lithium tantalate is shown to be atomically flat by atomic force microscopy.

  14. High-temperature ferromagnetism in heavily Fe-doped ferromagnetic semiconductor (Ga,Fe)Sb

    Energy Technology Data Exchange (ETDEWEB)

    Tu, Nguyen Thanh [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Department of Physics, Ho Chi Minh City University of Pedagogy, 280, An Duong Vuong Street, District 5, Ho Chi Minh City 748242 (Viet Nam); Hai, Pham Nam [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Department of Physical Electronics, Tokyo Institute of Technology, 2-12-1 Ookayama, Meguro, Tokyo 152-0033 (Japan); Center for Spintronics Research Network (CSRN), The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656 (Japan); Anh, Le Duc [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Tanaka, Masaaki [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Center for Spintronics Research Network (CSRN), The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2016-05-09

    We show high-temperature ferromagnetism in heavily Fe-doped ferromagnetic semiconductor (Ga{sub 1−x},Fe{sub x})Sb (x = 23% and 25%) thin films grown by low-temperature molecular beam epitaxy. Magnetic circular dichroism spectroscopy and anomalous Hall effect measurements indicate intrinsic ferromagnetism of these samples. The Curie temperature reaches 300 K and 340 K for x = 23% and 25%, respectively, which are the highest values reported so far in intrinsic III-V ferromagnetic semiconductors.

  15. Metallic transport and large anomalous Hall effect at room temperature in ferrimagnetic Mn4N epitaxial thin film

    International Nuclear Information System (INIS)

    Shen, Xi; Shigematsu, Kei; Chikamatsu, Akira; Fukumura, Tomoteru; Hirose, Yasushi; Hasegawa, Tetsuya

    2014-01-01

    We report the electrical transport properties of ferrimagnetic Mn 4 N (001) epitaxial thin films grown by pulsed laser deposition on MgO (001) substrates. The Mn 4 N thin films were tetragonally distorted with a ratio of out-of-plane to in-plane lattice constants of 0.987 and showed perpendicular magnetic anisotropy with an effective magnetic anisotropy constant of 0.16 MJ/m 3 , which is comparable with that of a recently reported molecular-beam-epitaxy-grown film. The thin films exhibited metallic transport with a room temperature resistivity of 125 μΩ cm in addition to a large anomalous Hall effect with a Hall angle tangent of 0.023.

  16. Epitaxial growth of zinc on ferritic steel under high current density electroplating conditions

    International Nuclear Information System (INIS)

    Greul, Thomas; Comenda, Christian; Preis, Karl; Gerdenitsch, Johann; Sagl, Raffaela; Hassel, Achim Walter

    2013-01-01

    Highlights: •EBSD of electroplated Zn on Fe or steel was performed. •Zn grows epitaxially on electropolished ferritic steel following Burger's orientation relation. •Surface deformation of steel leads to multiple electroplated zinc grains with random orientation. •Zn grows epitaxially even on industrial surfaces with little surface deformation. •Multiple zinc grains on one steel grain can show identical orientation relations. -- Abstract: The dependence of the crystal orientation of electrodeposited zinc of the grain orientation on ferritic steel substrate at high current density deposition (400 mA cm −2 ) during a pulse-plating process was investigated by means of EBSD (electron backscatter diffraction) measurements. EBSD-mappings of surface and cross-sections were performed on samples with different surface preparations. Furthermore an industrial sample was investigated to compare lab-coated samples with the industrial process. The epitaxial growth of zinc is mainly dependent on the condition of the steel grains. Deformation of steel grains leads to random orientation while zinc grows epitaxially on non-deformed steel grains even on industrial surfaces

  17. Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M

    2006-01-01

    Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices

  18. Epitaxial growth of Ge-Sb-Te based phase change materials

    Energy Technology Data Exchange (ETDEWEB)

    Perumal, Karthick

    2013-07-30

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb{sub 2}Te{sub 3} thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb{sub 2}Te{sub 3} to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  19. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kaspar, Tiffany C.; Hong, Seungbum; Bowden, Mark E.; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R.; Comes, Ryan B.; Ramuhalli, Pradeep; Henager, Charles H.

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200°C due to the low Curie temperature of the piezoelectric material. High temperature piezoelectric materials such as La2Ti2O7 (LTO) would facilitate the development of high-temperature sensors if the piezoelectric coupling coefficient could be maximized. We have deposited epitaxial LTO films on SrTiO3(001), SrTiO3(110), and rutile TiO2(110) substrates by pulsed laser deposition, and show that the crystalline orientation of the LTO film, and thus its piezoelectric coupling direction, can be controlled by epitaxial matching to the substrate. The structure and phase purity of the films were investigated by x-ray diffraction and scanning transmission electron microscopy. To characterize the piezoelectric properties, piezoresponse force microscopy was used to measure the in-plane and out-of-plane piezoelectric coupling in the films. We find that the strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric crystalline direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO2(110) results in epitaxial La2/3TiO3, an orthorhombic perovskite of interest as a microwave dielectric material. La2/3TiO3 can be difficult to stabilize in bulk form, and epitaxial deposition has not been previously reported. These results confirm that control of the crystalline orientation of LTO-based materials can increase the out-of-plane strength of its piezoelectric coupling, which can be exploited in piezoelectric devices.

  20. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  1. Luminescent N-polar (In,Ga)N/GaN quantum wells achieved by plasma-assisted molecular beam epitaxy at temperatures exceeding 700 °C

    Science.gov (United States)

    Chèze, C.; Feix, F.; Lähnemann, J.; Flissikowski, T.; Kryśko, M.; Wolny, P.; Turski, H.; Skierbiszewski, C.; Brandt, O.

    2018-01-01

    Previously, we found that N-polar (In,Ga)N/GaN quantum wells prepared on freestanding GaN substrates by plasma-assisted molecular beam epitaxy at conventional growth temperatures of about 650 °C do not exhibit any detectable luminescence even at 10 K. In the present work, we investigate (In,Ga)N/GaN quantum wells grown on Ga- and N-polar GaN substrates at a constant temperature of 730 °C . This exceptionally high temperature results in a vanishing In incorporation for the Ga-polar sample. In contrast, quantum wells with an In content of 20% and abrupt interfaces are formed on N-polar GaN. Moreover, these quantum wells exhibit a spatially uniform green luminescence band up to room temperature, but the intensity of this band is observed to strongly quench with temperature. Temperature-dependent photoluminescence transients show that this thermal quenching is related to a high density of nonradiative Shockley-Read-Hall centers with large capture coefficients for electrons and holes.

  2. Room temperature Ultraviolet B emission from InAlGaN films synthesized by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Roberts, A. T. [Charles Bowden Laboratory, Army Aviation and Missile RD& E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [CNR-NANOTEC, Istituto di Nanotecnologia, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Laboratory, Army Aviation and Missile RD& E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2015-09-28

    Thin films of the wide bandgap quaternary semiconductor In{sub x}Al{sub y}Ga{sub (1−x−y)}N with low In (x = 0.01–0.05) and high Al composition (y = 0.40–0.49) were synthesized on GaN templates by plasma-assisted molecular beam epitaxy. High-resolution X-ray diffraction was used to correlate the strain accommodation of the films to composition. Room temperature ultraviolet B (280 nm–320 nm) photoluminescence intensity increased with increasing In composition, while the Stokes shift remained relatively constant. The data suggest a competition between radiative and non-radiative recombination occurs for carriers, respectively, localized at centers produced by In incorporation and at dislocations produced by strain relaxation.

  3. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  4. Chirped-pulse manipulated carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs

    International Nuclear Information System (INIS)

    Lee, Chao-Kuei; Lin, Yuan-Yao; Lin, Sung-Hui; Lin, Gong-Ru; Pan, Ci-Ling

    2014-01-01

    Chirped pulse controlled carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs are investigated by degenerate pump-probe technique. Varying the chirped condition of excited pulse from negative to positive increases the carrier relaxation time so as to modify the dispersion and reshape current pulse in time domain. The spectral dependence of carrier dynamics is analytically derived and explained by Shockley-Read Hall model. This observation enables the new feasibility of controlling carrier dynamics in ultrafast optical devices via the chirped pulse excitations

  5. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    Science.gov (United States)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  6. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  7. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  8. New synthesis method for the growth of epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Yu, X.Z. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Laboratory of Condensed Matter Spectroscopy and Opto-Electronic Physics, Department of Physics, Shanghai Jiao Tong University, 1954 Hua Shan Road, Shanghai 200030 (China); Hwang, C.G.; Jozwiak, C.M.; Koehl, A. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Schmid, A.K. [National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, CA 94709 (United States); Lanzara, A., E-mail: ALanzara@lbl.gov [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Department of Physics, University of California, Berkeley, CA 94720 (United States)

    2011-04-15

    Highlights: {yields} We report a new straightforward method for the synthesis of micrometer scale graphene sheets. {yields} The process is based on a face to face mehtod in which two SiC substrates are placed one on top of the other and are heated simultaneously, leading to highly homogeneous samples. {yields} The number of graphene layers is determined by the annealing temperature. - Abstract: As a viable candidate for an all-carbon post-CMOS electronics revolution, epitaxial graphene has attracted significant attention. To realize its application potential, reliable methods for fabricating large-area single-crystalline graphene domains are required. A new way to synthesize high quality epitaxial graphene, namely 'face-to-face' method, has been reported in this paper. The structure and morphologies of the samples are characterized by low-energy electron diffraction, atomic force microscopy, angle-resolved photoemission spectroscopy and Raman spectroscopy. The grown samples show better quality and larger length scales than samples grown through conventional thermal desorption. Moreover, the graphene thickness can be easily controlled by changing annealing temperature.

  9. Junction Transport in Epitaxial Film Silicon Heterojunction Solar Cells: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Young, D. L.; Li, J. V.; Teplin, C. W.; Stradins, P.; Branz, H. M.

    2011-07-01

    We report our progress toward low-temperature HWCVD epitaxial film silicon solar cells on inexpensive seed layers, with a focus on the junction transport physics exhibited by our devices. Heterojunctions of i/p hydrogenated amorphous Si (a-Si) on our n-type epitaxial crystal Si on n++ Si wafers show space-charge-region recombination, tunneling or diffusive transport depending on both epitaxial Si quality and the applied forward voltage.

  10. High sensitive quasi freestanding epitaxial graphene gas sensor on 6H-SiC

    NARCIS (Netherlands)

    Iezhokin, I.; Offermans, P.; Brongersma, S.H.; Giesbers, A.J.M.; Flipse, C.F.J.

    2013-01-01

    We have measured the electrical response to NO2, N2, NH3, and CO for epitaxial graphene and quasi freestanding epitaxial graphene on 6H-SiC substrates. Quasi freestanding epitaxial graphene shows a 6 fold increase in NO2 sensitivity compared to epitaxial graphene. Both samples show a sensitivity

  11. Graphene nanoribbons epitaxy on boron nitride

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Xiaobo; Wang, Shuopei; Wu, Shuang; Chen, Peng; Zhang, Jing; Zhao, Jing; Meng, Jianling; Xie, Guibai; Wang, Duoming; Wang, Guole; Zhang, Ting Ting; Yang, Rong; Shi, Dongxia [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Yang, Wei [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Laboratoire Pierre Aigrain, ENS-CNRS UMR 8551, Universités Pierre et Marie Curie and Paris-Diderot, 24 rue Lhomond, 75231 Paris Cedex 05 (France); Watanabe, Kenji; Taniguchi, Takashi [National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Zhang, Guangyu, E-mail: gyzhang@aphy.iphy.ac.cn [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100190 (China)

    2016-03-14

    In this letter, we report a pilot study on epitaxy of monolayer graphene nanoribbons (GNRs) on hexagonal boron nitride (h-BN). We found that GNRs grow preferentially from the atomic steps of h-BN, forming in-plane heterostructures. GNRs with well-defined widths ranging from ∼15 nm to ∼150 nm can be obtained reliably. As-grown GNRs on h-BN have high quality with a carrier mobility of ∼20 000 cm{sup 2} V{sup −1} s{sup −1} for ∼100-nm-wide GNRs at a temperature of 1.7 K. Besides, a moiré pattern induced quasi-one-dimensional superlattice with a periodicity of ∼15 nm for GNR/h-BN was also observed, indicating zero crystallographic twisting angle between GNRs and h-BN substrate. The superlattice induced band structure modification is confirmed by our transport results. These epitaxial GNRs/h-BN with clean surfaces/interfaces and tailored widths provide an ideal platform for high-performance GNR devices.

  12. Diffusion-driven growth of nanowires by low-temperature molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rueda-Fonseca, P.; Orrù, M. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Bellet-Amalric, E.; Robin, E. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Den Hertog, M.; Genuist, Y.; André, R.; Tatarenko, S.; Cibert, J., E-mail: joel.cibert@neel.cnrs.fr [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France)

    2016-04-28

    With ZnTe as an example, we use two different methods to unravel the characteristics of the growth of nanowires (NWs) by gold-catalyzed molecular beam epitaxy at low temperature. In the first approach, CdTe insertions have been used as markers, and the nanowires have been characterized by scanning transmission electron microscopy, including geometrical phase analysis and energy dispersive electron spectrometry; the second approach uses scanning electron microscopy and the statistics of the relationship between the length of the tapered nanowires and their base diameter. Axial and radial growth are quantified using a diffusion-limited model adapted to the growth conditions; analytical expressions describe well the relationship between the NW length and the total molecular flux (taking into account the orientation of the effusion cells), and the catalyst-nanowire contact area. A long incubation time is observed. This analysis allows us to assess the evolution of the diffusion lengths on the substrate and along the nanowire sidewalls, as a function of temperature and deviation from stoichiometric flux.

  13. SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations

    Science.gov (United States)

    Choi, Shinhyun; Tan, Scott H.; Li, Zefan; Kim, Yunjo; Choi, Chanyeol; Chen, Pai-Yu; Yeon, Hanwool; Yu, Shimeng; Kim, Jeehwan

    2018-01-01

    Although several types of architecture combining memory cells and transistors have been used to demonstrate artificial synaptic arrays, they usually present limited scalability and high power consumption. Transistor-free analog switching devices may overcome these limitations, yet the typical switching process they rely on—formation of filaments in an amorphous medium—is not easily controlled and hence hampers the spatial and temporal reproducibility of the performance. Here, we demonstrate analog resistive switching devices that possess desired characteristics for neuromorphic computing networks with minimal performance variations using a single-crystalline SiGe layer epitaxially grown on Si as a switching medium. Such epitaxial random access memories utilize threading dislocations in SiGe to confine metal filaments in a defined, one-dimensional channel. This confinement results in drastically enhanced switching uniformity and long retention/high endurance with a high analog on/off ratio. Simulations using the MNIST handwritten recognition data set prove that epitaxial random access memories can operate with an online learning accuracy of 95.1%.

  14. Metallic transport and large anomalous Hall effect at room temperature in ferrimagnetic Mn{sub 4}N epitaxial thin film

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Xi; Shigematsu, Kei [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); Chikamatsu, Akira, E-mail: chikamatsu@chem.s.u-tokyo.ac.jp; Fukumura, Tomoteru [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); CREST, Japan Science and Technology Agency (JST), Tokyo 113-0033 (Japan); Hirose, Yasushi; Hasegawa, Tetsuya [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); CREST, Japan Science and Technology Agency (JST), Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology (KAST), Kawasaki 213-0012 (Japan)

    2014-08-18

    We report the electrical transport properties of ferrimagnetic Mn{sub 4}N (001) epitaxial thin films grown by pulsed laser deposition on MgO (001) substrates. The Mn{sub 4}N thin films were tetragonally distorted with a ratio of out-of-plane to in-plane lattice constants of 0.987 and showed perpendicular magnetic anisotropy with an effective magnetic anisotropy constant of 0.16 MJ/m{sup 3}, which is comparable with that of a recently reported molecular-beam-epitaxy-grown film. The thin films exhibited metallic transport with a room temperature resistivity of 125 μΩ cm in addition to a large anomalous Hall effect with a Hall angle tangent of 0.023.

  15. High efficiency green/yellow and red InGaN/AlGaN nanowire light-emitting diodes grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M.R. Philip

    2017-06-01

    Full Text Available We report on the achievement of high efficiency green, yellow, and red InGaN/AlGaN dot-in-a-wire nanowire light-emitting diodes grown on Si(111 by molecular beam epitaxy. The peak emission wavelengths were altered by varying the growth conditions, including the substrate temperature, and In/Ga flux ratio. The devices demonstrate relatively high (>40% internal quantum efficiency at room temperature, relative to that measured at 5 K. Moreover, negligible blue-shift in peak emission spectrum associated with no efficiency droop was measured when injection current was driven up to 556 A/cm2.

  16. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    Science.gov (United States)

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  17. Nanostructure formation during relatively high temperature growth of Mn-doped GaAs by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Del Río-De Santiago, A.; Méndez-García, V.H. [CIACyT-UASLP, Sierra Leona Av. # 550, Lomas 2a Secc, San Luis Potosí, S.L.P. 78210, México (Mexico); Martínez-Velis, I.; Casallas-Moreno, Y.L. [Physics Department, CINVESTAV-IPN, Apdo. Postal 14470 D. F. México, México (Mexico); López-Luna, E. [CIACyT-UASLP, Sierra Leona Av. # 550, Lomas 2a Secc, San Luis Potosí, S.L.P. 78210, México (Mexico); Yu Gorbatchev, A. [IICO-UASLP, Av. Karakorum 1470, Lomas 4a. Sección, San Luis Potosí, S.L.P. 78210, México (Mexico); López-López, M. [Physics Department, CINVESTAV-IPN, Apdo. Postal 14470 D. F. México, México (Mexico); Cruz-Hernández, E., E-mail: esteban.cruz@uaslp.mx [CIACyT-UASLP, Sierra Leona Av. # 550, Lomas 2a Secc, San Luis Potosí, S.L.P. 78210, México (Mexico)

    2015-04-01

    Highlights: • The formation of different kind of nanostructures in GaMnAs layers depending on Mn concentration at relative HT-MBE is reported. In this Mn% range, it is found the formation of nanogrooves, nanoleaves, and nanowires. • It is shown the progressive photoluminescence transitions from purely GaAsMn zinc blende (for Mn% = 0.01) to a mixture of zinc blende and wurtzite GaAsMn (for Mn% = 0.2). • A critical thickness for the Mn catalyst effect was determined by RHEED. - Abstract: In the present work, we report on molecular beam epitaxy growth of Mn-doped GaAs films at the relatively high temperature (HT) of 530 °C. We found that by increasing the Mn atomic percent, Mn%, from 0.01 to 0.2, the surface morphology of the samples is strongly influenced and changes from planar to corrugated for Mn% values from 0.01 to 0.05, corresponding to nanostructures on the surface with dimensions of 200–300 nm and with the shape of leave, to nanowire-like structures for Mn% values above 0.05. From reflection high-energy electron diffraction patterns, we observed the growth mode transition from two- to three-dimensional occurring at a Mn% exceeding 0.05. The optical and electrical properties were obtained from photoluminescence (PL) and Hall effect measurements, respectively. For the higher Mn concentration, besides the Mn related transitions at approximately 1.41 eV, PL spectra sharp peaks are present between 1.43 and 1.49 eV, which we related to the coexistence of zinc blende and wurtzite phases in the nanowire-like structures of this sample. At Mn% of 0.04, an increase of the carrier mobility up to a value of 1.1 × 10{sup 3} cm{sup 2}/Vs at 77 K was found, then decreases as Mn% is further increased due to the strengthening of the ionized impurity scattering.

  18. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  19. Properties of ZrN films as substrate masks in liquid phase epitaxial lateral overgrowth of compound semiconductors

    International Nuclear Information System (INIS)

    Dobosz, D.; Zytkiewicz, Z.R.; Jakiela, R.; Golaszewska, K.; Kaminska, E.; Piotrowska, A.; Piotrowski, T.T.; Barcz, A.

    2005-01-01

    The usefulness of ZrN films as masks for epitaxial lateral overgrowth of GaAs and GaSb by liquid phase epitaxy is studied. It was observed that during the growth process ZrN masks are mechanically stable, they adhere strongly to the substrate and do not show any signs of degradation even at the growth temperature as high as 750 C. Moreover, perfect selectivity of GaAs and GaSb epitaxy was obtained on ZrN masked substrates ensuring the growth wide and thin layers. To study the influence of growth conditions on electrical resistivity of the mask, ZrN films deposited on GaAs substrates were annealed in various atmospheres. It was found that at temperatures higher than about 580 C the ZrN masks become highly resistive when heat-treated in hydrogen flow employed during growth. Usually, LPE growth temperature for GaAs is higher. Thus, ELO growth of GaAs by LPE becomes more difficult, though still possible, if ZrN masks are to be applied as buried electrical contacts. For GaSb ELO layers however, typical LPE growth temperature is about 480 C. This allows us to grow high quality GaSb ELO layers by LPE still preserving high electrical conductivity of ZrN mask. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  1. Growth temperature and dopant species effects on deep levels in Si grown by low temperature molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Sung-Yong; Jin, Niu; Rice, Anthony T.; Berger, Paul R.; Yu, Ronghua; Fang, Z-Q.; Thompson, Phillip E.

    2003-01-01

    Deep-level transient spectroscopy measurements were performed in order to investigate the effects of substrate growth temperature and dopant species on deep levels in Si layers during low-temperature molecular beam epitaxial growth. The structures studied were n + -p junctions using B doping for the p layer and p + -n junctions using P doping for the n layer. While the density of hole traps H1 (0.38-0.41 eV) in the B-doped p layers showed a clear increase with decreasing growth temperature from 600 to 370 degree sign C, the electron trap density was relatively constant. Interestingly, the minority carrier electron traps E1 (0.42-0.45 eV) and E2 (0.257 eV), found in the B-doped p layers, are similar to the majority carrier electron traps E11 (0.48 eV) and E22 (0.269 eV) observed in P-doped n layers grown at 600 degree sign C. It is hypothesized that these dominating electron traps are associated with pure divacancy defects and are independent of the dopant species

  2. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films.

    Science.gov (United States)

    Kaspar, Tiffany C; Hong, Seungbum; Bowden, Mark E; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R; Comes, Ryan B; Ramuhalli, Pradeep; Henager, Charles H

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200 °C due to the low Curie temperature of the piezoelectric material. Strengthening the piezoelectric coupling of high-temperature piezoelectric materials, such as La 2 Ti 2 O 7 (LTO), would allow sensors to operate across a broad temperature range. The crystalline orientation and piezoelectric coupling direction of LTO thin films can be controlled by epitaxial matching to SrTiO 3 (001), SrTiO 3 (110), and rutile TiO 2 (110) substrates via pulsed laser deposition. The structure and phase purity of the films are investigated by x-ray diffraction and scanning transmission electron microscopy. Piezoresponse force microscopy is used to measure the in-plane and out-of-plane piezoelectric coupling in the films. The strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO 2 (110) results in epitaxial La 2/3 TiO 3 , an orthorhombic perovskite of interest as a microwave dielectric material and an ion conductor. La 2/3 TiO 3 can be difficult to stabilize in bulk form, and epitaxial stabilization on TiO 2 (110) is a promising route to realize La 2/3 TiO 3 for both fundamental studies and device applications. Overall, these results confirm that control of the crystalline orientation of epitaxial LTO-based materials can govern the resulting functional properties.

  3. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  4. Disorder and defect formation mechanisms in molecular-beam-epitaxy grown silicon epilayers

    International Nuclear Information System (INIS)

    Akbari-Sharbaf, Arash; Baribeau, Jean-Marc; Wu, Xiaohua; Lockwood, David J.; Fanchini, Giovanni

    2013-01-01

    We investigate the role of disorder, stress and crystallite size in determining the density of defects in disordered and partially ordered silicon thin films deposited at low or moderate temperatures by molecular beam epitaxy. We find that the paramagnetic defect density measured by electron spin resonance (ESR) is strongly dependent on the growth temperature of the films, decreasing from ∼ 2 · 10 19 cm −3 at 98 °C to ∼ 1 · 10 18 cm −3 at 572 °C. The physical nature of the defects is strongly dependent on the range of order in the films: ESR spectra consistent with dangling bonds in an amorphous phase are observed at the lowest temperatures, while the ESR signal gradually becomes more anisotropic as medium-range order improves and the stress level (measured both by X-ray diffraction and Raman spectroscopy) is released in more crystalline films. Anisotropic ESR spectra consistent with paramagnetic defects embedded in an epitaxial phase are observed at the highest growth temperature (572 °C). - Highlights: ► Disordered Si epilayers were grown by molecular beam epitaxy. ► Growth has been carried out at temperatures T = 98 °C–514 °C. ► A correlation between defect density and disorder in the films has been found. ► Lack of medium range order and stress cause the formation of defects at low T. ► At high T, defects are associated to grain boundaries and oriented stacking faults

  5. Transparent Semiconductor-Superconductor Interface and Induced Gap in an Epitaxial Heterostructure Josephson Junction

    NARCIS (Netherlands)

    Kjaergaard, M.; Suominen, H. J.; Nowak, M.P.; Akhmerov, A.R.; Shabani, J.; Palmstrøm, C. J.; Nichele, F.; Marcus, C.M.

    2017-01-01

    Measurement of multiple Andreev Reflection (MAR) in a Josephson junction made from an InAs quantum well heterostructure with epitaxial aluminum is used to quantify a highly transparent effective semiconductor-superconductor interface with near-unity transmission. The observed temperature

  6. Highly sensitive x-ray detectors in the low-energy range on n-type 4H-SiC epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    Mandal, Krishna C.; Muzykov, Peter G. [Department of Electrical Engineering, University of South Carolina, Columbia, South Carolina 29208 (United States); Russell Terry, J. [Space Science and Applications Group (ISR-1), Intelligence and Space Research Division, Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States)

    2012-07-30

    Schottky diodes on n-type 4H-SiC epitaxial layers have been fabricated for low-energy x-ray detection. The detectors were highly sensitive to soft x-rays and showed improved response compared to the commercial SiC UV photodiodes. Current-voltage characteristics at 475 K showed low leakage current revealing the possibility of high temperature operation. The high quality of the epi-layer was confirmed by x-ray diffraction and chemical etching. Thermally stimulated current measurements performed at 94-550 K revealed low density of deep levels which may cause charge trapping. No charge trapping on detectors' responsivity in the low x-ray energy was found.

  7. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  8. Growth of high mobility GaN and AlGaN/GaN high electron mobility transistor structures on 4H-SiC by ammonia molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Webb, James B.; Tang, H.; Bardwell, J. A.; Coleridge, P.

    2001-01-01

    Ammonia molecular-beam epitaxy has been used to grow high-quality epilayers of GaN and AlGaN/GaN heterostructure field-effect transistor (HFET) structures on insulating 4H-SiC. The growth process, which used a magnetron sputter epitaxy deposited buffer layer of AlN, has been described previously. Ex situ pretreatment of the SiC substrate was found to be unnecessary. For a single 2.0 μm thick silicon doped epilayer, a room temperature (RT) electron mobility of 500 cm2/Vs was measured at a carrier density of 6.6x10 16 cm -3 . For the HFET structure, a room temperature mobility of 1300 cm2/Vs at a sheet carrier density of 3.3x10 12 cm -2 was observed, increasing to 11000 cm2/Vs at 77 K. The surface morphology of the layers indicated a coalesced mesa structure similar to what we observed for growth on sapphire, but with a lower overall defect density and correspondingly larger grain size. The observation of well-resolved Shubnikov de Haas oscillations at fields as low as 3 T indicated a relatively smooth interface. [copyright] 2001 American Institute of Physics

  9. Low-temperature liquid-phase epitaxy and optical waveguiding of rare-earth-ion-doped KY(WO4)2 thin layers

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Utke, I.; Ehrentraut, D.; Apostolopoulos, V.; Pollnau, Markus; Garcia-Revilla, S.; Valiente, B.

    2004-01-01

    Crystalline $KY(WO_{4})_{2}$ thin layers doped with different rare-earth ions were grown on b-oriented, undoped $KY(WO_{4})_{2}$ substrates by liquid-phase epitaxy employing a low-temperature flux. The ternary chloride mixture of NaCl, KCl, and CsCl with a melting point of 480°C was used as a

  10. Gas Source Techniques for Molecular Beam Epitaxy of Highly Mismatched Ge Alloys

    Directory of Open Access Journals (Sweden)

    Chad A. Stephenson

    2016-12-01

    Full Text Available Ge and its alloys are attractive candidates for a laser compatible with silicon integrated circuits. Dilute germanium carbide (Ge1−xCx offers a particularly interesting prospect. By using a precursor gas with a Ge4C core, C can be preferentially incorporated in substitutional sites, suppressing interstitial and C cluster defects. We present a method of reproducible and upscalable gas synthesis of tetrakis(germylmethane, or (H3Ge4C, followed by the design of a hybrid gas/solid-source molecular beam epitaxy system and subsequent growth of defect-free Ge1−xCx by molecular beam epitaxy (MBE. Secondary ion mass spectroscopy, transmission electron microscopy and contactless electroreflectance confirm the presence of carbon with very high crystal quality resulting in a decrease in the direct bandgap energy. This technique has broad applicability to growth of highly mismatched alloys by MBE.

  11. High temperature interface superconductivity

    International Nuclear Information System (INIS)

    Gozar, A.; Bozovic, I.

    2016-01-01

    Highlight: • This review article covers the topic of high temperature interface superconductivity. • New materials and techniques used for achieving interface superconductivity are discussed. • We emphasize the role played by the differences in structure and electronic properties at the interface with respect to the bulk of the constituents. - Abstract: High-T_c superconductivity at interfaces has a history of more than a couple of decades. In this review we focus our attention on copper-oxide based heterostructures and multi-layers. We first discuss the technique, atomic layer-by-layer molecular beam epitaxy (ALL-MBE) engineering, that enabled High-T_c Interface Superconductivity (HT-IS), and the challenges associated with the realization of high quality interfaces. Then we turn our attention to the experiments which shed light on the structure and properties of interfacial layers, allowing comparison to those of single-phase films and bulk crystals. Both ‘passive’ hetero-structures as well as surface-induced effects by external gating are discussed. We conclude by comparing HT-IS in cuprates and in other classes of materials, especially Fe-based superconductors, and by examining the grand challenges currently laying ahead for the field.

  12. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    Science.gov (United States)

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  13. Some properties of Ga-As-Alsub(x)Gasub(1-x)As heterojunction grown by low temperature liquid phase epitaxy

    International Nuclear Information System (INIS)

    Yu Lisheng; Liu Hongxun; Zhang Bei; Wang Shumin

    1986-03-01

    GaAs-Alsub(x)Gasub(1-x)As heterojunction was grown by liquid phase epitaxy at low growth temperature 650-700 deg. C. The series resistance of heterojunction with DH laser structure was measured. Doping properties of Mg in GaAs and Alsub(x)Gasub(1-x)As were investigated. It is found that impurity concentration of Mg as high as 10 18 cm -3 can be doped easily. The Shubnikov-de-Haas oscillation was observed in GaAs-N Alsub(0.35)Gasub(0.65)As heterointerface. It is demonstrated that in these heterointerfaces there exists 2DEG with some contribution from 3D electron of N-AlGaAs layer. (author)

  14. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    Science.gov (United States)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  15. Temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Kumagai, Yoshinao; Adachi, Hirokazu; Otake, Aya; Higashikawa, Yoshihiro; Togashi, Rie; Murakami, Hisashi; Koukitu, Akinori

    2010-01-01

    The temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy (HVPE) was investigated. N-polarity single-crystal InN layers were successfully grown at temperatures ranging from 400 to 500 C. The a and c lattice constants of InN layers grown at 450 C or below were slightly larger than those of InN layers grown above 450 C due to oxygen incorporation that also increased the carrier concentration. The optical absorption edge of the InN layer decreased from above 2.0 to 0.76 eV when the growth temperature was increased from 450 to 500 C. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Quantum Hall effect in epitaxial graphene with permanent magnets.

    Science.gov (United States)

    Parmentier, F D; Cazimajou, T; Sekine, Y; Hibino, H; Irie, H; Glattli, D C; Kumada, N; Roulleau, P

    2016-12-06

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  17. Quantum Hall effect in epitaxial graphene with permanent magnets

    Science.gov (United States)

    Parmentier, F. D.; Cazimajou, T.; Sekine, Y.; Hibino, H.; Irie, H.; Glattli, D. C.; Kumada, N.; Roulleau, P.

    2016-12-01

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  18. Magnetic and electrical properties of epitaxial GeMn

    Energy Technology Data Exchange (ETDEWEB)

    Ahlers, Stefan

    2009-01-15

    In this work, GeMn magnetic semiconductors will be investigated. The fabrication of GeMn thin films with Mn contents up to 11.7% was realised with molecular beam epitaxy. At a fabrication temperature of 60 C, the suppression of Mn{sub x}Ge{sub y} phases could reproducibly be obtained. Dislocation free epitaxy of diamond-lattice type GeMn thin films was observed. In all fabrication conditions where Mn{sub x}Ge{sub y} suppression was feasible, an inhomogeneous dispersion of Mn was observed in form of a self-assembly of nanometre sized, Mn rich regions in a Ge rich matrix. Each Mn rich region exhibits ferromagnetic coupling with high Curie temperatures exceeding, in part, room temperature. The local ferromagnetic ordering leads to the formation of large, spatially separated magnetic moments, which induce a superparamagnetic behaviour of the GeMn thin films. At low temperatures {<=} 20 K, remanent behaviour was found to emerge. X-ray absorption experiments revealed a similarity of the Mn incorporation in diamond-lattice type GeMn thin films and in the hexagonal lattice of the intermetallic Mn{sub 5}Ge{sub 3} phase, respectively. These tetrahedra represent building blocks of the Mn{sub 5}Ge{sub 3} unit cell. The incorporation of Mn{sub 5}Ge{sub 3} building blocks was found to be accompanied by local structural disorder. The electrical properties of GeMn thin films were addressed by transport measurements. It was shown that by using a n-type Ge substrate, a pn energy barrier between epilayers and substrate to suppress parallel substrate conduction paths can be introduced. With the pn barrier concept, first results on the magnetotransport behaviour of GeMn thin films were obtained. GeMn was found to be p-type, but of high resistivity. a series of GeMn thin films was fabricated, where intermetallic Mn{sub x}Ge{sub y} phase separation was supported in a controlled manner. Phase separation was found to result in the formation of partially coherent, nanometre sized Mn{sub 5

  19. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  20. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  1. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  2. Droplet epitaxial growth of highly symmetric quantum dots emitting at telecommunication wavelengths on InP(111)A

    International Nuclear Information System (INIS)

    Ha, Neul; Kuroda, Takashi; Liu, Xiangming; Mano, Takaaki; Mitsuishi, Kazutaka; Noda, Takeshi; Sakuma, Yoshiki; Sakoda, Kazuaki; Castellano, Andrea; Sanguinetti, Stefano

    2014-01-01

    We demonstrate the formation of InAs quantum dots (QDs) on InAlAs/InP(111)A by means of droplet epitaxy. The C 3v symmetry of the (111)A substrate enabled us to realize highly symmetric QDs that are free from lateral elongations. The QDs exhibit a disk-like truncated shape with an atomically flat top surface. Photoluminescence signals show broad-band spectra at telecommunication wavelengths of 1.3 and 1.5 μm. Strong luminescence signals are retained up to room temperature. Thus, our QDs are potentially useful for realizing an entangled photon-pair source that is compatible with current telecommunication fiber networks

  3. On the kinetic barriers of graphene homo-epitaxy

    International Nuclear Information System (INIS)

    Zhang, Wei; Yu, Xinke; Xie, Ya-Hong; Cahyadi, Erica; Ratsch, Christian

    2014-01-01

    The diffusion processes and kinetic barriers of individual carbon adatoms and clusters on graphene surfaces are investigated to provide fundamental understanding of the physics governing epitaxial growth of multilayer graphene. It is found that individual carbon adatoms form bonds with the underlying graphene whereas the interaction between graphene and carbon clusters, consisting of 6 atoms or more, is very weak being van der Waals in nature. Therefore, small carbon clusters are quite mobile on the graphene surfaces and the diffusion barrier is negligibly small (∼6 meV). This suggests the feasibility of high-quality graphene epitaxial growth at very low growth temperatures with small carbon clusters (e.g., hexagons) as carbon source. We propose that the growth mode is totally different from 3-dimensional bulk materials with the surface mobility of carbon hexagons being the highest over graphene surfaces that gradually decreases with further increase in cluster size

  4. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele

    2015-02-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.

  5. High temperature dielectric function of silicon, germanium and GaN

    Energy Technology Data Exchange (ETDEWEB)

    Leyer, Martin; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin (Germany). Institut fuer Festkoerperphysik

    2010-07-01

    In the last few years accurate values for the optical properties of silicon, germanium and GaN at high temperatures have become important as a reference for in-situ analysis, e.g. reflectometry. Precise temperature dependent dielectric measurements are necessary for the growth of GaInP/GaInAs/Ge triple-junction solar cells and the hetero epitaxy of GaN on silicon and sapphire. We performed spectroscopic ellipsometry (SE) measurements of the dielectric function of silicon, germanium and GaN between 1.5 eV and 6.5 eV in the temperature range from 300 K to 1300 K. The Samples were deoxidized chemically or by heating. High resolution SE spectra were taken every 50 K while cooling down to room temperature. The temperature dependence of the critical energies is compared to literature. Measurements for germanium showed a shift of the E{sub 2} critical point of {proportional_to}0.1 eV toward lower energies. The reason for this behavior is a non-negligible oxide layer on the samples in the literature.

  6. Laser energy tuning of carrier effective mass and thermopower in epitaxial oxide thin films

    KAUST Repository

    Abutaha, Anas I.; Sarath Kumar, S. R.; Alshareef, Husam N.

    2012-01-01

    The effect of the laser fluence on high temperature thermoelectric properties of the La doped SrTiO3 (SLTO) thin films epitaxially grown on LaAlO3 〈100〉 substrates by pulsed laser deposition is clarified. It is shown that oxygen vacancies

  7. Effect of atomic-arrangement matching on La{sub 2}O{sub 3}/Ge heterostructures for epitaxial high-k-gate-stacks

    Energy Technology Data Exchange (ETDEWEB)

    Kanashima, T., E-mail: kanashima@ee.es.osaka-u.ac.jp; Zenitaka, M.; Kajihara, Y.; Yamada, S.; Hamaya, K. [Graduate School of Engineering Science, Osaka University, Machkaneyama 1-3, Toyonaka, Osaka 560-8531 (Japan); Nohira, H. [Tokyo City University, 1-28-1 Tamazutumi, Setagaya-ku, Tokyo 158-8557 (Japan)

    2015-12-14

    We demonstrate a high-quality La{sub 2}O{sub 3} layer on germanium (Ge) as an epitaxial high-k-gate-insulator, where there is an atomic-arrangement matching condition between La{sub 2}O{sub 3}(001) and Ge(111). Structural analyses reveal that (001)-oriented La{sub 2}O{sub 3} layers were grown epitaxially only when we used Ge(111) despite low growth temperatures less than 300 °C. The permittivity (k) of the La{sub 2}O{sub 3} layer is roughly estimated to be ∼19 from capacitance-voltage (C-V) analyses in Au/La{sub 2}O{sub 3}/Ge structures after post-metallization-annealing treatments, although the C-V curve indicates the presence of carrier traps near the interface. By using X-ray photoelectron spectroscopy analyses, we find that only Ge–O–La bonds are formed at the interface, and the thickness of the equivalent interfacial Ge oxide layer is much smaller than that of GeO{sub 2} monolayer. We discuss a model of the interfacial structure between La{sub 2}O{sub 3} and Ge(111) and comment on the C-V characteristics.

  8. Analysis of temperature profiles and the mechanism of silicon substrate plastic deformation under epitaxial growth

    International Nuclear Information System (INIS)

    Mirkurbanov, H.A.; Sazhnev, S.V.; Timofeev, V.N.

    2004-01-01

    Full text: Thermal treatment of silicon wafers holds one of the major place in the manufacturing of semi-conductor devices. Thermal treatment includes wafer annealing, thermal oxidation, epitaxial growing etc. Quality of wafers in the high-temperature processes (900-1200 deg C) is estimated by the density of structural defects, including areas of plastic deformation, which are shown as the slip lines appearance. Such areas amount to 50-60 % of total wafer surface. The plastic deformation is caused by the thermal stresses. Experimental and theoretical researches allowed to determine thermal balance and to construct a temperature profiles throughout the plate surface. Thermal stresses are caused by temperature drop along the radius of a wafer and at the basic peripheral ring. The threshold temperature drop between center f a wafer and its peripherals (ΔT) for slip lines appearance, amounts to 15-17 deg. C. At the operating temperature of 900-1200 deg. C and ΔT>20 deg. C, the stresses reach the silicon yield point. According to the results of the researches of structure and stress profiles in a wafer, the mechanism of slip lines formation has been constructed. A source of dislocations is the rear broken layer of thickness 8-10 microns, formed after polishing. The micro-fissures with a density 10 5 -10 6 cm -2 are the sources of dislocations. Dislocations move on a surface of a wafer into a slip plane (111). On a wafer surface with orientation (111) it is possible to allocate zones where the tangential stress vector is most favorably directed with respect to a slip plane leaving on a surface, i.e. the shift stresses are maximal in the slip plane. The way to eliminate plastic deformation is to lower the temperature drop to a level of <15 deg. C and elimination of the broken layer in wafer

  9. Influence of structural properties on ballistic transport in nanoscale epitaxial graphene cross junctions

    International Nuclear Information System (INIS)

    Bock, Claudia; Weingart, Sonja; Karaissaridis, Epaminondas; Kunze, Ulrich; Speck, Florian; Seyller, Thomas

    2012-01-01

    In this paper we investigate the influence of material and device properties on the ballistic transport in epitaxial monolayer graphene and epitaxial quasi-free-standing monolayer graphene. Our studies comprise (a) magneto-transport in two-dimensional (2D) Hall bars, (b) temperature- and magnetic-field-dependent bend resistance of unaligned and step-edge-aligned orthogonal cross junctions, and (c) the influence of the lead width of the cross junctions on ballistic transport. We found that ballistic transport is highly sensitive to scattering at the step edges of the silicon carbide substrate. A suppression of the ballistic transport is observed if the lead width of the cross junction is reduced from 50 nm to 30 nm. In a 50 nm wide device prepared on quasi-free-standing graphene we observe a gradual transition from the ballistic into the diffusive transport regime if the temperature is increased from 4.2 to about 50 K, although 2D Hall bars show a temperature-independent mobility. Thus, in 1D devices additional temperature-dependent scattering mechanisms play a pivotal role. (paper)

  10. The role of defects in fluorescent silicon carbide layers grown by sublimation epitaxy

    DEFF Research Database (Denmark)

    Schimmel, Saskia; Kaiser, Michl; Jokubavicius, Valdas

    Donor-acceptor co-doped silicon carbide layers are promising light converters for novel monolithic all-semiconductor LEDs due to their broad-band donor-acceptor pair luminescence and potentially high internal quantum efficiency. Besides appropriate doping concentrations yielding low radiative...... lifetimes, high nonradiative lifetimes are crucial for efficient light conversion. Despite the excellent crystalline quality that can generally be obtained by sublimation epitaxy according to XRD measurements, the role of defects in f-SiC is not yet well understood. Recent results from room temperature...... photoluminescence, charge carrier lifetime measurements by microwave detected photoconductivity and internal quantum efficiency measurements suggest that the internal quantum efficiency of f-SiC layers is significantly affected by the incorporation of defects during epitaxy. Defect formation seems to be related...

  11. A high resolution cross section transmission electron microscopy study of epitaxial rare earth fluoride/GaAs(111) interfaces prepared by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chien, C.J.; Bravman, J.C.

    1990-01-01

    The authors report the HRXTEM study of epitaxial rare earth fluoride/GaAs(111) interfaces. Such interfaces are of interest because they are the starting point for growth of buried epitaxial rare earth/rare earth fluoride sandwich structures which exhibit interesting and non bulk-like magnetic properties. Also, the optical transitions in ultrathin epitaxial NdF 3 films may be influenced by strain and defects in the NdF 3 film and the nature of the interface to GaAs. The authors find that the rare earth fluoride/GaAs interfaces are semi-coherent but chemically abrupt with the transition taking place within 3 Angstrom. However, the interface is physically rough and multiple monolayer steps in the GaAs surface tend to tilt boundaries in the fluoride. The origin of these steps is believed to be thermal etching of the GaAs during the heat- cleaning stage prior to epitaxy. The surface of the fluoride film is much smoother than the initial GaAs surface indicating planarization during epitaxy

  12. H{sub 2}O{sub 2}-molecular beam epitaxy of high quality ZnO

    Energy Technology Data Exchange (ETDEWEB)

    El Shaer, A.; Bakin, A.; Che Mofor, A.; Kreye, M.; Waag, A. [Technical University Braunschweig, Institute of Semiconductor Technology, Braunschweig (Germany); Blaesing, J.; Krost, A. [Otto-von-Guericke-University, Institute of Experimental Physics, Magdeburg (Germany); Stoimenos, J. [Aristotele University, Physics Department, Thessaloniki (Greece); Pecz, B. [Hungarian Academy of Sciences, Research Institute for Technical Physics and Materials Science, P.O. Box 49, Budapest (Hungary)

    2007-07-15

    We have studied the growth and characterization of ZnO epilayers on (0001)-sapphire by H{sub 2}O{sub 2}-molecular beam epitaxy (MBE). A high temperature (HT) MgO buffer followed by a low-temperature ZnO buffer was introduced in order to accommodate the lattice mismatch between ZnO and sapphire. The surface morphology of the samples was studied using atomic force microscopy (AFM), and scanning electron microscopy (SEM). The crystalline quality of the layers was investigated by employing high resolution X-ray diffractometry (HRXRD) and high resolution transmission electron microscopy (HRTEM). The electrical properties of the grown ZnO layers were studied by Hall-effect measurements in a standard van der Pauw configuration. The measured surface roughness for the best layers is as low as 0.26 nm rms. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO heteroepitaxially grown on (0001)-sapphire with a HT MgO buffer layers. The influence of the growth conditions on the crystalline quality is discussed. The FWHM of the HRXRD (0002) rocking curves measured for the 2-inch ZnO-on-sapphire is as low as 27 arcsec with a very high lateral homogeneity across the whole 2-inch ZnO epilayers. The results indicate that H{sub 2}O{sub 2}-MBE is a suitable technique to fabricate ZnO epilayers of very high quality. (orig.)

  13. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  14. Epitaxial effects in thin films of high-Tc cuprates with the K2NiF4 structure

    Science.gov (United States)

    Naito, Michio; Sato, Hisashi; Tsukada, Akio; Yamamoto, Hideki

    2018-03-01

    La2-xSrxCuO4 (LSCO) and La2-xBaxCuO4 (LBCO) have been recognized as the archetype materials of "hole-doped" high-Tc superconductors. Their crystal structures are relatively simple with a small number of constituent cation elements. In addition, the doping level can be varied by the chemical substitution over a wide range enough to obtain the full spectrum of doping-dependent electronic and magnetic properties. These attractive features have dedicated many researchers to thin-film growth of LSCO and LBCO. The critical temperature (Tc) of LSCO and LBCO is sensitive to strain as manifested by a positive pressure coefficient of Tc in bulk samples. In general, films are strained if they are grown on lattice-mismatched substrates (epitaxial strain). Early attempts (before 1997) at the growth of LSCO and LBCO films resulted in depressed Tc below 30 K as they were grown on a commonly used SrTiO3 substrate (in-plane lattice parameter asub = 3.905 Å): the in-plane lattice parameters of LSCO and LBCO are ≤3.80 Å, and hence tensile epitaxial strain is introduced. The situation was changed by the use of LaSrAlO4 substrates with a slightly shorter in-plane lattice constant (asub = 3.756 Å). On LaSrAlO4 substrates, the Tc reaches 45 K in La1.85Sr0.15CuO4, 47 K in La1.85Ba0.15CuO4, and 56 K in ozone-oxidized La2CuO4+δ films, substantially higher than the Tc's of the bulk compounds. The Tc increase in La1.85Sr0.15CuO4 films on LaSrAlO4 and decrease on SrTiO3 are semi-quantitatively in accord with the phenomenological estimations based on the anisotropic strain coefficients of Tc (dTc/dεi). In this review article, we describe the growth and properties of films of cuprates having the K2NiF4 structure, mainly focusing on the increase/decrease of Tc by epitaxial strain and quasi-stable phase formation by epitaxial stabilization. We further extract the structural and/or physical parameters controlling Tc toward microscopic understanding of the variation of Tc by epitaxial strain.

  15. Continuous growth of low-temperature Si epitaxial layer with heavy phosphorous and boron doping using photoepitaxy

    International Nuclear Information System (INIS)

    Yamazaki, T.; Minakata, H.; Ito, T.

    1990-01-01

    The authors grew p + -n + silicon epitaxial layers, heavily doped with phosphorus and boron, continuously at 650 degrees C using low-temperature photoepitaxy. Then N + photoepitaxial layer with a phosphorus concentration above 10 17 cm -3 grown on p - substrate shows high-density surface pits, and as a result, poor crystal quality. However, when this n + photoepitaxial layer is grown continuously on a heavily boron-doped p + photoepitaxial layer, these surface pits are drastically decreased, disappearing completely above a hole concentration of 10 19 cm -3 in the p + photoepitaxial layer. The phosphorus activation ratio and electron Hall mobility in the heavily phosphorus-doped n + photoexpitaxial layer were also greatly improved. The authors investigated the cause of the surface pitting using a scanning transmission electron microscope, secondary ion mass spectroscopy, and energy-dispersive x-ray spectroscopy. They characterized the precipitation of phosphorus atoms on the crystal surface at the initial stage of the heavily phosphorus-doped n + photoexpitaxial layer growth

  16. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  17. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  18. Perpendicular magnetic anisotropy of non-epitaxial hexagonal Co{sub 50}Pt{sub 50} thin films prepared at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Yuan, F.T., E-mail: ftyuan@gmail.com [iSentek Ltd., Advanced Sensor Laboratory, New Taipei City 22101, Taiwan (China); Chang, H.W., E-mail: wei0208@gmail.com [Department of Applied Physics, Tunghai University, Taichung 40704, Taiwan (China); Lee, P.Y.; Chang, C.Y. [Department of Applied Physics, Tunghai University, Taichung 40704, Taiwan (China); Chi, C.C. [Department of Materials Sciences and Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Ouyang, H., E-mail: houyang@mx.nthu.edu.tw [Department of Materials Sciences and Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China)

    2015-04-15

    Highlights: • In this paper, we propose a non-epitaxially grown PMA thin film of disorder hexagonal Co{sub 50}Pt{sub 50} which can satisfy all the requirements at once. • Although the preparation temperature is at room temperature and no post annealing is required, the film also shows good thermal stability up to 400 °C. • Moreover, the easy-controlling single layer deposition process of the film largely enhances the feasibility of practical production. • Significant PMA is achieved in a wide range of film thickness from 2 nm to 20 nm, which expands the usage form a GMR or TMR magnetic junctions to perpendicular spin polarizer for spin current related engineering. • The presented results may open new opportunities for advanced spintronic devices. - Abstract: Non-epitaxially induced perpendicular magnetic anisotropy (PMA) of Co{sub 50}Pt{sub 50} thin films at room temperature (RT) is reported. The CoPt film having a disordered hcp structure shows a magnetocrystalline anisotropy (K{sub u}{sup RT}) of 1–2 × 10{sup 6} erg/cm{sup 3} in a wide range of layer thickness from 2 to 20 nm. K{sub u}{sup RT} of about 1 × 10{sup 6} erg/cm{sup 3} can be preserved after a 400 °C-thermal cycle in the 5-nm-thick sample. Moderate PMA, large thickness range, simple preparation process, low formation temperature but good thermal stability make presented hcp CoPt become a remarkable option for advanced spintronic devices.

  19. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  20. Growth of Sr2CrReO6 epitaxial thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Orna, J.; Morellon, L.; Algarabel, P.A.; Pardo, J.A.; Magen, C.; Varela, M.; Pennycook, S.J.; De Teresa, J.M.; Ibarra, M.R.

    2010-01-01

    We report the growth, structural, magnetic, and electrical transport properties of epitaxial Sr 2 CrReO 6 thin films. We have succeeded in depositing films with a high crystallinity and a relatively large cationic order in a narrow window of growth parameters. The epitaxy relationship is Sr 2 CrReO 6 (SCRO) (0 0 1) [1 0 0]-parallel SrTiO 3 (STO) (0 0 1) [1 1 0] as determined by high-resolution X-ray diffraction and scanning transmission electron microscopy (STEM). Typical values of saturation magnetization of M S (300 K)=1 μ B /f.u. and ρ (300 K)=2.8 mΩ cm have been obtained in good agreement with previous published results in sputtered epitaxial thin films. We estimate that the antisite defects concentration in our thin films is of the order of 14%, and the measured Curie temperature is T C =481(2) K. We believe these materials be of interest as electrodes in spintronic devices.

  1. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  2. Design of a braze alloy for fast epitaxial brazing of superalloys

    Science.gov (United States)

    Piegert, S.; Laux, B.; Rösier, J.

    2012-07-01

    For the repair of directionally solidified turbine components made of nickel-based superalloys, a new high-temperature brazing method has been developed. Utilising heterogeneous nucleation on the crack surface, the microstructure of the base material can be reproduced, i.e. single crystallinity can be maintained. In contrast to commonly used eutectic braze alloys, such as nickel-boron or nickel-silicon systems, the process is not diffusion controlled but works with a consolute binary base system. The currently applied epitaxial brazing methods rely on isothermal solidification diffusing the melting point depressants into the base material until their concentration is reduced so that the liquid braze solidifies. Contrary, the identified Ni-Mn consolute system enables a temperature driven epitaxial solidification resulting in substantially reduced process duration. The development of the braze alloys was assisted using the CALPHAD software Thermo-Calc. The solidification behaviour was estimated by kinetic calculations with realistic boundary conditions. Finally, the complete system, including braze alloy as well as substrate material, was modelled by means of DICTRA. Subsequently, the thermodynamic properties of the braze alloys were experimentally analysed by DSC measurements. For brazing experiments 300 μm wide parallel gaps were used. Complete epitaxial solidification, i.e. the absence of high-angle grain boundaries, could be achieved within brazing times being up to two orders of magnitude shorter compared to diffusion brazing processes. Theoretically and experimentally evaluated process windows reveal similar shapes. However, a distinct shift has to be stated which can be ascribed to the limited accuracy of the underlying thermodynamic databases.

  3. Fabrication of fully epitaxial magnetic tunnel junctions with a Co2MnSi thin film and a MgO tunnel barrier

    International Nuclear Information System (INIS)

    Kijima, H.; Ishikawa, T.; Marukame, T.; Matsuda, K.-I.; Uemura, T.; Yamamoto, M.

    2007-01-01

    Fully epitaxial magnetic tunnel junctions (MTJs) were fabricated with a Co-based full-Heusler alloy Co 2 MnSi (CMS) thin film having the ordered L2 1 structure as a lower electrode, a MgO tunnel barrier, and a Co 50 Fe 50 upper electrode. Reflection high-energy electron diffraction patterns observed in situ for each layer in the MTJ layer structure during fabrication clearly indicated that all layers of the CMS lower electrode, MgO tunnel barrier, and Co 50 Fe 50 upper electrode grew epitaxially. The microfabricated fully epitaxial CMS/MgO/Co 50 Fe 50 MTJs demonstrated relatively high tunnel magnetoresistance ratios of 90% at room temperature and 192% at 4.2 K

  4. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  5. Continuous epitaxial growth of extremely strong Cu6Sn5 textures at liquid-Sn/(111)Cu interface under temperature gradient

    Science.gov (United States)

    Zhong, Y.; Zhao, N.; Liu, C. Y.; Dong, W.; Qiao, Y. Y.; Wang, Y. P.; Ma, H. T.

    2017-11-01

    As the diameter of solder interconnects in three-dimensional integrated circuits (3D ICs) downsizes to several microns, how to achieve a uniform microstructure with thousands of interconnects on stacking chips becomes a critical issue in 3D IC manufacturing. We report a promising way for fabricating fully intermetallic interconnects with a regular grain morphology and a strong texture feature by soldering single crystal (111) Cu/Sn/polycrystalline Cu interconnects under the temperature gradient. Continuous epitaxial growth of η-Cu6Sn5 at cold end liquid-Sn/(111)Cu interfaces has been demonstrated. The resultant η-Cu6Sn5 grains show faceted prism textures with an intersecting angle of 60° and highly preferred orientation with their ⟨ 11 2 ¯ 0 ⟩ directions nearly paralleling to the direction of the temperature gradient. These desirable textures are maintained even after soldering for 120 min. The results pave the way for controlling the morphology and orientation of interfacial intermetallics in 3D packaging technologies.

  6. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  7. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  8. Characterization of bicrystalline epitaxial LaNiO{sub 3} films fabricated on MgO (1 0 0) substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zheng Liang [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Zhu Jun [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)]. E-mail: junzhu@uestc.edu.cn; Zhang Ying [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Jiang Shuwen [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Yanrong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Huawei Xian [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Jinlong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)

    2006-03-15

    A series of metallic LaNiO{sub 3} (LNO) thin films were deposited on MgO (1 0 0) substrates by pulsed laser deposition (PLD) under the oxygen pressure of 20 Pa at different substrate temperatures from 450 to 750 deg. C. X-ray diffraction (XRD) was used to characterize the crystal structure of LNO films. {theta}-2{theta} scans of XRD indicate that LNO film deposited at a substrate temperature of 700 deg. C has a high orientation of (l l 0). At other substrate temperatures, the LNO films have mixed phases of (l l 0) and (l 0 0). Furthermore, pole figure measurements show that LNO thin films, with the bicrystalline structure, were epitaxially deposited on MgO (1 0 0) substrates in the mode of LNO (1 1 0)//MgO (1 0 0) at 700 deg. C. Reflection high-energy electric diffraction (RHEED) and atomic force microscopy (AFM) were also performed to investigate the microstructure of LNO films with the high (l l 0) orientation. RHEED patterns clearly confirm this epitaxial relationship. An atomically smooth surface of LNO films at 700 deg. C was obtained. In addition, bicrystalline epitaxial LNO films, fabricated at 700 deg. C, present a excellent conductivity with a lower electrical resistivity of 300 {mu} {omega} cm. Thus, the obtained results indicate that bicystalline epitaxial LNO films could serve as a promising candidate of electrode materials for the fabrication of ferroelectric or dielectric films.

  9. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  10. Growth and magnetotransport properties of epitaxial films of the layered perovskite La2-2xSr1+2xMn2O7

    International Nuclear Information System (INIS)

    Philipp, J.B.; Alff, L.; Gross, R.; Klein, J.; Recher, C.

    2002-01-01

    Epitaxial thin films of the bilayered perovskite La 2-2x Sr 1+2x Mn 2 O 7 (x=0.3, 0.4) have been grown by laser molecular beam epitaxy on NdGaO 3 substrates. Magnetotransport measurements with the current in the ab-plane and along the c-axis direction showed an intrinsic c-axis tunneling magnetoresistance effect associated with nonlinear current-voltage-characteristics for the x=0.3 compound. Besides the colossal magnetoresistance effect around the Curie temperature T C , at temperatures below about 40 K an additional high-field magnetoresistance was found most likely due to a strain and disorder induced re-entrant spin glass state in both the x=0.3 and 0.4 compounds. Our experiments show that the substrate induced coherency strain in the high quality epitaxial films results in magnetotransport properties that show markedly different behavior from those of single crystals. (orig.)

  11. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  12. High-sensitivity β-Ga_2O_3 solar-blind photodetector on high-temperature pretreated c-plane sapphire substrate

    KAUST Repository

    Qian, Ling-Xuan

    2017-09-20

    Recently, monoclinic Ga2O3 (beta-Ga2O3) photodetectors (PDs) have been extensively studied for various commercial and military applications due to the merits of intrinsic solar rejection, high gain, and great compactness. In this work, c-plane sapphire substrates were annealed under different temperatures in a vacuum furnace prior to the molecular beam epitaxy (MBE) of beta-Ga2O3 thin film, which yielded a smoother surface and even a terraceand- step-like morphology on the substrate, resulting in improved crystallinity of the epitaxial film. Accordingly, both the dark and photo currents of beta-Ga2O3 metal-semiconductor-metal (MSM) PDs were increased by the enhanced carrier mobility (mu) of the more crystalline film. However, the substrate-annealing temperature must be sufficiently high to offset the rise of the dark current and thus achieve a remarkable improvement in the photodetection properties. As a result, the PD fabricated on the 1050 degrees C-annealed substrate exhibited extremely high sensitivity, for example, high responsivity (R) of 54.9 A/ W and large specific detectivity (D*) of 3.71 x 10(14) Jones. Both parameters were increased by one order of magnitude because of the combined effects of the dramatic increase in mu and the effective reduction in defect-related recombination centers. Nevertheless, the latter also prolonged the recovery time of the PD. These findings suggest another way to develop beta-Ga2O3 PD with extremely high sensitivity. (C) 2017 Optical Society of America

  13. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  14. Improvements on high voltage capacity and high temperature performances of Si-based Schottky potential barrier diode

    International Nuclear Information System (INIS)

    Wang Yongshun; Rui Li; Adnan Ghaffar; Wang Zaixing; Liu Chunjuan

    2015-01-01

    In order to improve the reverse voltage capacity and low junction temperature characteristics of the traditional silicon-based Schottky diode, a Schottky diode with high reverse voltage capacity and high junction temperature was fabricated using ion implantation, NiPt60 sputtering, silicide-forming and other major technologies on an N-type silicon epitaxial layer of 10.6–11.4 μm and (2.2–2.4) × 10 15 cm −3 doping concentration. The measurement results show that the junction temperature of the Schottky diode fabricated can reach 175 °C, that is 50 °C higher than that of the traditional one; the reverse voltage capacity V R can reach 112 V, that is 80 V higher than that of the traditional one; the leakage current is only 2 μA and the forward conduction voltage drop is V F = 0.71 V at forward current I F = 3 A. (semiconductor devices)

  15. Magnetic surface domain imaging of uncapped epitaxial FeRh(001) thin films across the temperature-induced metamagnetic transition

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Xianzhong; Matthes, Frank; Bürgler, Daniel E., E-mail: d.buergler@fz-juelich.de; Schneider, Claus M. [Peter Grünberg Institut, Electronic Properties (PGI-6) and Jülich-Aachen Research Alliance, Fundamentals of Future Information Technology (JARA-FIT), Forschungszentrum Jülich, D-52425 Jülich (Germany)

    2016-01-15

    The surface magnetic domain structure of uncapped epitaxial FeRh/MgO(001) thin films was imaged by in-situ scanning electron microscopy with polarization analysis (SEMPA) at various temperatures between 122 and 450 K. This temperature range covers the temperature-driven antiferromagnetic-to-ferromagnetic phase transition in the body of the films that was observed in-situ by means of the more depth-sensitive magneto-optical Kerr effect. The SEMPA images confirm that the interfacial ferromagnetism coexisting with the antiferromagnetic phase inside the film is an intrinsic property of the FeRh(001) surface. Furthermore, the SEMPA data display a reduction of the in-plane magnetization occuring well above the phase transition temperature which, thus, is not related to the volume expansion at the phase transition. This observation is interpreted as a spin reorientation of the surface magnetization for which we propose a possible mechanism based on temperature-dependent tetragonal distortion due to different thermal expansion coefficients of MgO and FeRh.

  16. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  17. Hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Cai, Tuocheng; Jia, Zhenzhao; Yan, Baoming; Yu, Dapeng; Wu, Xiaosong, E-mail: xswu@pku.edu.cn [State Key Laboratory for Artificial Microstructure and Mesoscopic Physics, Peking University, Beijing 100871 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100871 (China)

    2015-01-05

    We demonstrate hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC. Compared with the conventional thermal decomposition technique, the size of the growth domain by this method is substantially increased and the thickness variation is reduced. Based on the morphology of epitaxial graphene, the role of hydrogen is revealed. It is found that hydrogen acts as a carbon etchant. It suppresses the defect formation and nucleation of graphene. It also improves the kinetics of carbon atoms via hydrocarbon species. These effects lead to increase of the domain size and the structure quality. The consequent capping effect results in smooth surface morphology and suppression of multilayer growth. Our method provides a viable route to fine tune the growth kinetics of epitaxial graphene on SiC.

  18. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1-xFex)2O3 multilayer thin films.

    Science.gov (United States)

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-04-28

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe(2+) and Fe(3+) are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What's more, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3.

  19. Efficient Exciton Diffusion and Resonance-Energy Transfer in Multi-Layered Organic Epitaxial Nanofibers

    DEFF Research Database (Denmark)

    Tavares, Luciana; Cadelano, Michele; Quochi, Francesco

    2015-01-01

    Multi-layered epitaxial nanofibers are exemplary model systems for the study of exciton dynamics and lasing in organic materials due to their well-defined morphology, high luminescence efficiencies, and color tunability. We resort to temperature-dependent cw and picosecond photoluminescence (PL......) spectroscopy to quantify exciton diffusion and resonance-energy transfer (RET) processes in multi-layered nanofibers consisting of alternating layers of para-hexaphenyl (p6P) and α-sexithiophene (6T), serving as exciton donor and acceptor material, respectively. The high probability for RET processes...... is confirmed by Quantum Chemical calculations. The activation energy for exciton diffusion in p6P is determined to be as low as 19 meV, proving p6P epitaxial layers also as a very suitable donor material system. The small activation energy for exciton diffusion of the p6P donor material, the inferred high p6P...

  20. Demonstration of molecular beam epitaxy and a semiconducting band structure for I-Mn-V compounds

    International Nuclear Information System (INIS)

    Jungwirth, T.; Novak, V.; Cukr, M.; Zemek, J.; Marti, X.; Horodyska, P.; Nemec, P.; Holy, V.; Maca, F.; Shick, A. B.; Masek, J.; Kuzel, P.; Nemec, I.; Gallagher, B. L.; Campion, R. P.; Foxon, C. T.; Wunderlich, J.

    2011-01-01

    Our ab initio theory calculations predict a semiconducting band structure of I-Mn-V compounds. We demonstrate on LiMnAs that high-quality materials with group-I alkali metals in the crystal structure can be grown by molecular beam epitaxy. Optical measurements on the LiMnAs epilayers are consistent with the theoretical electronic structure. Our calculations also reproduce earlier reports of high antiferromagnetic ordering temperature and predict large, spin-orbit-coupling-induced magnetic anisotropy effects. We propose a strategy for employing antiferromagnetic semiconductors in high-temperature semiconductor spintronics.

  1. Obtaining of bilateral high voltage epitaxial p—i—n Si structures by LPE method

    Directory of Open Access Journals (Sweden)

    Vakiv N. M.

    2013-12-01

    Full Text Available Silicon p—i—n-structures are usually obtained using conventional diffusion method or liquid phase epitaxy (LPE. In both cases, the formation of p- and n-layers occurs in two stages. This technological approach is quite complex. Moreover, when forming bilateral high-voltage epitaxial layers, their parameters significantly deteriorate as a result of prolonged heat treatment of active high-resistivity layer. Besides, when using diffusion method, it is impossible to provide good reproducibility of the process. In this paper a technique of growing bilateral high-voltage silicon p—i—n-structures by LPE in a single process is proposed. The authors have obtained the optimum compounds of silicon-undersaturated molten solutions for highly doped (5•1018 cm–3 contact layers: 0.4—0.8 at. % aluminum in gallium melt for growing p-Si-layers and 0.03—0.15 at. % ytterbium in tin melt for n-Si-layers. Parameters of such structures provide for manufacturing of high-voltage diodes on their basis. Such diodes can be used in navigational equipment, communication systems for household and special purposes, on-board power supply systems, radar systems, medical equipment, etc.

  2. As-free pnictide LaNi{sub 1-x}Sb{sub 2} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2012-07-01

    We use reactive molecular beam epitaxy (RMBE) as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaNi{sub 1-x}Sb{sub 2} were grown on (100)MgO substrates from elemental sources by simultaneous evaporation of high purity La, Ni and Sb metals by e-gun. The LaNi{sub 1-x}Sb{sub 2} thin films grow epitaxially and are (00l) oriented with high crystalline quality, as evident from RHEED and X-Ray diffraction studies. The Ni deficient LaNi{sub 1-x}Sb{sub 2} thin films show metallic behavior with a room temperature resistivity of 110 {mu}{Omega} cm, while the stoichiometric compound is a semiconductor/insulator. The isostructural compound with Bi as pnictide shows a superconducting transition with a T{sub C}(0) of 3.1 K.

  3. AlGaInAs EML having high extinction ratios fabricated by identical epitaxial layer technique

    Science.gov (United States)

    Deng, Qiufang; Guo, Lu; Liang, Song; Sun, Siwei; Xie, Xiao; Zhu, Hongliang; Wang, Wei

    2018-04-01

    AlGaInAs electroabsorption-modulated lasers (EMLs) fabricated by identical epitaxial layer technique are demonstrated. The EML device shows an infinite characteristic temperature when the temperature ranges from 20 oC to 30 oC. The integrated modulator has static extinction ratios of larger than 20 dB at a reverse bias voltage of - 2 V. The small signal modulation bandwidth of the modulator is larger than 11 GHz. At 10 Gb/s data modulation, the dynamic extinction ratio is about 9.5 dB in a back to back test configuration. Because only a simple fabrication procedure is needed, our EMLs are promising low cost light sources for optical fiber transmission applications.

  4. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1−xFex)2O3 multilayer thin films

    Science.gov (United States)

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-01-01

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe2+ and Fe3+ are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What’s more, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3. PMID:27121446

  5. Impact of substrate temperature on the incorporation of carbon-related defects and mechanism for semi-insulating behavior in GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Armstrong, A.; Poblenz, C.; Green, D.S.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2006-01-01

    The electrical conductivity and deep level spectrum of GaN grown by molecular beam epitaxy and codoped with carbon and silicon were investigated for substrate temperatures T s of 650 and 720 deg. C as a function relative carbon and silicon doping levels. With sufficiently high carbon doping, semi-insulating behavior was observed for films grown at both temperatures, and growth at T s =720 deg. C enhanced the carbon compensation ratio. Similar carbon-related band gap states were observed via deep level optical spectroscopy for films grown at both substrate temperatures. Due to the semi-insulating nature of the films, a lighted capacitance-voltage technique was required to determine individual deep level concentrations. Carbon-related band gap states underwent substantial redistribution between deep level and shallow acceptor configurations with change in T s . In light of a T s dependence for the preferential site of carbon incorporation, a model of semi-insulating behavior in terms of carbon impurity state incorporation mediated by substrate temperature is proposed

  6. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  7. In-situ TEM investigations of graphic-epitaxy and small particles

    Science.gov (United States)

    Heinemann, K.

    1983-01-01

    Palladium was deposited inside a controlled-vacuum specimen chamber of a transmission electron microscope (TEM) onto MgO and alpha-alumina substrate surfaces. Annealing and various effects of gas exposure of the particulate Pd deposits were studied in-situ by high resolution TEM and electron diffraction. Whereas substrate temperatures of 500 C or annealing of room temperature (RT) deposits to 500 C were needed to obtain epitaxy on sapphire, RT deposits on MgO were perfectly epitaxial. For Pd/MgO a lattice expansion of 2 to 4% was noted; the highest values of expansion were found for the smallest particles. The lattice expansion of small Pd particles on alumina substrates was less than 1%. Long-time RT exposure of Pd/MgO in a vacuum yielded some moblity and coalescence events, but notably fewer than for Pd on sapphire. Exposure to air or oxygen greatly enhanced the particle mobility and coalescence and also resulted in the flattening of Pd particles on MgO substrates. Electron-beam irradiation further enhanced this effect. Exposure to air for several tens of hours of Pd/MgO led to strong coalescence.

  8. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  9. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    Science.gov (United States)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  10. Superconducting thin films of As-free pnictide LaPd{sub 1-x}Sb{sub 2} grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2013-07-01

    We use reactive molecular beam epitaxy as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaPd{sub 1-x}Sb{sub 2} were grown on (100) MgO substrates from elemental sources by simultaneous evaporation of high purity La, Pd and Sb metals by e-gun. LaPd{sub 1-x}Sb{sub 2} belongs to a novel class of pnictide superconductors with a peculiar pnictide square net layer. Previously, we have reported epitaxial growth of isostructural Bi based compounds. The substitution of Bi by Sb leads to thin films with metallic behavior and room temperature resistivity of about 85 μΩ cm. The highest observed transition temperature T{sub c} inLaPd{sub 1-x}Sb{sub 2} is 3.1 K and does not depend on x. We discuss strategies to increase T{sub c} in this pnictide subfamily.

  11. Hybrid molecular beam epitaxy for the growth of stoichiometric BaSnO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, Abhinav, E-mail: praka019@umn.edu; Dewey, John; Yun, Hwanhui; Jeong, Jong Seok; Mkhoyan, K. Andre; Jalan, Bharat, E-mail: bjalan@umn.edu [Department of Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, Minnesota 55455 (United States)

    2015-11-15

    Owing to its high room-temperature electron mobility and wide bandgap, BaSnO{sub 3} has recently become of significant interest for potential room-temperature oxide electronics. A hybrid molecular beam epitaxy (MBE) approach for the growth of high-quality BaSnO{sub 3} films is developed in this work. This approach employs hexamethylditin as a chemical precursor for tin, an effusion cell for barium, and a radio frequency plasma source for oxygen. BaSnO{sub 3} films were thus grown on SrTiO{sub 3} (001) and LaAlO{sub 3} (001) substrates. Growth conditions for stoichiometric BaSnO{sub 3} were identified. Reflection high-energy electron diffraction (RHEED) intensity oscillations, characteristic of a layer-by-layer growth mode were observed. A critical thickness of ∼1 nm for strain relaxation was determined for films grown on SrTiO{sub 3} using in situ RHEED. Scanning transmission electron microscopy combined with electron energy-loss spectroscopy and energy dispersive x-ray spectroscopy confirmed the cube-on-cube epitaxy and composition. The importance of precursor chemistry is discussed in the context of the MBE growth of BaSnO{sub 3}.

  12. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  13. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  14. Rare-earth-ion doped KY(WO4)2 optical waveguides grown by liquid-phase epitaxy

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Apostolopoulos, V.; Utke, U.; Pollnau, Markus

    High-quality KY(WO4)2 thin layers doped with rare-earth-ions were grown using liquid-phase epitaxy. A low-temperature mixture of chlorides was used as the flux and undoped KY(WO4)2 crystals as substrates. The crystalline layers possessed thicknesses up to 10 µm. Passive and active planar waveguiding

  15. Epitaxial growth of AlN on single crystal Mo substrates

    International Nuclear Information System (INIS)

    Okamoto, Koichiro; Inoue, Shigeru; Nakano, Takayuki; Kim, Tae-Won; Oshima, Masaharu; Fujioka, Hiroshi

    2008-01-01

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30 o rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices

  16. Epitaxial growth of AlN on single crystal Mo substrates

    Energy Technology Data Exchange (ETDEWEB)

    Okamoto, Koichiro; Inoue, Shigeru [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Nakano, Takayuki; Kim, Tae-Won [Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan); Oshima, Masaharu [Department of Applied Chemistry, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo, 113-8656 (Japan); Fujioka, Hiroshi [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan)], E-mail: hfujioka@iis.u-tokyo.ac.jp

    2008-06-02

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30{sup o} rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices.

  17. N-polar GaN epitaxy and high electron mobility transistors

    International Nuclear Information System (INIS)

    Wong, Man Hoi; Keller, Stacia; Dasgupta, Nidhi Sansaptak; Denninghoff, Daniel J; Kolluri, Seshadri; Brown, David F; Lu, Jing; Fichtenbaum, Nicholas A; Ahmadi, Elaheh; DenBaars, Steven P; Speck, James S; Mishra, Umesh K; Singisetti, Uttam; Chini, Alessandro; Rajan, Siddharth

    2013-01-01

    This paper reviews the progress of N-polar (0001-bar) GaN high frequency electronics that aims at addressing the device scaling challenges faced by GaN high electron mobility transistors (HEMTs) for radio-frequency and mixed-signal applications. Device quality (Al, In, Ga)N materials for N-polar heterostructures are developed using molecular beam epitaxy and metalorganic chemical vapor deposition. The principles of polarization engineering for designing N-polar HEMT structures will be outlined. The performance, scaling behavior and challenges of microwave power devices as well as highly-scaled depletion- and enhancement-mode devices employing advanced technologies including self-aligned processes, n+ (In,Ga)N ohmic contact regrowth and high aspect ratio T-gates will be discussed. Recent research results on integrating N-polar GaN with Si for prospective novel applications will also be summarized. (invited review)

  18. Growth of pseudomorphic structures through organic epitaxy

    International Nuclear Information System (INIS)

    Kaviyil, Sreejith Embekkat; Sassella, Adele; Borghesi, Alessandro; Campione, Marcello; Su Genbo; He Youping; Chen Chenjia

    2012-01-01

    The control of molecular orientation in thin solid film phases of organic semiconductors is a basic factor for the exploitation of their physical properties for optoelectronic devices. We compare structural and optical properties of thin films of the organic semiconductor α-quarterthiophene grown by molecular beam epitaxy on different organic substrates. We show how epitactic interactions, characteristic of the surface of organic crystals, can drive the orientation of the crystalline overlayer and the selection of specific polymorphs and new pseudomorphic phases. We identify a key role in this phenomenon played by the marked groove-like corrugations present in some organic crystal surfaces. Since different polymorphs possess rather different performance in terms of, e.g., charge carrier mobility, this strategy is demonstrated to allow for the growth of oriented phases with enhanced physical properties, while keeping the substrate at room temperature. These results provide useful guidelines for the design of technological substrates for organic epitaxy and they substantiate the adoption of an organic epitaxy approach for the fabrication of optoelectronic devices based on thin films of organic semiconductors.

  19. High electron mobility through the edge states in random networks of c-axis oriented wedge-shaped GaN nanowalls grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Sain, A.; Kesaria, Manoj; Shivaprasad, S. M.

    2012-01-01

    Transport and optical properties of random networks of c-axis oriented wedge-shaped GaN nanowalls grown spontaneously on c-plane sapphire substrates through molecular beam epitaxy are investigated. Our study suggests a one dimensional confinement of carriers at the top edges of these connected nanowalls, which results in a blue shift of the band edge luminescence, a reduction of the exciton-phonon coupling, and an enhancement of the exciton binding energy. Not only that, the yellow luminescence in these samples is found to be completely suppressed even at room temperature. All these changes are highly desirable for the enhancement of the luminescence efficiency of the material. More interestingly, the electron mobility through the network is found to be significantly higher than that is typically observed for GaN epitaxial films. This dramatic improvement is attributed to the transport of electrons through the edge states formed at the top edges of the nanowalls.

  20. Insight into the epitaxial growth of high optical quality GaAs{sub 1–x}Bi{sub x}

    Energy Technology Data Exchange (ETDEWEB)

    Beaton, D. A., E-mail: daniel.beaton@nrel.gov; Mascarenhas, A.; Alberi, K. [National Renewable Energy Laboratory (NREL), Golden, Colorado 80401 (United States)

    2015-12-21

    The ternary alloy GaAs{sub 1–x}Bi{sub x} is a potentially important material for infrared light emitting devices, but its use has been limited by poor optical quality. We report on the synthesis of GaAs{sub 1–x}Bi{sub x} epi-layers that exhibit narrow, band edge photoluminescence similar to other ternary GaAs based alloys, e.g., In{sub y}Ga{sub 1–y}As. The measured spectral linewidths are as low as 14 meV and 37 meV at low temperature (6 K) and room temperature, respectively, and are less than half of previously reported values. The improved optical quality is attributed to the use of incident UV irradiation of the epitaxial surface and the presence of a partial surface coverage of bismuth in a surfactant layer during epitaxy. Comparisons of samples grown under illuminated and dark conditions provide insight into possible surface processes that may be altered by the incident UV light. The improved optical quality now opens up possibilities for the practical use of GaAs{sub 1–x}Bi{sub x} in optoelectronic devices.

  1. Comparison of linear and nonlinear optical spectra of various ZnO epitaxial layers and of bulk material obtained by different experimental techniques

    Energy Technology Data Exchange (ETDEWEB)

    Priller, H.; Brueckner, J.; Klingshirn, C.; Kalt, H. [Institut fuer Angewandte Physik, Universitaet Karlsruhe, Wolfgang-Gaede-Str. 1, 76131 Karlsruhe (Germany); Gruber, Th.; Waag, A. [Abteilung Halbleiterphysik, Universitaet Ulm, Albert Einstein Allee 45, 89081 Ulm (Germany); Ko, H.J.; Yao, T. [Institute for Material Research, Tohoku University, Katahira 2-1-1, Aoba-Ku, Sendai 980-8577 (Japan)

    2004-03-01

    We investigate ZnO epitaxial layers grown by MBE (Molecular Beam Epitaxy) and MOVPE (Metal Organic Vapor Phase Epitaxy) techniques. The samples show similar optical behavior in temperature dependent photoluminescence measurements, reflection and photoluminescence excitation spectroscopy in the low density regime. High excitation measurements show different behavior. While the MBE sample leads to stimulated emission from the exciton-exciton-scattering, an electron hole plasma is formed in the MOVPE sample which leads to stimulated emission at higher excitation intensities. The gain value measured by the variable stripe length method is much higher for the MBE grown sample. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  3. Properties of a radiation-induced charge multiplication region in epitaxial silicon diodes

    CERN Document Server

    Lange, Jörn; Fretwurst, Eckhart; Klanner, Robert; Lindström, Gunnar

    2010-01-01

    Charge multiplication (CM) in p$^+$n epitaxial silicon pad diodes of 75, 100 and 150 $\\upmu$m thickness at high voltages after proton irradiation with 1 MeV neutron equivalent fluences in the order of $10^{16}$ cm$^{-2}$ was studied as an option to overcome the strong trapping of charge carriers in the innermost tracking region of future Super-LHC detectors. Charge collection efficiency (CCE) measurements using the Transient Current Technique (TCT) with radiation of different penetration (670, 830, 1060 nm laser light and $\\alpha$-particles with optional absorbers) were used to locate the CM region close to the p$^+$-implantation. The dependence of CM on material, thickness of the epitaxial layer, annealing and temperature was studied. The collected charge in the CM regime was found to be proportional to the deposited charge, uniform over the diode area and stable over a period of several days. Randomly occurring micro discharges at high voltages turned out to be the largest challenge for operation of the dio...

  4. Planar edge Schottky barrier-tunneling transistors using epitaxial graphene/SiC junctions.

    Science.gov (United States)

    Kunc, Jan; Hu, Yike; Palmer, James; Guo, Zelei; Hankinson, John; Gamal, Salah H; Berger, Claire; de Heer, Walt A

    2014-09-10

    A purely planar graphene/SiC field effect transistor is presented here. The horizontal current flow over one-dimensional tunneling barrier between planar graphene contact and coplanar two-dimensional SiC channel exhibits superior on/off ratio compared to conventional transistors employing vertical electron transport. Multilayer epitaxial graphene (MEG) grown on SiC(0001̅) was adopted as the transistor source and drain. The channel is formed by the accumulation layer at the interface of semi-insulating SiC and a surface silicate that forms after high vacuum high temperature annealing. Electronic bands between the graphene edge and SiC accumulation layer form a thin Schottky barrier, which is dominated by tunneling at low temperatures. A thermionic emission prevails over tunneling at high temperatures. We show that neglecting tunneling effectively causes the temperature dependence of the Schottky barrier height. The channel can support current densities up to 35 A/m.

  5. High-quality single crystalline NiO with twin phases grown on sapphire substrate by metalorganic vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    Kazuo Uchida

    2012-12-01

    Full Text Available High-quality single crystalline twin phase NiO grown on sapphire substrates by metalorganic vapor phase epitaxy is reported. X-ray rocking curve analysis of NiO films grown at different temperatures indicates a minimum full width at half maximum of the cubic (111 diffraction peak of 0.107° for NiO film grown at as low as 550 °C. Detailed microstructural analysis by Φ scan X-ray diffraction and transmission electron microscopy reveal that the NiO film consists of large single crystalline domains with two different crystallographic orientations which are rotated relative to each other along the [111] axis by 60°. These single crystal domains are divided by the twin phase boundaries.

  6. Magnetic-property changes in epitaxial metal-film sandwiches

    International Nuclear Information System (INIS)

    Brodsky, M.B.

    1982-08-01

    Epitaxial metal-film sandwiches (EMFS) containing Pd or Cr, have been prepared between single-crystal Ag or Au. The modified Pd/Cr show major changes in physical properties. Pd has a stretched lattice parameter in Au-Pd-Au, which combines with a tetragonal distortion to cause exchange enhancements up to 28,000 and spin-fluctuation temperatures of 1 to 10 K. In Au-Cr-Au, Cr takes up the fcc structure, leading to superconductivity due to a high N(E/sub F/). These results are contrasted to data for Ag-Pd-Ag and Ag-Cr-Ag EMFS

  7. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  8. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  9. Optical properties of pure and Ce3+ doped gadolinium gallium garnet crystals and epitaxial layers

    International Nuclear Information System (INIS)

    Syvorotka, I.I.; Sugak, D.; Wierzbicka, A.; Wittlin, A.; Przybylińska, H.; Barzowska, J.; Barcz, A.; Berkowski, M.; Domagała, J.; Mahlik, S.; Grinberg, M.; Ma, Chong-Geng

    2015-01-01

    Results of X-ray diffraction and low temperature optical absorption measurements of cerium doped gadolinium gallium garnet single crystals and epitaxial layers are reported. In the region of intra-configurational 4f–4f transitions the spectra of the bulk crystals exhibit the signatures of several different Ce 3+ related centers. Apart from the dominant center, associated with Ce substituting gadolinium, at least three other centers are found, some of them attributed to the so-called antisite locations of rare-earth ions in the garnet host, i.e., in the Ga positions. X-ray diffraction data prove lattice expansion of bulk GGG crystals due to the presence of rare-earth antisites. The concentration of the additional Ce-related centers in epitaxial layers is much lower than in the bulk crystals. However, the Ce-doped layers incorporate a large amount of Pb from flux, which is the most probable source of nonradiative quenching of Ce luminescence, not observed in crystals grown by the Czochralski method. - Highlights: • Ce 3+ multicenters found in Gadolinium Gallium Garnet crystals and epitaxial layers. • High quality epitaxial layers of pure and Ce-doped GGG were grown. • Luminescence quenching of Ce 3+ by Pb ions from flux detected in GGG epitaxial layers. • X-ray diffraction allows measuring the amount of the rare-earth antisites in GGG

  10. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Science.gov (United States)

    Malinverni, M.; Lamy, J.-M.; Martin, D.; Feltin, E.; Dorsaz, J.; Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C.; Grandjean, N.

    2014-12-01

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH3-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10-4 Ω cm2, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH3-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm2 ridge dimension and a threshold current density of ˜5 kA cm-2 in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al0.06Ga0.94N:Mg despite the low growth temperature.

  11. Dielectric and ferroelectric properties of strain-relieved epitaxial lead-free KNN-LT-LS ferroelectric thin films on SrTiO3 substrates

    Science.gov (United States)

    Abazari, M.; Akdoǧan, E. K.; Safari, A.

    2008-05-01

    We report the growth of single-phase (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrRuO3 coated ⟨001⟩ oriented SrTiO3 substrates by using pulsed laser deposition. Films grown at 600°C under low laser fluence exhibit a ⟨001⟩ textured columnar grained nanostructure, which coalesce with increasing deposition temperature, leading to a uniform fully epitaxial highly stoichiometric film at 750°C. However, films deposited at lower temperatures exhibit compositional fluctuations as verified by Rutherford backscattering spectroscopy. The epitaxial films of 400-600nm thickness have a room temperature relative permittivity of ˜750 and a loss tangent of ˜6% at 1kHz. The room temperature remnant polarization of the films is 4μC /cm2, while the saturation polarization is 7.1μC/cm2 at 24kV/cm and the coercive field is ˜7.3kV/cm. The results indicate that approximately 50% of the bulk permittivity and 20% of bulk spontaneous polarization can be retained in submicron epitaxial KNN-LT-LS thin film, respectively. The conductivity of the films remains to be a challenge as evidenced by the high loss tangent, leakage currents, and broad hysteresis loops.

  12. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  13. InGaN/GaN nanowires epitaxy on large-area MoS2 for high-performance light-emitters

    KAUST Repository

    Zhao, Chao; Ng, Tien Khee; Tseng, Chien-Chih; Li, Jun; Shi, Yumeng; Wei, Nini; Zhang, Daliang; Consiglio, Giuseppe Bernardo; Prabaswara, Aditya; Alhamoud, Abdullah Ali; Albadri, Abdulrahman  M.; Alyamani, Ahmed Y.; Zhang, Xixiang; Li, Lain-Jong; Ooi, Boon S.

    2017-01-01

    The recent study of a wide range of layered transition metal dichalcogenides (TMDCs) has created a new era for device design and applications. In particular, the concept of van der Waals epitaxy (vdWE) utilizing layered TMDCs has the potential to broaden the family of epitaxial growth techniques beyond the conventional methods. We report herein, for the first time, the monolithic high-power, droop-free, and wavelength tunable InGaN/GaN nanowire light-emitting diodes (NW-LEDs) on large-area MoS2 layers formed by sulfurizing entire Mo substrates. MoS2 serves as both a buffer layer for high-quality GaN nanowires growth and a sacrificial layer for epitaxy lift-off. The LEDs obtained on nitridated MoS2 via quasi vdWE show a low turn-on voltage of ∼2 V and light output power up to 1.5 mW emitting beyond the “green gap”, without an efficiency droop up to the current injection of 1 A (400 A cm−2), by virtue of high thermal and electrical conductivities of the metal substrates. The discovery of the nitride/layered TMDCs/metal heterostructure platform also ushers in the unparalleled opportunities of simultaneous high-quality nitrides growth for high-performance devices, ultralow-profile optoelectronics, energy harvesting, as well as substrate reusability for practical applications.

  14. InGaN/GaN nanowires epitaxy on large-area MoS2 for high-performance light-emitters

    KAUST Repository

    Zhao, Chao

    2017-05-18

    The recent study of a wide range of layered transition metal dichalcogenides (TMDCs) has created a new era for device design and applications. In particular, the concept of van der Waals epitaxy (vdWE) utilizing layered TMDCs has the potential to broaden the family of epitaxial growth techniques beyond the conventional methods. We report herein, for the first time, the monolithic high-power, droop-free, and wavelength tunable InGaN/GaN nanowire light-emitting diodes (NW-LEDs) on large-area MoS2 layers formed by sulfurizing entire Mo substrates. MoS2 serves as both a buffer layer for high-quality GaN nanowires growth and a sacrificial layer for epitaxy lift-off. The LEDs obtained on nitridated MoS2 via quasi vdWE show a low turn-on voltage of ∼2 V and light output power up to 1.5 mW emitting beyond the “green gap”, without an efficiency droop up to the current injection of 1 A (400 A cm−2), by virtue of high thermal and electrical conductivities of the metal substrates. The discovery of the nitride/layered TMDCs/metal heterostructure platform also ushers in the unparalleled opportunities of simultaneous high-quality nitrides growth for high-performance devices, ultralow-profile optoelectronics, energy harvesting, as well as substrate reusability for practical applications.

  15. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  16. Enhanced hardness in epitaxial TiAlScN alloy thin films and rocksalt TiN/(Al,Sc)N superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Saha, Bivas [School of Materials Engineering, Purdue University, West Lafayette, Indiana 47907 (United States); Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907 (United States); Lawrence, Samantha K.; Bahr, David F. [School of Materials Engineering, Purdue University, West Lafayette, Indiana 47907 (United States); Schroeder, Jeremy L.; Birch, Jens [Thin Film Physics Division, Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE-581 83 Linköping (Sweden); Sands, Timothy D. [School of Materials Engineering, Purdue University, West Lafayette, Indiana 47907 (United States); Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907 (United States); School of Electrical and Computer Engineering, Purdue University, West Lafayette, Indiana 47907 (United States)

    2014-10-13

    High hardness TiAlN alloys for wear-resistant coatings exhibit limited lifetimes at elevated temperatures due to a cubic-AlN to hexagonal-AlN phase transformation that leads to decreasing hardness. We enhance the hardness (up to 46 GPa) and maximum operating temperature (up to 1050 °C) of TiAlN-based coatings by alloying with scandium nitride to form both an epitaxial TiAlScN alloy film and epitaxial rocksalt TiN/(Al,Sc)N superlattices on MgO substrates. The superlattice hardness increases with decreasing period thickness, which is understood by the Orowan bowing mechanism of the confined layer slip model. These results make them worthy of additional research for industrial coating applications.

  17. Structure characterization of Pd/Co/Pd tri-layer films epitaxially grown on MgO single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki

    2011-09-30

    Pd/Co/Pd tri-layer films were prepared on MgO substrates of (001), (111), and (011) orientations at room temperature by ultra high vacuum rf magnetron sputtering. The detailed film structures around the Co/Pd and the Pd/Co interfaces are investigated by reflection high energy electron diffraction. Pd layers of (001){sub fcc}, (111){sub fcc}, and (011){sub fcc} orientations epitaxially grow on the respective MgO substrates. Strained fcc-Co(001) single-crystal layers are formed on the Pd(001){sub fcc} layers by accommodating the fairly large lattice mismatch between the Co and the Pd layers. On the Co layers,, Pd polycrystalline layers are formed. When Co films are formed on the Pd(111){sub fcc} and the Pd(011){sub fcc} layers, atomic mixing is observed around the Co/Pd interfaces and fcc-CoPd alloy phases are coexisting with Co crystals. The Co crystals formed on the Pd(111){sub fcc} layers consist of hcp(0001) + fcc(111) and Pd(111){sub fcc} epitaxial layers are formed on the Co layers. Co crystals epitaxially grow on the Pd(011){sub fcc} layers with two variants, hcp(11-bar 00) and fcc(111). On the Co layers, Pd(011){sub fcc} epitaxial layers are formed.

  18. Highly Crystalline C8-BTBT Thin-Film Transistors by Lateral Homo-Epitaxial Growth on Printed Templates.

    Science.gov (United States)

    Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2017-11-01

    Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  20. High growth rate GaN on 200 mm silicon by metal-organic vapor phase epitaxy for high electron mobility transistors

    Science.gov (United States)

    Charles, M.; Baines, Y.; Bavard, A.; Bouveyron, R.

    2018-02-01

    It is increasingly important to reduce the cycle time of epitaxial growth, in order to reduce the costs of device fabrication, especially for GaN based structures which typically have growth cycles of several hours. We have performed a comprehensive study using metal-organic vapor phase epitaxy (MOVPE) investigating the effects of changing GaN growth rates from 0.9 to 14.5 μm/h. Although there is no significant effect on the strain incorporated in the layers, we have seen changes in the surface morphology which can be related to the change in dislocation behaviour and surface diffusion effects. At the small scale, as seen by AFM, increased dislocation density for higher growth rates leads to increased pinning of growth terraces, resulting in more closely spaced terraces. At a larger scale of hundreds of μm observed by optical profiling, we have related the formation of grains to the rate of surface diffusion of adatoms using a random walk model, implying diffusion distances from 30 μm for the highest growth rates up to 100 μm for the lowest. The increased growth rate also increases the intrinsic carbon incorporation which can increase the breakdown voltage of GaN films. Despite an increased threading dislocation density, these very high growth rates of 14.5 μm/hr by MOVPE have been shown to be appealing for reducing epitaxial growth cycle times and therefore costs in High Electron Mobility Transistor (HEMT) structures.

  1. Magnetic properties of novel epitaxial films

    International Nuclear Information System (INIS)

    Bader, S.D.; Moog, E.R.

    1986-09-01

    The surface magneto-optic Kerr effect (SMOKE) is used to explore the magnetism of ultra-thin Fe Films extending into the monolayer regime. Both bcc α-Fe and fcc γ-Fe single-crystalline, multilayer films are prepared on the bulk-terminated (1 x 1) structures of Au(100) and Cu(100), respectively. The characterizations of epitaxy and growth mode are performed using low energy electron diffraction and Auger electron spectroscopy. Monolayer-range Fe/Au(100) is ferromagnetic with a lower Curie temperature than bulk α-Fe. The controversial γ-Fe/Cu(100) system exhibits a striking, metastable, surface magnetic phase at temperatures above room temperature, but does not exhibit bulk ferromagnetism

  2. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  3. Influence of Energy and Temperature in Cluster Coalescence Induced by Deposition

    Directory of Open Access Journals (Sweden)

    J. C. Jiménez-Sáez

    2012-01-01

    Full Text Available Coalescence induced by deposition of different Cu clusters on an epitaxial Co cluster supported on a Cu(001 substrate is studied by constant-temperature molecular dynamics simulations. The degree of epitaxy of the final system increases with increasing separation between the centres of mass of the projectile and target clusters during the collision. Structure, roughness, and epitaxial order of the supported cluster also influence the degree of epitaxy. The effect of energy and temperature is determinant on the epitaxial condition of the coalesced cluster, especially both factors modify the generation, growth and interaction among grains. A higher temperature favours the epitaxial growth for low impact parameters. A higher energy contributes to the epitaxial coalescence for any initial separation between the projectile and target clusters. The influence of projectile energy is notably greater than the influence of temperature since higher energies allow greater and instantaneous atomic reorganizations, so that the number of arisen grains just after the collision becomes smaller. The appearance of grain boundary dislocations is, therefore, a decisive factor in the epitaxial growth of the coalesced cluster.

  4. From epitaxial growth of ferrite thin films to spin-polarized tunnelling

    International Nuclear Information System (INIS)

    Moussy, Jean-Baptiste

    2013-01-01

    This paper presents a review of the research which is focused on ferrite thin films for spintronics. First, I will describe the potential of ferrite layers for the generation of spin-polarized currents. In the second step, the structural and chemical properties of epitaxial thin films and ferrite-based tunnel junctions will be presented. Particular attention will be given to ferrite systems grown by oxygen-assisted molecular beam epitaxy. The analysis of the structure and chemistry close to the interfaces, a key-point for understanding the spin-polarized tunnelling measurements, will be detailed. In the third part, the magnetic and magneto-transport properties of magnetite (Fe 3 O 4 ) thin films as a function of structural defects such as the antiphase boundaries will be explained. The spin-polarization measurements (spin-resolved photoemission, tunnel magnetoresistance) on this oxide predicted to be half-metallic will be discussed. Fourth, the potential of magnetic tunnel barriers, such as CoFe 2 O 4 , NiFe 2 O 4 or MnFe 2 O 4 , whose insulating behaviour and the high Curie temperatures make it exciting candidates for spin filtering at room temperature will be described. Spin-polarized tunnelling experiments, involving either Meservey–Tedrow or tunnel magnetoresistance measurements, will reveal significant spin-polarizations of the tunnelling current at low temperatures but also at room temperatures. Finally, I will mention a few perspectives with ferrite-based heterostructures. (topical review)

  5. Critical current and electric transport properties of superconducting epitaxial Nb(Ti)N submicron structures

    Science.gov (United States)

    Klimov, A.; Słysz, W.; Guziewicz, M.; Kolkovsky, V.; Wegrzecki, M.; Bar, J.; Marchewka, M.; Seredyński, B.

    2016-12-01

    Critical current and current-voltage characteristics of epitaxial Nb(Ti)N submicron ultrathin structures were measured as function of temperature. For 700-nm-wide bridge we found current-driven vortex de-pinning at low temperatures and thermally activated flux flow closer to the transition temperature, as the limiting factors for the critical current density. For 100-nm-wide meander we observed combination of phase-slip activation and vortex-anti-vortex pair (VAP) thermal excitation. Our Nb(Ti)N meander structure demonstrates high de-pairing critical current densities 107 A/cm2 at low temperatures, but the critical currents are much smaller due to presence of the local constrictions.

  6. Buffer-layer enhanced crystal growth of BaB6 (1 0 0) thin films on MgO (1 0 0) substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kato, Yushi; Yamauchi, Ryosuke; Arai, Hideki; Tan, Geng; Tsuchimine, Nobuo; Kobayashi, Susumu; Saeki, Kazuhiko; Takezawa, Nobutaka; Mitsuhashi, Masahiko; Kaneko, Satoru; Yoshimoto, Mamoru

    2012-01-01

    Crystalline BaB 6 (1 0 0) thin films can be fabricated on MgO (1 0 0) substrates by inserting a 2-3 nm-thick epitaxial SrB 6 (1 0 0) buffer layer by pulsed laser deposition (PLD) in ultra-high vacuum (i.e., laser molecular beam epitaxy). Reflection high-energy electron diffraction and X-ray diffraction measurements indicated the heteroepitaxial structure of BaB 6 (1 0 0)/SrB 6 (1 0 0)/MgO (1 0 0) with the single domain of the epitaxial relationship. Conversely, BaB 6 thin films without the buffer layer were not epitaxial instead they developed as polycrystalline films with a random in-plane configuration and some impurity phases. As a result, the buffer layer is considered to greatly affect the initial growth of epitaxial BaB 6 thin films; therefore, in this study, buffering effects have been discussed. From the conventional four-probe measurement, it was observed that BaB 6 epitaxial thin films exhibit n-type semiconducting behavior with a resistivity of 2.90 × 10 -1 Ω cm at room temperature.

  7. High current density GaAs/Si rectifying heterojunction by defect free Epitaxial Lateral overgrowth on Tunnel Oxide from nano-seed.

    Science.gov (United States)

    Renard, Charles; Molière, Timothée; Cherkashin, Nikolay; Alvarez, José; Vincent, Laetitia; Jaffré, Alexandre; Hallais, Géraldine; Connolly, James Patrick; Mencaraglia, Denis; Bouchier, Daniel

    2016-05-04

    Interest in the heteroepitaxy of GaAs on Si has never failed in the last years due to the potential for monolithic integration of GaAs-based devices with Si integrated circuits. But in spite of this effort, devices fabricated from them still use homo-epitaxy only. Here we present an epitaxial technique based on the epitaxial lateral overgrowth of micrometer scale GaAs crystals on a thin SiO2 layer from nanoscale Si seeds. This method permits the integration of high quality and defect-free crystalline GaAs on Si substrate and provides active GaAs/Si heterojunctions with efficient carrier transport through the thin SiO2 layer. The nucleation from small width openings avoids the emission of misfit dislocations and the formation of antiphase domains. With this method, we have experimentally demonstrated for the first time a monolithically integrated GaAs/Si diode with high current densities of 10 kA.cm(-2) for a forward bias of 3.7 V. This epitaxial technique paves the way to hybrid III-V/Si devices that are free from lattice-matching restrictions, and where silicon not only behaves as a substrate but also as an active medium.

  8. Single-mode molecular beam epitaxy grown PbEuSeTe/PbTe buried-heterostructure diode lasers for CO2 high-resolution spectroscopy

    International Nuclear Information System (INIS)

    Feit, Z.; Kostyk, D.; Woods, R.J.; Mak, P.

    1991-01-01

    Buried-heterostructure tunable PbEuSeTe/PbTe lasers were fabricated using a two-stage molecular beam epitaxy growth procedure. Improvements in the processing technique yielded lasers that show performance characteristics significantly better than those reported previously. A continuous wave (cw) operating temperature of 203 K was realized, which is the highest cw operating temperature ever reported for lead-chalcogenides diode lasers. This laser exhibited exceptionally low-threshold currents of 1.4 mA at 90 K and 43 mA at 160 K with single-mode operation for injection currents up to 30I th and 0.18 mW power at 100 K. The usefulness of the laser, when operating cw at 200 K, was demonstrated by the ability to perform high-resolution spectroscopy of a low-pressure CO 2 gas sample

  9. Strain Influence on the Oxygen Electrocatalysis of the (100)-Oriented Epitaxial La 2 NiO 4+δ Thin Films at Elevated Temperatures

    KAUST Repository

    Lee, Dongkyu; Grimaud, Alexis; Crumlin, Ethan J.; Mezghani, Khaled; Habib, Mohamed A.; Feng, Zhenxing; Hong, Wesley T.; Biegalski, Michael D.; Christen, Hans M.; Shao-Horn, Yang

    2013-01-01

    Ruddlesden-Popper materials such as La2NiO4+δ (LNO) have high activities for surface oxygen exchange kinetics promising for solid oxide fuel cells and oxygen permeation membranes. Here we report the synthesis of the (100)tetragonal-oriented epitaxial LNO thin films prepared by pulsed laser deposition. The surface oxygen exchange kinetics determined from electrochemical impedance spectroscopy (EIS) were found to increase with decreasing film thickness from 390 to 14 nm. No significant change of the surface chemistry with different film thicknesses was observed using ex situ auger electron spectroscopy (AES). Increasing volumetric strains in the LNO films at elevated temperatures determined from in situ high-resolution X-ray diffraction (HRXRD) were correlated with increasing surface exchange kinetics and decreasing film thickness. Volumetric strains may alter the formation energy of interstitial oxygen and influence on the surface oxygen exchange kinetics of the LNO films. © 2013 American Chemical Society.

  10. Strain Influence on the Oxygen Electrocatalysis of the (100)-Oriented Epitaxial La 2 NiO 4+δ Thin Films at Elevated Temperatures

    KAUST Repository

    Lee, Dongkyu

    2013-09-19

    Ruddlesden-Popper materials such as La2NiO4+δ (LNO) have high activities for surface oxygen exchange kinetics promising for solid oxide fuel cells and oxygen permeation membranes. Here we report the synthesis of the (100)tetragonal-oriented epitaxial LNO thin films prepared by pulsed laser deposition. The surface oxygen exchange kinetics determined from electrochemical impedance spectroscopy (EIS) were found to increase with decreasing film thickness from 390 to 14 nm. No significant change of the surface chemistry with different film thicknesses was observed using ex situ auger electron spectroscopy (AES). Increasing volumetric strains in the LNO films at elevated temperatures determined from in situ high-resolution X-ray diffraction (HRXRD) were correlated with increasing surface exchange kinetics and decreasing film thickness. Volumetric strains may alter the formation energy of interstitial oxygen and influence on the surface oxygen exchange kinetics of the LNO films. © 2013 American Chemical Society.

  11. Development of High Quality 4H-SiC Thick Epitaxy for Reliable High Power Electronics Using Halogenated Precursors

    Science.gov (United States)

    2016-08-02

    defects :=()llowed by a second buffer epilayer gro\\\\ th with ~: urn thickness with high n-type dopi:1g (- 5£17 cm-3) for the same C/Si ratio of ~1.4 at...gradient, pressure , etc.) can further reduce the parasitic deposition, especially in TFS-growth. • Thick epitaxy on-axis 4H-SiC Growth at High Growth...From - To) 08/02/2016 Final Technical Report 01-Apr-10 Through 31-Mar-14 4. TITLE AND SUBTITLE 5a. CONTRACT NUMBER Development of High Quality 4H

  12. Temperature dependence of optical transitions in Al xGa1-xAs/GaAs quantum well structures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Caballero-Rosas, A.; Mejia-Garcia, C.; Contreras-Puente, G.; Lopez-Lopez, M.

    2005-01-01

    Quantum well (QW) structures of Al x Ga 1-x As/GaAs were characterized by photoluminescence technique as a function of the temperature between 10 and 300 K. The structures were grown on a 500 nm thick GaAs buffer layer with Molecular Beam Epitaxy technique. We have studied the properties of in-situ Cl 2 -etched GaAs surfaces and overgrown QW structures as a function of the etching temperature (70 and 200 deg. C). Several models were used to fit the experimental points. Best fit to experimental points was obtained with the Paessler model

  13. Liquid phase electro epitaxy growth kinetics of GaAs-A three-dimensional numerical simulation study

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2006-01-01

    A three-dimensional numerical simulation study for the liquid phase electro epitaxial growth kinetic of GaAs is presented. The kinetic model is constructed considering (i) the diffusive and convective mass transport, (ii) the heat transfer due to thermoelectric effects such as Peltier effect, Joule effect and Thomson effect, (iii) the electric current distribution with electromigration and (iv) the fluid flow coupled with concentration and temperature fields. The simulations are performed for two configurations namely (i) epitaxial growth from the arsenic saturated gallium rich growth solution, i.e., limited solution model and (ii) epitaxial growth from the arsenic saturated gallium rich growth solution with polycrystalline GaAs feed. The governing equations of liquid phase electro epitaxy are solved numerically with appropriate initial and boundary conditions using the central difference method. Simulations are performed to determine the following, a concentration profiles of solute atoms (As) in the Ga-rich growth solution, shape of the substrate evolution, the growth rate of the GaAs epitaxial film, the contributions of Peltier effect and electromigration of solute atoms to the growth with various experimental growth conditions. The growth rate is found to increase with increasing growth temperature and applied current density. The results are discussed in detail

  14. Contribution of numerical simulation to silicon carbide bulk growth and epitaxy

    International Nuclear Information System (INIS)

    Meziere, Jerome; Pons, Michel; Cioccio, Lea Di; Blanquet, Elisabeth; Ferret, Pierre; Dedulle, Jean-Marc; Baillet, Francis; Pernot, Etienne; Anikin, Michail; Madar, Roland; Billon, Thierry

    2004-01-01

    High temperature epitaxial processes for SiC bulk and thin films by physical vapour transport and chemical vapour deposition are reviewed from an academic point of view using heat and mass transfer modelling and simulation. The objective is to show that this modelling approach could provide information on fabrication and characterization for the improvement of the knowledge of the growth history. Recent results of our integrated research programme on SiC, taking into account the fabrication, process modelling and characterization, will be presented

  15. Epitaxial growth of hybrid nanostructures

    Science.gov (United States)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  16. Targets on the basis of ferrites and high-temperature superconductors for ion-plasma sputtering

    International Nuclear Information System (INIS)

    Lepeshev, A.A.; Saunin, V.N.; Telegin, S.V.; Polyakova, K.P.; Seredkin, V.A.; Pol'skij, A.I.

    2000-01-01

    Paper describes a method to produce targets for ion-plasma sputtering using plasma splaying of the appropriate powders on a cooled metal basis. Application of the plasma process was demonstrated to enable to produce complex shaped targets under the controlled atmosphere on the basis of ceramic materials ensuring their high composition homogeneity, as well as, reliable mechanical and thermal contact of the resultant coating with the base. One carried out experiments in ion-plasma sputtering of targets to prepare ferrite polycrystalline films to be used in magnetooptics and to prepare high-temperature superconductor epitaxial films [ru

  17. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  18. A modular designed ultra-high-vacuum spin-polarized scanning tunneling microscope with controllable magnetic fields for investigating epitaxial thin films.

    Science.gov (United States)

    Wang, Kangkang; Lin, Wenzhi; Chinchore, Abhijit V; Liu, Yinghao; Smith, Arthur R

    2011-05-01

    A room-temperature ultra-high-vacuum scanning tunneling microscope for in situ scanning freshly grown epitaxial films has been developed. The core unit of the microscope, which consists of critical components including scanner and approach motors, is modular designed. This enables easy adaptation of the same microscope units to new growth systems with different sample-transfer geometries. Furthermore the core unit is designed to be fully compatible with cryogenic temperatures and high magnetic field operations. A double-stage spring suspension system with eddy current damping has been implemented to achieve ≤5 pm z stability in a noisy environment and in the presence of an interconnected growth chamber. Both tips and samples can be quickly exchanged in situ; also a tunable external magnetic field can be introduced using a transferable permanent magnet shuttle. This allows spin-polarized tunneling with magnetically coated tips. The performance of this microscope is demonstrated by atomic-resolution imaging of surface reconstructions on wide band-gap GaN surfaces and spin-resolved experiments on antiferromagnetic Mn(3)N(2)(010) surfaces.

  19. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    International Nuclear Information System (INIS)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V; Lai, Z; Henry, A; Janzen, E; Pippel, E; Woltersdorf, J

    2011-01-01

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T C ) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T C = 11.3 K and critical current density of about 2.5 MA cm -2 at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  20. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V [Group for Advanced Receiver Development, Department of Earth and Space Sciences, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Lai, Z [Nanofabrication Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Henry, A; Janzen, E [Department of Physics, Chemistry and Biology, Linkoeping University, SE-581 83 Linkoeping (Sweden); Pippel, E; Woltersdorf, J, E-mail: dimitar.dochev@chalmers.se [Max-Planck-Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Germany)

    2011-03-15

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T{sub C}) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T{sub C} = 11.3 K and critical current density of about 2.5 MA cm{sup -2} at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  1. Magnetic x-ray dichroism in ultrathin epitaxial films

    Energy Technology Data Exchange (ETDEWEB)

    Tobin, J.G.; Goodman, K.W. [Lawrence Berkeley National Lab., CA (United States); Cummins, T.R. [Univ. of Missouri, Rolla, MO (United States)] [and others

    1997-04-01

    The authors have used Magnetic X-ray Linear Dichroism (MXLD) and Magnetic X-ray Circular Dichroism (MXCD) to study the magnetic properties of epitaxial overlayers in an elementally specific fashion. Both MXLD and MXCD Photoelectron Spectroscopy were performed in a high resolution mode at the Spectromicroscopy Facility of the ALS. Circular Polarization was obtained via the utilization of a novel phase retarder (soft x-ray quarter wave plate) based upon transmission through a multilayer film. The samples were low temperature Fe overlayers, magnetic alloy films of NiFe and CoNi, and Gd grown on Y. The authors results include a direct comparison of high resolution angle resolved Photoelectron Spectroscopy performed in MXLD and MXCD modes as well as structural studies with photoelectron diffraction.

  2. Magnetic x-ray dichroism in ultrathin epitaxial films

    International Nuclear Information System (INIS)

    Tobin, J.G.; Goodman, K.W.; Cummins, T.R.

    1997-01-01

    The authors have used Magnetic X-ray Linear Dichroism (MXLD) and Magnetic X-ray Circular Dichroism (MXCD) to study the magnetic properties of epitaxial overlayers in an elementally specific fashion. Both MXLD and MXCD Photoelectron Spectroscopy were performed in a high resolution mode at the Spectromicroscopy Facility of the ALS. Circular Polarization was obtained via the utilization of a novel phase retarder (soft x-ray quarter wave plate) based upon transmission through a multilayer film. The samples were low temperature Fe overlayers, magnetic alloy films of NiFe and CoNi, and Gd grown on Y. The authors results include a direct comparison of high resolution angle resolved Photoelectron Spectroscopy performed in MXLD and MXCD modes as well as structural studies with photoelectron diffraction

  3. Effect of epitaxial strain and lattice mismatch on magnetic and transport behaviors in metamagnetic FeRh thin films

    Science.gov (United States)

    Xie, Yali; Zhan, Qingfeng; Shang, Tian; Yang, Huali; Wang, Baomin; Tang, Jin; Li, Run-Wei

    2017-05-01

    We grew 80 nm FeRh films on different single crystals with various lattice constants. FeRh films on SrTiO3 (STO) and MgO substrates exhibit an epitaxial growth of 45° in-plane structure rotation. In contrast, FeRh on LaAlO3 (LAO) displays a mixed epitaxial growth of both 45° in-plane structure rotation and cube-on-cube relationships. Due to the different epitaxial growth strains and lattice mismatch values, the critical temperature for the magnetic phase transition of FeRh can be changed between 405 and 360 K. In addition, the external magnetic field can shift this critical temperature to low temperature in different rates for FeRh films grown on different substrates. The magnetoresistance appears a maximum value at different temperatures between 320 and 380 K for FeRh films grown on different substrates.

  4. Effect of epitaxial strain and lattice mismatch on magnetic and transport behaviors in metamagnetic FeRh thin films

    Directory of Open Access Journals (Sweden)

    Yali Xie

    2017-05-01

    Full Text Available We grew 80 nm FeRh films on different single crystals with various lattice constants. FeRh films on SrTiO3 (STO and MgO substrates exhibit an epitaxial growth of 45° in-plane structure rotation. In contrast, FeRh on LaAlO3 (LAO displays a mixed epitaxial growth of both 45° in-plane structure rotation and cube-on-cube relationships. Due to the different epitaxial growth strains and lattice mismatch values, the critical temperature for the magnetic phase transition of FeRh can be changed between 405 and 360 K. In addition, the external magnetic field can shift this critical temperature to low temperature in different rates for FeRh films grown on different substrates. The magnetoresistance appears a maximum value at different temperatures between 320 and 380 K for FeRh films grown on different substrates.

  5. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke [Toyota Central R and D Labs., Inc., Nagakute, Aichi 480-1192 (Japan)

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.

  6. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    International Nuclear Information System (INIS)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-01-01

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs

  7. Surface impedance of epitaxial films Y-Ba-Cu-O in short wave region of range millimetric

    International Nuclear Information System (INIS)

    Vojnovskij, I.V.; Pustyl'nik, O.D.; Boguslavskij, Yu.M.; Shapovalov, A.P.

    1992-01-01

    Epitaxial Y-Ba-Cu-O films on MgO substrate with perfect crystal structure are obtained due to nonaxial magnetron HF-spraying. Temperature dependence of the surface impedance of the films within 66 and 134 GHz frequency is studied. The obtained value of residual surface resistance within 134 GHz frequency (60 mohm) confirms high quality of the films

  8. Epitaxial (100)-oriented Mo/V superlattice grown on MgO(100) by dcMS and HiPIMS

    International Nuclear Information System (INIS)

    Shayestehaminzadeh, S.; Magnusson, R.L.; Gislason, H.P.; Olafsson, S.

    2013-01-01

    Epitaxial (100)-oriented Mo/V superlattices have been grown by High Power Impulse Magnetron Sputtering (HiPIMS) and dc Magnetron Sputtering (dcMS) on single-crystalline MgO(100) substrates at growth temperatures ranging from 30 °C to 600 °C. Superlattice bilayer period of Mo/V around 12/12 monolayers and 15 repeat periods was studied. This study aims to investigate the effect of the HiPIMS process on reducing the growth temperature of Mo/V superlattices using the high energy ionized Mo, V species in the HiPIMS plasma. In one case, the Mo layer was only grown with the HiPIMS process and V layer grown using the dcMS process while in another both layers were grown with the HiPIMS process. The as-deposited films were characterized by X-ray reflection and diffraction techniques. The dcMS process was found to give superior superlattice growth at high growth temperatures while a mixed Mo HiPIMS and V dcMS process gives better result at lower growth temperatures (300 °C). Room temperature growth reveals that neither the mixed Mo HiPIMS and V dcMS process nor the pure HiPIMS for both materials can produce better result compared to the pure dcMS process, which gives a relatively better result. - Highlights: • Epitaxial (100)-oriented Mo/V superlattices have been grown by HiPIMS and dcMS on MgO(100) for various temperatures. • The study was aimed to investigate the effect of ionized HiPIMS process onlowering the growth temperature. • The dcMS process was found to give superior superlattice growth at high growth temperature. • The mixed Mo HiPIMS and V dcMS process gives best result at lower growth temperatures

  9. Low-temperature, ultrahigh-vacuum tip-enhanced Raman spectroscopy combined with molecular beam epitaxy for in situ two-dimensional materials' studies

    Science.gov (United States)

    Sheng, Shaoxiang; Li, Wenbin; Gou, Jian; Cheng, Peng; Chen, Lan; Wu, Kehui

    2018-05-01

    Tip-enhanced Raman spectroscopy (TERS), which combines scanning probe microscopy with the Raman spectroscopy, is capable to access the local structure and chemical information simultaneously. However, the application of ambient TERS is limited by the unstable and poorly controllable experimental conditions. Here, we designed a high performance TERS system based on a low-temperature ultrahigh-vacuum scanning tunneling microscope (LT-UHV-STM) and combined with a molecular beam epitaxy (MBE) system. It can be used for growing two-dimensional (2D) materials and for in situ STM and TERS characterization. Using a 2D silicene sheet on the Ag(111) surface as a model system, we achieved an unprecedented 109 Raman single enhancement factor in combination with a TERS spatial resolution down to 0.5 nm. The results show that TERS combined with a MBE system can be a powerful tool to study low dimensional materials and surface science.

  10. Spin-injection into epitaxial graphene on silicon carbide

    Science.gov (United States)

    Konishi, Keita; Cui, Zhixin; Hiraki, Takahiro; Yoh, Kanji

    2013-09-01

    We have studied the spin-injection properties in epitaxial graphene on SiC. The ferromagnetic metal (FM) electrodes were composed of a tunnel barrier layer AlOx (14 Å) and a ferromagnetic Co (600 Å) layer. We have successfully observed the clear resistance peaks indicating spin-injection both in the "local" and "non-local" spin measurement set-ups at low temperatures. We estimate spin-injection rate of 1% based on "non-local" measurement and 1.6% based on local measurements. Spin-injection rate of multilayer graphene by mechanical exfoliation method was twice as high as single layer graphene on SiC based on "local" measurement.

  11. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    Science.gov (United States)

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  12. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Malinverni, M., E-mail: marco.malinverni@epfl.ch; Lamy, J.-M.; Martin, D.; Grandjean, N. [ICMP, École Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne (Switzerland); Feltin, E.; Dorsaz, J. [NOVAGAN AG, CH-1015 Lausanne (Switzerland); Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C. [EXALOS AG, CH-8952 Schlieren (Switzerland)

    2014-12-15

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH{sub 3}-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10{sup −4} Ω cm{sup 2}, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH{sub 3}-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm{sup 2} ridge dimension and a threshold current density of ∼5 kA cm{sup −2} in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al{sub 0.06}Ga{sub 0.94}N:Mg despite the low growth temperature.

  13. Kinetic-energy induced smoothening and delay of epitaxial breakdown in pulsed-laser deposition

    International Nuclear Information System (INIS)

    Shin, Byungha; Aziz, Michael J.

    2007-01-01

    We have isolated the effect of kinetic energy of depositing species from the effect of flux pulsing during pulsed-laser deposition (PLD) on surface morphology evolution of Ge(001) homoepitaxy at low temperature (100 deg. C). Using a dual molecular beam epitaxy (MBE) PLD chamber, we compare morphology evolution from three different growth methods under identical experimental conditions except for the differing nature of the depositing flux: (a) PLD with average kinetic energy 300 eV (PLD-KE); (b) PLD with suppressed kinetic energy comparable to thermal evaporation energy (PLD-TH); and (c) MBE. The thicknesses at which epitaxial breakdown occurs are ranked in the order PLD-KE>MBE>PLD-TH; additionally, the surface is smoother in PLD-KE than in MBE. The surface roughness of the films grown by PLD-TH cannot be compared due to the early epitaxial breakdown. These results demonstrate convincingly that kinetic energy is more important than flux pulsing in the enhancement of epitaxial growth, i.e., the reduction in roughness and the delay of epitaxial breakdown

  14. A novel series of isoreticular metal organic frameworks: Realizing metastable structures by liquid phase epitaxy

    KAUST Repository

    Liu, Jinxuan; Lukose, Binit; Shekhah, Osama; Arslan, Hasan Kemal; Weidler, Peter; Gliemann, Hartmut; Brä se, Stefan; Grosjean, Sylvain; Godt, Adelheid; Feng, Xinliang; Mü llen, Klaus; Magdau, Ioan-Bogdan; Heine, Thomas; Wö ll, Christof

    2012-01-01

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++) 2-carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process.

  15. A novel series of isoreticular metal organic frameworks: Realizing metastable structures by liquid phase epitaxy

    KAUST Repository

    Liu, Jinxuan

    2012-12-04

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++) 2-carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process.

  16. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  17. Adsorption-controlled growth of La-doped BaSnO3 by molecular-beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hanjong Paik

    2017-11-01

    Full Text Available Epitaxial La-doped BaSnO3 films were grown in an adsorption-controlled regime by molecular-beam epitaxy, where the excess volatile SnOx desorbs from the film surface. A film grown on a (001 DyScO3 substrate exhibited a mobility of 183 cm2 V−1 s−1 at room temperature and 400 cm2 V−1 s−1 at 10 K despite the high concentration (1.2 × 1011 cm−2 of threading dislocations present. In comparison to other reports, we observe a much lower concentration of (BaO2 Ruddlesden-Popper crystallographic shear faults. This suggests that in addition to threading dislocations, other defects—possibly (BaO2 crystallographic shear defects or point defects—significantly reduce the electron mobility.

  18. Squid measurement of the Verwey transition on epitaxial (1 0 0) magnetite thin films

    International Nuclear Information System (INIS)

    Dediu, V.; Arisi, E.; Bergenti, I.; Riminucci, A.; Solzi, M.; Pernechele, C.; Natali, M.

    2007-01-01

    We report results on epitaxial magnetite (Fe 3 O 4 ) thin films grown by electron beam ablation on (1 0 0) MgAl 2 O 4 substrates. At 120 K magnetite undergoes a structural and electronic transition, the so-called Verwey transition, at which magnetic and conducting properties of the material change. We observed the Verwey transition on epitaxial films with a thickness of 50 nm by comparing zero-field cooling (ZFC) and field cooling (FC) curves measured with a superconducting quantum interference device (SQUID) magnetometer. Observation of the Verwey transition by SQUID measurements in the films is sign of their high crystalline quality. Room temperature ferromagnetism has also been found by magneto-optical Kerr rotation (MOKE) and confirmed by SQUID measurements, with a hysteresis loop showing a coercive field of hundreds of Oe

  19. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  20. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  1. Selenidation of epitaxial silicene on ZrB2

    NARCIS (Netherlands)

    Wiggers, F. B.; Yamada-Takamura, Y.; Kovalgin, A. Y.; de Jong, M. P.

    2018-01-01

    The deposition of elemental Se on epitaxial silicene on ZrB2 thin films was investigated with synchrotron-based core-level photoelectron spectroscopy and low-energy electron diffraction. The deposition of Se at room temperature caused the appearance of Si 2p peaks with chemical shifts of n × 0.51 ±

  2. High-temperature fabrication of Ag(In,Ga)Se{sub 2} thin films for applications in solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xianfeng [International Center for Science and Engineering Programs, Waseda University, Tokyo (Japan); Yamada, Akira [Department of Physical Electronics, Tokyo Institute of Technology, Tokyo (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo (Japan); Kagami Memorial Research Institute for Materials Science, Waseda University, Tokyo (Japan)

    2017-10-15

    Molecular beam epitaxy was used to fabricate Ag(In,Ga)Se{sub 2} (AIGS) thin films. To improve the diffusion of Ag, high-temperature deposition and high-temperature annealing methods were applied to fabricate AIGS films. The as-grown AIGS thin films were then used to make AIGS solar cells. We found that grain size and crystallinity of AIGS films were considerably improved by increasing the deposition and annealing temperature. For high-temperature deposition, temperatures over 600 C led to decomposition of the AIGS film, desorption of In, and deterioration of its crystallinity. The most appropriate deposition temperature was 590 C and a solar cell with a power conversion efficiency of 4.1% was obtained. High-temperature annealing of the AIGS thin films showed improved crystallinity as annealing temperature was increased and film decomposition and In desorption were prevented. A solar cell based on this film showed the highest conversion efficiency of 6.4% when annealed at 600 C. When the annealing temperature was further increased to 610 C, the performance of the cell deteriorated due to loss of the out-of-plane Ga gradient. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  4. High-fluence hyperthermal ion irradiation of gallium nitride surfaces at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Finzel, A.; Gerlach, J.W., E-mail: juergen.gerlach@iom-leipzig.de; Lorbeer, J.; Frost, F.; Rauschenbach, B.

    2014-10-30

    Highlights: • Irradiation of gallium nitride films with hyperthermal nitrogen ions. • Surface roughening at elevated sample temperatures was observed. • No thermal decomposition of gallium nitride films during irradiation. • Asymmetric surface diffusion processes cause local roughening. - Abstract: Wurtzitic GaN films deposited on 6H-SiC(0001) substrates by ion-beam assisted molecular-beam epitaxy were irradiated with hyperthermal nitrogen ions with different fluences at different substrate temperatures. In situ observations with reflection high energy electron diffraction showed that during the irradiation process the surface structure of the GaN films changed from two dimensional to three dimensional at elevated temperatures, but not at room temperature. Atomic force microscopy revealed an enhancement of nanometric holes and canyons upon the ion irradiation at higher temperatures. The roughness of the irradiated and heated GaN films was clearly increased by the ion irradiation in accordance with x-ray reflectivity measurements. A sole thermal decomposition of the films at the chosen temperatures could be excluded. The results are discussed taking into account temperature dependent sputtering and surface uphill adatom diffusion as a function of temperature.

  5. Lattice dynamics and electron/phonon interactions in epitaxial transition-metal nitrides

    Science.gov (United States)

    Mei, Antonio Rodolph Bighetti

    the films are completely dense with smooth surfaces (roughness = 1.3 nm, consistent with atomic-force microscopy analyses). Based upon temperature-dependent electronic transport measurements, epitaxial ZrN/MgO(001) layers have a room-temperature resistivity rho 300K of 12.0 muO-cm, a temperature coefficient of resistivity between 100 and 300 K of 5.6x10-8 O-cm K -1, a residual resistivity rhoo below 30 K of 0.78 muO-cm (corresponding to a residual resistivity ratio rho300K/rho 15K = 15), and the layers exhibit a superconducting transition temperature Tc = 10.4 K. The relatively high residual resistivity ratio, combined with long in-plane and out-of-plane x-ray coherence lengths, xi|| = 18 nm and xi⊥ = 161 nm, indicates high crystalline quality with low mosaicity. The reflectance of ZrN(001), as determined by variable-angle spectroscopic ellipsometry, decreases slowly from 95% at 1 eV to 90% at 2 eV with a reflectance edge at 3.04 eV. Interband transitions dominate the dielectric response above 2 eV. The ZrN(001) nanoindentation hardness and modulus are 22.7+/-1.7 and 450+/-25 GPa. Transport electron/phonon coupling parameters and Eliashberg spectral functions alphatr2F(ho) are determined for Group-IV TM nitrides TiN, ZrN, and HfN, and the rare-earth (RE) nitride CeN using an inversion procedure based upon temperature-dependent (4 electron/phonon coupling parameters lambdatr vary from 1.11 for ZrN to 0.82 for HfN, 0.73 for TiN, and 0.44 for CeN. The small variation in lambda tr among the TM nitrides and the weak coupling in CeN are consistent with measured Tc values: 10.4 (ZrN), 9.18 (HfN), 5.35 (TiN), and electron/phonon coupling in conventional superconductors. Spectral peaks in alpha2F(ho), corresponding to regions in energy-space for which electrons couple to acoustic hoac and optical ho op phonon modes, are centered at ho ac = 33 and hoop = 57 meV for TiN, 25 and 60 meV for ZrN, 18 and 64 meV for HfN, and 21 and 39 meV for CeN. The acoustic modes soften with

  6. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.

    2013-01-08

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation from metallic Cr2N to semiconducting CrN appears in both polycrystalline and epitaxial CrNx films. At fN2= 100 sccm, the low-temperature conductance mechanism is dominated by both Mott and Efros-Shklovskii variable-range hopping in either polycrystalline or epitaxial CrN films. In all of the polycrystalline and epitaxial films, only the polycrystalline CrNx films fabricated at fN2 = 30 and 50 sccm exhibit a discontinuity in ρ(T) curves at 260-280 K, indicating that both the N-vacancy concentration and grain boundaries play important roles in the metal-insulator transition. © 2013 American Institute of Physics.

  7. Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Chierchia, Rosa

    2007-07-01

    This thesis treats strain and dislocations in MOVPE GaN layers. The mosaic structure of metalorganic vapour phase epitaxy (MOVPE)-grown GaN layers was studied in dependence on the grain diameter utilizing high-resolution XRD. Different models for the defect structure were analyzed, the edge type TD densities were calculated under the assumption that the dislocations are not randomly distributed but localized at the grain boundaries. Moreover, in situ measurements have shown that the layers are under tension in the c-plane when a nucleation layer is used. The second part of this thesis treats a particular approach to reduce dislocations in MOVPE GaN layers, i.e. maskless pendeo epitaxial growth of MOVPE GAN layers. FE simulations assuming the strain to be completely induced during cooling of the structures after growth agree only partly with experimental data. The strain state of single layers and stripes of GaN grown on SiC was studied to exploit the evolution of the strain in the different phases of the PE growth. The biaxial compressive stress, due to the lattice mismatch between the GaN layer and the AlN nucleation layer is plastically relieved before overgrowth. Temperature dependent measurements show a linear reduction of the wing tilt with increasing temperature varying from sample to sample. Bent TDs have been observed in TEM images of maskless PE samples. Stress induced from the mismatch between the AlN buffer layer and the GaN also contributes to the remaining part of the wing tilt not relieved thermally. It has to be noted that the rest tilt value varies from sample to sample at the growth temperature. In fact some of the data indicate that the wing tilt decreases with increasing V/III ratio. In the last Chapter the application of X-ray techniques for the analysis of strain and composition in layers of inhomogeneous composition is explored. In the first part of the Chapter the strain state and the Al content of AlGaN buffer layers grown directly on (0001

  8. Flux creep characteristics in high-temperature superconductors

    International Nuclear Information System (INIS)

    Zeldov, E.; Amer, N.M.; Koren, G.; Gupta, A.; McElfresh, M.W.; Gambino, R.J.

    1990-01-01

    We describe the voltage-current characteristics of YBa 2 Cu 3 O 7-δ epitaxial films within the flux creep model in a manner consistent with the resistive transition behavior. The magnitude of the activation energy, and its temperature and magnetic field dependences, are readily derived from the experimentally observed power law characteristics and show a (1-T/T c ) 3/2 type of behavior near T c . The activation energy is a nonlinear function of the current density and it enables the determination of the shape of the flux line potential well

  9. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  10. Epitaxial growth of CZT(S,Se) on silicon

    Science.gov (United States)

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  11. Investigation of the growth of In2O3 on Y-stabilized ZrO2(100) by oxygen plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bourlange, A.; Payne, D.J.; Palgrave, R.G.; Foord, J.S.; Egdell, R.G.; Jacobs, R.M.J.; Schertel, A.; Hutchison, J.L.; Dobson, P.J.

    2009-01-01

    Thin films of In 2 O 3 have been grown on Y-stabilised ZrO 2 (100) substrates by oxygen plasma assisted molecular beam epitaxy over a range of substrate temperatures between 650 o C and 900 o C. Growth at 650 o C leads to continuous but granular films and complete extinction of substrate core level structure in X-ray photoelectron spectroscopy. However with increasing substrate temperature the films break up into a series of discrete micrometer sized islands. Both the continuous and the island films have excellent epitaxial relationship with the substrate as gauged by X-ray diffraction and selected area electron diffraction and lattice imaging in high resolution transmission electron microscopy.

  12. Formation of defect-fluorite structured NdNiOxHy epitaxial thin films via a soft chemical route from NdNiO3 precursors.

    Science.gov (United States)

    Onozuka, T; Chikamatsu, A; Katayama, T; Fukumura, T; Hasegawa, T

    2016-07-26

    A new phase of oxyhydride NdNiOxHy with a defect-fluorite structure was obtained by a soft chemical reaction of NdNiO3 epitaxial thin films on a substrate of SrTiO3 (100) with CaH2. The epitaxial relationship of this phase relative to SrTiO3 could be controlled by changing the reaction temperature. At 240 °C, NdNiOxHy grew with a [001] orientation, forming a thin layer of infinite-layer NdNiO2 at the interface between the NdNiOxHy and the substrate. Meanwhile, a high-temperature reaction at 400 °C formed [110]-oriented NdNiOxHy without NdNiO2.

  13. Radical-source molecular beam epitaxy of ZnO-based heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Sadofiev, Sergey

    2009-10-27

    This work focuses on the development of the novel growth approaches for the fabrication of Group II-oxide materials in the form of epitaxial films and heterostructures. It is shown that molecular-beam epitaxial growth far from thermal equilibrium allows one to overcome the standard solubility limit and to alloy ZnO with MgO or CdO in strict wurtzite phase up to mole fractions of several 10 %. In this way, a band-gap range from 2.2 to 4.4 eV can be covered. A clear layer-by-layer growth mode controlled by oscillations in reflection high-energy electron diffraction makes it possible to fabricate atomically smooth heterointerfaces and well-defined quantum well structures exhibiting prominent band-gap related light emission in the whole composition range. On appropriately designed structures, laser action from the ultraviolet down to green wavelengths and up to room temperature is achieved. The properties and potential of the ''state-of-the-art'' materials are discussed in relation to the advantages for their applications in various optoelectronic devices. (orig.)

  14. SiC epitaxial layer growth in a novel multi-wafer VPE reactor

    Energy Technology Data Exchange (ETDEWEB)

    Burk, A.A. Jr.; O`Loughlin, M.J. [Northrop Grumman Advanced Technology Lab., Baltimore, MD (United States); Mani, S.S. [Northrop Grumman Science and Technology Center, Pittsburgh, PA (United States)

    1998-06-01

    Preliminary results are presented for SiC epitaxial layer growth employing a unique planetary SiC-VPE reactor. The high-throughput, multi-wafer (7 x 2-inch) reactor, was designed for atmospheric and reduced pressure operation at temperatures up to and exceeding 1600 C. Specular epitaxial layers have been grown in the reactor at growth rates from 3-5 {mu}m/hr. The thickest layer grown to data was 42 {mu}m. The layers exhibit minimum unintentional n-type doping of {proportional_to}1 x 10{sup 15} cm{sup -3}, room temperature mobilities of {proportional_to}1000 cm{sup 2}/Vs, and intentional n-type doping from {proportional_to}5 x 10{sup 15} cm{sup -3} to >1 x 10{sup 19} cm{sup -3}. Intrawafer thickness and doping uniformities of 4% and 7% (standard deviation/mean) have been obtained, respectively, on 35 mm diameter substrates. Recently, 3% thickness uniformity has been demonstrated on a 50 mm substrate. Within a run, wafer-to-wafer thickness deviation is {proportional_to}4-14%. Doping variation is currently larger, ranging as much as a factor of two from the highest to the lowest doped wafer. Continuing efforts to improve the susceptor temperature uniformity and reduce unintentional hydrocarbon generation to improve layer uniformity and reproducibility, are presented. (orig.) 18 refs.

  15. Charge collection properties of heavily irradiated epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Kramberger, G.; Cindro, V.; Dolenc, I.; Fretwurst, E.; Lindstroem, G.; Mandic, I.; Mikuz, M.; Zavrtanik, M.

    2005-01-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75μm thicknesses (ρ=50Ωcm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10 16 cm -2 . Charge collection for minimum ionizing electrons from a 90 Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC

  16. Charge collection properties of heavily irradiated epitaxial silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kramberger, G. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)]. E-mail: Gregor.Kramberger@ijs.si; Cindro, V. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Dolenc, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Fretwurst, E. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Lindstroem, G. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Mandic, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Mikuz, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Zavrtanik, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)

    2005-12-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75{mu}m thicknesses ({rho}=50{omega}cm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10{sup 16}cm{sup -2}. Charge collection for minimum ionizing electrons from a {sup 90}Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC.

  17. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  18. New Crystal-Growth Methods for Producing Lattice-Matched Substrates for High-Temperature Superconductors

    Energy Technology Data Exchange (ETDEWEB)

    Boatner, L.A.

    2008-06-24

    This effort addressed the technical problem of identifying and growing, on a commercial scale, suitable single-crystal substrates for the subsequent deposition of epitaxial thin films of high temperature semiconductors such as GaN/AlN. The lack of suitable lattice-matched substrate materials was one of the major problem areas in the development of semiconducting devices for use at elevated temperatures as well as practical opto-electronic devices based on Al- and GaN technology. Such lattice-matched substrates are necessary in order to reduce or eliminate high concentrations of defects and dislocations in GaN/AlN and related epitaxial thin films. This effort concentrated, in particular, on the growth of single crystals of ZnO for substrate applications and it built on previous ORNL experience in the chemical vapor transport growth of large single crystals of zinc oxide. This combined expertise in the substrate growth area was further complemented by the ability of G. Eres and his collaborators to deposit thin films of GaN on the subject substrates and the overall ORNL capability for characterizing the quality of such films. The research effort consisted of research on the growth of two candidate substrate materials in conjunction with concurrent research on the growth and characterization of GaN films, i.e. the effort combined bulk crystal growth capabilities in the area of substrate production at both ORNL and the industrial partner, Commercial Crystal Growth Laboratories (CCL), Naples, Florida, with the novel thin-film deposition techniques previously developed in the ORNL SSD.

  19. Epitaxial Fe16N2 thin film on nonmagnetic seed layer

    Science.gov (United States)

    Hang, Xudong; Zhang, Xiaowei; Ma, Bin; Lauter, Valeria; Wang, Jian-Ping

    2018-05-01

    Metastable α″ -Fe16N2 has attracted much interest as a candidate for rare-earth-free hard magnetic materials. We demonstrate that Fe16N2 thin films were grown epitaxially on Cr seed layers with MgO (001) substrates by facing-target sputtering. Good crystallinity with the epitaxial relation MgO (001 )[110 ] ∥ Cr (001 )[100 ] ∥ Fe16N2 (001 )[100 ] was obtained. The chemical order parameter, which quantifies the degree of N ordering in the Fe16N2 (the N-disordered phase is α' -Fe8N martensite), reaches 0.75 for Cr-seeded samples. Cr has a perfect lattice constant match with Fe16N2, and no noticeable strain can be assigned to Fe16N2. The intrinsic saturation magnetization of this non-strained Fe16N2 thin film at room temperature is determined to be 2.31 T by polarized neutron reflectometry and confirmed with vibrating sample magnetometry. Our work provides a platform to directly study the magnetic properties of high purity Fe16N2 films with a high order parameter.

  20. Nanoscale strain-induced pair suppression as a vortex-pinning mechanism in high-temperature superconductors

    International Nuclear Information System (INIS)

    Llordes, Anna; Palau, A.; Gazquez, J.; Coll, M.; Vlad, R.; Pomar, A.; Arbiol, Jordi; Guzman, Roger; Ye, S.; Rouco, V.; Sandiumenge, Felip; Ricart, Susagna; Puig, Teresa; Varela del Arco, Maria; Chataigner, D.; Vanacken, J.; Gutierrez, J.; Moschalkov, V.; Deutscher, G.; Magen Dominguez, Cesar; Obradors, Xavier

    2012-01-01

    Boosting large-scale superconductor applications require nanostructured conductors with artificial pinning centres immobilizing quantized vortices at high temperature and magnetic fields. Here we demonstrate a highly effective mechanism of artificial pinning centers in solution-derived high-temperature superconductor nanocomposites through generation of nanostrained regions where Cooper pair formation is suppressed. The nanostrained regions identified from transmission electron microscopy devise a very high concentration of partial dislocations associated with intergrowths generated between the randomly oriented nanodots and the epitaxial YBa 2 Cu 3 O 7 matrix. Consequently, an outstanding vortex-pinning enhancement correlated to the nanostrain is demonstrated for four types of randomly oriented nanodot, and a unique evolution towards an isotropic vortex-pinning behaviour, even in the effective anisotropy, is achieved as the nanostrain turns isotropic. We suggest a new vortex-pinning mechanism based on the bond-contraction pairing model, where pair formation is quenched under tensile strain, forming new and effective core-pinning regions.

  1. Effect of the growth temperature and the AlN mole fraction on In incorporation and properties of quaternary III-nitride layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Fernandez-Garrido, S.; Pereiro, J.; Munoz, E.; Calleja, E.; Redondo-Cubero, A.; Gago, R.; Bertram, F.; Christen, J.; Luna, E.; Trampert, A.

    2008-01-01

    Indium incorporation into wurtzite (0001)-oriented In x Al y Ga 1-x-y N layers grown by plasma-assisted molecular beam epitaxy was studied as a function of the growth temperature (565-635 deg. C) and the AlN mole fraction (0.01< y<0.27). The layer stoichiometry was determined by Rutherford backscattering spectrometry (RBS). RBS shows that indium incorporation decreased continuously with increasing growth temperature due to thermally enhanced dissociation of In-N bonds and for increasing AlN mole fractions. High resolution x-ray diffraction and transmission electron microscopy (TEM) measurements did not show evidence of phase separation. The mosaicity of the quaternary layers was found to be mainly determined by the growth temperature and independent on alloy composition within the range studied. However, depending on the AlN mole fraction, nanometer-sized composition fluctuations were detected by TEM. Photoluminescence spectra showed a single broad emission at room temperature, with energy and bandwidth S- and W-shaped temperature dependences typical of exciton localization by alloy inhomogeneities. Cathodoluminescence measurements demonstrated that the alloy inhomogeneities, responsible of exciton localization, occur on a lateral length scale below 150 nm, which is corroborated by TEM

  2. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  3. Epitaxial growth of semiconducting β-FeSi2 and its application to light-emitting diodes

    International Nuclear Information System (INIS)

    Suemasu, T.; Takakura, K.; Li, Cheng; Ozawa, Y.; Kumagai, Y.; Hasegawa, F.

    2004-01-01

    In this paper, we review the detailed study of epitaxial growth of β-FeSi 2 films by reactive deposition epitaxy (RDE), multilayer technique and molecular beam epitaxy (MBE). The p- and n-type β-FeSi 2 was formed when it was grown under an Fe-rich and an Si-rich condition, respectively. The maximum electron and hole mobilities of the β-FeSi 2 epitaxial films reached 6900 and 13000 cm 2 /V·s for the n- and p-type β-FeSi 2 , respectively, at around 50 K. Room temperature (RT) 1.6 μm electroluminescence (EL) was realized by optimizing the growth conditions for p-Si/β-FeSi 2 particles/n-Si structures prepared by RDE for β-FeSi 2 and by MBE for Si

  4. Influence of layer thickness on the structure and the magnetic properties of Co/Pd epitaxial multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, Bunkyo-ku, Tokyo 112-8551 (Japan); Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2012-03-15

    Co/Pd epitaxial multilayer films were prepared on Pd(111){sub fcc} underlayers hetero-epitaxially grown on MgO(111){sub B1} single-crystal substrates at room temperature by ultra-high vacuum RF magnetron sputtering. In-situ reflection high energy electron diffraction shows that the in-plane lattice spacing of Co on Pd layer gradually decreases with increasing the Co layer thickness, whereas that of Pd on Co layer remains unchanged during the Pd layer formation. The CoPd alloy phase formation is observed around the Co/Pd interface. The atomic mixing is enhanced for thinner Co and Pd layers in multilayer structure. With decreasing the Co and the Pd layer thicknesses and increasing the repetition number of Co/Pd multilayer film, stronger perpendicular magnetic anisotropy is observed. The relationships between the film structure and the magnetic properties are discussed. - Highlights: Black-Right-Pointing-Pointer Epitaxial Co/Pd multilayer films are prepared on Pd(111){sub fcc} underlayers. Black-Right-Pointing-Pointer Lattice strain in Co layer and CoPd-alloy formation are noted around the interface. Black-Right-Pointing-Pointer Magnetic property dependence on layer thickness is reported.

  5. Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition.

    Science.gov (United States)

    Lou, Xiabing; Zhou, Hong; Kim, Sang Bok; Alghamdi, Sami; Gong, Xian; Feng, Jun; Wang, Xinwei; Ye, Peide D; Gordon, Roy G

    2016-12-14

    We demonstrate for the first time that a single-crystalline epitaxial Mg x Ca 1-x O film can be deposited on gallium nitride (GaN) by atomic layer deposition (ALD). By adjusting the ratio between the amounts of Mg and Ca in the film, a lattice matched Mg x Ca 1-x O/GaN(0001) interface can be achieved with low interfacial defect density. High-resolution X-ray diffraction (XRD) shows that the lattice parameter of this ternary oxide nearly obeys Vegard's law. An atomically sharp interface from cross-sectional transmission electron microscopy (TEM) confirmed the high quality of the epitaxy. High-temperature capacitance-voltage characterization showed that the film with composition Mg 0.25 Ca 0.75 O has the lowest interfacial defect density. With this optimal oxide composition, a Mg 0.25 Ca 0.75 O/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility (MOS-HEMT) device was fabricated. An ultrahigh on/off ratio of 10 12 and a near ideal SS of 62 mV/dec were achieved with this device.

  6. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  7. p-type ZnO films with solid-source phosphorus doping by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Xiu, F.X.; Yang, Z.; Mandalapu, L.J.; Liu, J.L.; Beyermann, W. P.

    2006-01-01

    Phosphorus-doped p-type ZnO films were grown on r-plane sapphire substrates using molecular-beam epitaxy with a solid-source GaP effusion cell. X-ray diffraction spectra and reflection high-energy electron diffraction patterns indicate that high-quality single crystalline (1120) ZnO films were obtained. Hall and resistivity measurements show that the phosphorus-doped ZnO films have high hole concentrations and low resistivities at room temperature. Photoluminescence (PL) measurements at 8 K reveal a dominant acceptor-bound exciton emission with an energy of 3.317 eV. The acceptor energy level of the phosphorus dopant is estimated to be 0.18 eV above the valence band from PL spectra, which is also consistent with the temperature dependence of PL measurements

  8. Epitaxial YBa2Cu3O7 on biaxially textured (001) Ni: An approach to high critical current density superconducting tapes

    International Nuclear Information System (INIS)

    Norton, D.P.; Goyal, A.; Budai, J.D.

    1997-01-01

    In-plane aligned, c-axis oriented YBa 2 Cu 3 O 7 (YBCO) films with superconducting critical current densities, J c , as high as 700,000 amperes per square centimeter at 77 kelvin have been grown on thermo-mechanically, rolled-textured (001) Ni tapes using pulsed-laser deposition. Epitaxial growth of oxide buffer layers directly on biaxially textured Ni, formed by recrystallization of cold-rolled pure Ni, enables the growth of 1.5 micrometer-thick YBCO films with superconducting properties that are comparable to those observed for epitaxial films on single crystal oxide substrates. This result represents a viable approach for producing long-length superconducting tapes for high current, high field applications at 77 kelvin

  9. Fluorine incorporation during Si solid phase epitaxy

    International Nuclear Information System (INIS)

    Impellizzeri, G.; Mirabella, S.; Romano, L.; Napolitani, E.; Carnera, A.; Grimaldi, M.G.; Priolo, F.

    2006-01-01

    We have investigated the F incorporation and segregation in preamorphized Si during solid phase epitaxy (SPE) at different temperatures and for several implanted-F energies and fluences. The Si samples were amorphized to a depth of 550 nm by implanting Si at liquid nitrogen temperature and then enriched with F at different energies (65-150 keV) and fluences (0.07-5 x 10 14 F/cm 2 ). Subsequently, the samples were regrown by SPE at different temperatures: 580, 700 and 800 deg. C. We have found that the amount of F incorporated after SPE strongly depends on the SPE temperature and on the energy and fluence of the implanted-F, opening the possibility to tailor the F profile during SPE

  10. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations, by X-ray diffraction, high-resolution transmission electron microscopy, selected area electron diffraction and Raman spectra, reveal that single crystalline rutile TiO 2 shells can be epitaxially grown on SnO 2 NWs with an atomically sharp interface at low temperature (250 °C). The growth behavior of the TiO 2 shells highly depends on the surface orientations and the geometrical shape of the core SnO 2 NW cross-section. Atomically smooth surfaces are found for growth on the {110} surface. Rough surfaces develop on {100} surfaces due to (100) - (1 × 3) reconstruction, by introducing steps in the [010] direction as a continuation of {110} facets. Lattice mismatch induces superlattice structures in the TiO 2 shell and misfit dislocations along the interface. Conformal epitaxial growth has been observed for SnO 2 NW cores with an octagonal cross-section ({100} and {110} surfaces). However, for a rectangular core ({101} and {010} surfaces), the shell also derives an octagonal shape from the epitaxial growth, which was explained by a proposed model based on ALD kinetics. The surface steps and defects induced by the lattice mismatch likely lead to improved photoluminescence (PL) performance for the yellow emission. Compared to the pure SnO 2 NWs, the PL spectrum of the core-shell nanostructures exhibits a stronger emission peak, which suggests potential applications in optoelectronics. © The Royal Society of Chemistry 2012.

  11. Experimental investigations of superconductivity in quasi-two-dimensional epitaxial copper oxide superlattices and trilayers

    International Nuclear Information System (INIS)

    Lowndes, D.H.; Norton, D.P.

    1993-01-01

    Epitaxial trilayer and superlattice structures grown by pulsed laser ablation have been used to study the superconducting-to-normal transition of ultrathin (one and two c-axis unit cells) YBa 2 Cu 3 O 7-x layers. The normalized flux-flow resistances for several epitaxial structures containing two-cell-thick YBa 2 Cu 3 O 7-x films collapse onto the ''universal'' curve of the Ginzburg-Landau Coulomb Gas (GLCG) model. Analysis of normalized resistance data for a series of superlattices containing one-cell-thick YBa 2 Cu 3 O 7-x layers also is consistent with the behavior expected for quasi-two-dimensional layers in a highly anisotropic, layered three-dimensional superconductor. Current-voltage measurements for one of the trilayer structures also are consistent with the normalized resistance data, and with the GLCG model. Scanning tunneling microscopy, transmission electron microscopy, and electrical transport studies show that growth-related steps in ultrathin YBa 2 Cu 3 O 7-x layers affect electrical continuity over macroscopic distances, acting as weak links. However , the perturbation of the superconducting order parameter can be minimized by utilizing hole-doped buffer and cap layers, on both sides of the YBa 2 Cu 3 O 7-x layer, in trilayers and superlattices. These results demonstrate the usefulness of epitaxial trilayer and superlattice structures as tools for systematic, fundamental studies of high-temperature superconductivity

  12. Fabrication of GaAs quantum dots by droplet epitaxy on Si/Ge virtual substrate

    International Nuclear Information System (INIS)

    Bietti, S; Sanguinetti, S; Somaschini, C; Koguchi, N; Isella, G; Chrastina, D; Fedorov, A

    2009-01-01

    We present here the fabrication, via droplet epitaxy, of GaAs/AlGaAs quantum dots with high optical efficiency on Si. The growth substrate lattice parameter was adapted to that of (Al)GaAs via Ge virtual substrates (GeVS). The samples clearly show the presence of quantum dot self-assembly, with the designed shape and density. Photoluminescence measurements, performed at low temperature, show an intense emission band from the quantum dots.

  13. Defect distribution in low-temperature molecular beam epitaxy grown Si/Si(100), improved depth profiling with monoenergetic positrons

    International Nuclear Information System (INIS)

    Szeles, C.; Asoka-Kumar, P.; Lynn, K.G.; Gossmann, H.; Unterwald, F.C.; Boone, T.

    1995-01-01

    The depth distribution of open-volume defects has been studied in Si(100) crystals grown by molecular beam epitaxy at 300 degree C by the variable-energy monoenergetic positron beam technique combined with well-controlled chemical etching. This procedure gave a 10 nm depth resolution which is a significant improvement over the inherent depth resolving power of the positron beam technique. The epitaxial layer was found to grow defect-free up to 80 nm, from the interface, where small vacancy clusters, larger than divacancies, appear. The defect density then sharply increases toward the film surface. The result clearly shows that the nucleation of small open-volume defects is a precursor state to the breakdown of epitaxy and to the evolution of an amorphous film

  14. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  15. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  16. Magnetic structural effect (MSE in epitaxial films of cerium oxide and lanthanum zirconate

    Directory of Open Access Journals (Sweden)

    Fatima Kh. Chibirova

    2015-06-01

    Full Text Available Increasing the critical current density in the second generation high-temperature superconducting wires (2G HTS is the major challenge for researchers and manufacturers of 2G HTS wires all over the world. We proposed a new approach to increase the number of percolation paths for supercurrent, i.e. increasing the number of low angle grain boundaries (<5° in the epitaxial superconducting YBCO layer by magnetic structural processing (MSP of buffer layers. New experimental results have been presented on the application of MSP for improving the structure and increasing the texture sharpness of buffer in electrical conducting element of 2G HTS wire. The influence of MCO on the structural and textural properties has been investigated in a buffer consisting of epitaxial films of cerium oxide CeO2 and lanthanum zirconate La2Zr2O7 in the CeO2/4La2Zr2O7 architecture. The influence of the magnetic processing of the epitaxial La2Zr2O7 buffer film on the shape of grains has been found. An atomic force microscopical study has shown that after magnetic processing the shape of grains improved significantly. A multilayer CeO2/4La2Zr2O7 buffer each layer of which was processed in a magnetic field has a high degree of orientation: only one diffraction peak with (200 indexes is observed in the X-ray spectrum. The X-ray settings of the (200 diffraction peak indicate a well developed epitaxial structure of CeO2 and La2Zr2O7 layers. The texture of the buffer is by more than 2° sharper than that of the Ni–5 at% W substrate.

  17. Molecular beam epitaxy of alternating-strain ZnSe-based multilayer heterostructures for blue-green lasers

    International Nuclear Information System (INIS)

    Ivanov, S.V.; Toropov, A.A.; Sorokin, S.V.; Shubina, T.V.; Il'inskaya, N.D.; Lebedev, A.V.; Sedova, I.V.; Kop'ev, P.S.; Alferov, Zh.I.; Lugauer, H.-J.; Reuscher, G.; Keim, M.; Fischer, F.; Waag, A.; Landwehr, G.

    1998-01-01

    High-quality ZnSe-based heterostructures are grown by uninterrupted molecular beam epitaxy using the concept of strain compensation and alternating-strain multilayers. To verify the advantages of this technique, optically pumped ZnSSe/ZnCdSe laser structures containing short-period superlattices or multiple quantum wells have been grown and studied. A room-temperature injection laser diode with a BeZnSe/ZnSe superlattice waveguide is described

  18. Investigation of CuGaSe2/CuInSe2 double heterojunction interfaces grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Sathiabama Thiru

    2015-02-01

    Full Text Available In-situ reflection high-energy electron diffraction (RHEED observation and X-ray diffraction measurements were performed on heterojunction interfaces of CuGaSe2/CnInSe2/CuGaSe2 grown on GaAs (001 using migration-enhanced epitaxy. The streaky RHEED pattern and persistent RHEED intensity oscillations caused by the alternate deposition of migration-enhanced epitaxy sequence are observed and the growths of smooth surfaces are confirmed. RHEED observation results also confirmed constituent material interdiffusion at the heterointerface. Cross-sectional transmission electron microscopy showed a flat and abrupt heterointerface when the substrate temperature is as low as 400 °C. These have been confirmed even by X-ray diffraction and photoluminescence measurements.

  19. Unsaturated magnetoconductance of epitaxial La0.7Sr0.3MnO3 thin films in pulsed magnetic fields up to 60 T

    Directory of Open Access Journals (Sweden)

    Wei Niu

    2017-05-01

    Full Text Available We report on the temperature and field dependence of resistance of La0.7Sr0.3MnO3 thin films over a wide temperature range and in pulsed magnetic fields up to 60 T. The epitaxial La0.7Sr0.3MnO3 thin films were deposited by laser molecular beam epitaxy. High magnetic field magnetoresistance curves were fitted by the Brillouin function, which indicated the existence of magnetically polarized regions and the underlying hopping mechanism. The unsaturated magnetoconductance was the most striking finding observed in pulsed magnetic fields up to 60 T. These observations can deepen the fundamental understanding of the colossal magnetoresistance in manganites with strong correlation of transport properties and magnetic ordering.

  20. BiFeO3 epitaxial thin films and devices: past, present and future

    Science.gov (United States)

    Sando, D.; Barthélémy, A.; Bibes, M.

    2014-11-01

    The celebrated renaissance of the multiferroics family over the past ten years has also been that of its most paradigmatic member, bismuth ferrite (BiFeO3). Known since the 1960s to be a high temperature antiferromagnet and since the 1970s to be ferroelectric, BiFeO3 only had its bulk ferroic properties clarified in the mid-2000s. It is however the fabrication of BiFeO3 thin films and their integration into epitaxial oxide heterostructures that have fully revealed its extraordinarily broad palette of functionalities. Here we review the first decade of research on BiFeO3 films, restricting ourselves to epitaxial structures. We discuss how thickness and epitaxial strain influence not only the unit cell parameters, but also the crystal structure, illustrated for instance by the discovery of the so-called T-like phase of BiFeO3. We then present its ferroelectric and piezoelectric properties and their evolution near morphotropic phase boundaries. Magnetic properties and their modification by thickness and strain effects, as well as optical parameters, are covered. Finally, we highlight various types of devices based on BiFeO3 in electronics, spintronics, and optics, and provide perspectives for the development of further multifunctional devices for information technology and energy harvesting.

  1. Atomic oxygen effect on the in situ growth of stoichiometric YBa2Cu3O7 - delta epitaxial films by facing targets 90° off-axis radiofrequency magnetron sputtering

    Science.gov (United States)

    Oya, Gin-ichiro; Diao, Chien Chen; Imai, Syozo; Uzawa, Takaaki; Sawada, Yasuji; Sugai, Tokuko; Nakajima, Kensuke; Yamashita, Tsutomu

    1995-06-01

    (110)- and (103)-oriented almost stoichiometric YBa2Cu3O7-δ (YBCO) films have been grown epitaxially on hot SrTiO3 (110) substrates using a 90° off-axis rf magnetron sputtering technique, for fabrication of vertical sandwich-type YBCO/insulator/YBCO or YBCO/normal metal/YBCO Josephson junctions utilizing the high-quality YBCO films. The YBCO epitaxial films with high transition temperatures Tc of ˜90 K have been deposited in situ only under the conditions of substrate temperatures Ts of ˜650-˜700 °C and oxygen partial pressure PO2 of ˜5×10-3-˜10×10-3 Torr, which are in close proximity to the critical stability/decomposition line for YBa2Cu3O6 in the ordinary Y-Ba-Cu-O phase diagram. Using a quadrupole mass spectrometer, a high density of atomic oxygen has directly been observed to be efficiently produced in the sputter glow discharge under the above optimum conditions of PO2. This atomic oxygen has played a key role in promoting the formation of the perovskite structure and the epitaxial growth of the YBCO films. Furthermore, Shapiro steps have successfully been observed for a Nb-YBCO point-contact junction, which is made by pressing a Nb needle on a surface-etched YBCO epitaxial film, under 525.4 GHz submillimeter-wave irradiation.

  2. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    Energy Technology Data Exchange (ETDEWEB)

    Erofeev, E. V., E-mail: erofeev@micran.ru [Tomsk State University of Control Systems and Radioelectronics, Research Institute of Electrical-Communication Systems (Russian Federation); Fedin, I. V.; Kutkov, I. V. [Research and Production Company “Micran” (Russian Federation); Yuryev, Yu. N. [National Research Tomsk Polytechnic University, Institute of Physics and Technology (Russian Federation)

    2017-02-15

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping level makes it possible to attain a threshold voltage of GaN transistors close to V{sub th} = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V{sub th} = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.

  3. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    International Nuclear Information System (INIS)

    Erofeev, E. V.; Fedin, I. V.; Kutkov, I. V.; Yuryev, Yu. N.

    2017-01-01

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping level makes it possible to attain a threshold voltage of GaN transistors close to V_t_h = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V_t_h = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.

  4. Growth of CrTe thin films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Sreenivasan, M.G.; Hou, X.J.; Teo, K.L.; Jalil, M.B.A.; Liew, T.; Chong, T.C.

    2006-01-01

    We report the growth of Cr 1-δ Te films on (100) GaAs substrates using ZnTe buffer layers by solid-source molecular-beam epitaxial technique. RHEED patterns indicate a clear structural change during the initial stages of deposition. Temperature-dependent magnetization results reveal that different NiAs-related phases of Cr 1-δ Te can be obtained at different substrate temperatures. By varying the film thickness, a metastable zinc blende structure of CrTe could be obtained at lower substrate temperature

  5. Transformation behaviour of freestanding epitaxial Ni–Mn–Ga films

    International Nuclear Information System (INIS)

    Yeduru, S.R.; Backen, A.; Fähler, S.; Schultz, L.; Kohl, M.

    2013-01-01

    Highlights: ► The complex martensite microstructure of free-standing epitaxial Ni–Mn–Ga films. ► A two-stage transformation in the temperature range between 40 °C and 160 °C. ► Temperature dependent mechanical properties of free-standing Ni–Mn–Ga films. ► With increasing temperature, the twinning stress decreases due to thermal activation of twin boundaries. ► Large superplastic strain increases from about 10% at 110 °C to 14% at RT. -- Abstract: We analyze the transformation behaviour of a 2 μm thick epitaxial Ni–Mn–Ga film by combining temperature dependent measurements of magnetization, electrical resistance, X-ray diffraction (XRD) and tensile stress–strain characteristics. While the magnetization measurements hint for a simple austenite–martensite transformation below the Curie temperature at about 90 °C, resistivity measurements reveal a two-stage transformation in the temperature regimes (I) of 40–80 °C and (II) of 140–160 °C. XRD and pseudoplastic behaviour prove the presence of martensite well above the Curie point. The combination of four independent methods suggests that the transformation at (II) may originate from a weakly first order transformation followed by an intermartensitic transformation at (I). This interpretation is in line with the large superplastic strain observed for the tensile direction parallel to the [1 0 0] direction of the Ni–Mn–Ga unit cell. The strain increases from about 10% at 110 °C to 14% at room temperature suggesting an increase in tetragonal distortion

  6. Spin Seebeck effect in insulating epitaxial γ−Fe2O3 thin films

    Directory of Open Access Journals (Sweden)

    P. Jiménez-Cavero

    2017-02-01

    Full Text Available We report the fabrication of high crystal quality epitaxial thin films of maghemite (γ−Fe2O3, a classic ferrimagnetic insulating iron oxide. Spin Seebeck effect (SSE measurements in γ−Fe2O3/Pt bilayers as a function of sample preparation conditions and temperature yield a SSE coefficient of 0.5(1 μV/K at room temperature. Dependence on temperature allows us to estimate the magnon diffusion length in maghemite to be in the range of tens of nanometers, in good agreement with that of conducting iron oxide magnetite (Fe3O4, establishing the relevance of spin currents of magnonic origin in magnetic iron oxides.

  7. Structure and Properties of Epitaxial Dielectrics on gallium nitride

    Science.gov (United States)

    Wheeler, Virginia Danielle

    GaN is recognized as a possible material for metal oxide semiconductor field effect transistors (MOSFETs) used in high temperature, high power and high speed electronic applications. However, high gate leakage and low device breakdown voltages limit their use in these applications. The use of high-kappa dielectrics, which have both a high permittivity (ε) and high band gap energy (Eg), can reduce the leakage current density that adversely affects MOS devices. La2O3 and Sc2O 3 are rare earth oxides with a large Eg (6.18 eV and 6.3 eV respectively) and a relatively high ε (27 and 14.1 respectively), which make them good candidates for enhancing MOSFET performance. Epitaxial growth of oxides is a possible approach to reducing leakage current and Fermi level pinning related to a high density of interface states for dielectrics on compound semiconductors. In this work, La2O3 and Sc2O 3 were characterized structurally and electronically as potential epitaxial gate dielectrics for use in GaN based MOSFETs. GaN surface treatments were examined as a means for additional interface passivation and influencing subsequent oxide formation. Potassium persulfate (K2(SO4)2) and potassium hydroxide (KOH) were explored as a way to achieve improved passivation and desired surface termination for GaN films deposited on sapphire substrates by metal organic chemical vapor deposition (MOCVD). X-ray photoelectron spectroscopy (XPS) showed that KOH left a nitrogen-rich interface, while K2(SO 4)2 left a gallium-rich interface, which provides a way to control surface oxide formation. K2(SO4)2 exhibited a shift in the O1s peak indicating the formation of a gallium-rich GaOx at the surface with decreased carbon contaminants. GaO x acts as a passivating layer prior to dielectric deposition, which resulted in an order of magnitude reduction in leakage current, a reduced hysteresis window, and an overall improvement in device performance. Furthermore, K2(SO4)2 resulted in an additional 0.4 eV of

  8. Pulsed laser deposition of epitaxial yttrium iron garnet films with low Gilbert damping and bulk-like magnetization

    Directory of Open Access Journals (Sweden)

    M. C. Onbasli

    2014-10-01

    Full Text Available Yttrium iron garnet (YIG, Y 3Fe5O12 films have been epitaxially grown on Gadolinium Gallium Garnet (GGG, Gd3Ga5O12 substrates with (100 orientation using pulsed laser deposition. The films were single-phase, epitaxial with the GGG substrate, and the root-mean-square surface roughness varied between 0.14 nm and 0.2 nm. Films with thicknesses ranging from 17 to 200 nm exhibited low coercivity (<2 Oe, near-bulk room temperature saturation moments (∼135 emu cm−3, in-plane easy axis, and damping parameters as low as 2.2 × 10−4. These high quality YIG thin films are useful in the investigation of the origins of novel magnetic phenomena and magnetization dynamics.

  9. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    2011-01-01

    Epitaxial growth of Ce0.8Gd0.2O2(CGO) films on (001) TiO2-terminated SrTiO3 substrates by pulsed laser deposition was investigated using in situ reflective high energy electron diffraction. The initial film growth shows a Stransky–Krastanov growth mode. However, this three-dimensional island...... formation is replaced by a two-dimensional island nucleation during further deposition, which results in atomically smooth CGO films. The obtained high-quality CGO films may be attractive for the electrolyte of solid-oxide fuel cells operating at low temperature....

  10. Evolution of superconducting properties with disorder in epitaxial NbN films

    International Nuclear Information System (INIS)

    Chockalingam, S P; Chand, Madhavi; Jesudasan, John; Raychaudhuri, Pratap; Tripathi, Vikram

    2009-01-01

    NbN is a conventional BCS superconductor in which disorder can be tuned from moderately clean limit to dirty limit without affecting its epitaxial nature. We studied the superconducting properties of epitaxial NbN films of different disorder characterized by k F l ranging from 8.77 to 2.56 through the transport measurements. Using reactive magnetron sputtering NbN films of different disorder are deposited by varying the sputtering power and amount of N 2 in Ar:N 2 mixture of sputtering gas. The disorder parameter k F l is obtained from the carrier density (n) and the resistivity (ρ) of the films. NbN films with higher disorder have lower transition temperature (T c ) and larger resistivity which decreases with decreasing disorder. The carrier density determined from Hall measurements show that highly disordered films have lower carrier density and vice versa. Our studies show that NbN is a good system to investigate the effects of disorder on superconductivity where the superconducting properties evolve with the disorder in thin films.

  11. Liquid Solution Phase Epitaxial Growth of Al-doped f-SiC for LEDs

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; van der Eijk, Casper

    light quality and longer lifespan, compared to the current yellow phosphor based white LEDs. Liquid phase epitaxy technology is able to yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium process. In addition, the technological equipment...... are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  12. The epitaxial growth and interfacial strain study of VO{sub 2}/MgF{sub 2} (001) films by synchrotron based grazing incidence X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Fan, L.L. [Key Laboratory for Advanced Technology in Environmental Protection of Jiangsu Province, Yancheng Institute of Technology, Yancheng 224051 (China); National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Chen, S. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Liu, Q.H. [Science and Technology on Electro-optical Information Security Control Laboratory, Tianjin 300300 (China); Liao, G.M.; Chen, Y.L.; Ren, H. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Zou, C.W., E-mail: czou@ustc.edu.cn [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China)

    2016-09-05

    High quality VO{sub 2} films with different thickness were epitaxially grown on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. The evolution of interfacial strain was investigated by synchrotron based grazing incidence X-ray diffraction. By adjusting the incidence angles, the penetration depth of X-ray in VO{sub 2} film could be controlled and the thickness-depend lattice distortion in the epitaxial VO{sub 2} film was investigated. Due to the lattice mismatching, the pronounced tensile strain was observed in ultra-thin VO{sub 2} film. As the film thickness increasing, the interfacial strain relaxed gradually and became fully relaxed for thick VO{sub 2} films. Combined with the electric transport measurement, it was revealed that the phase transition temperature of ultra-thin VO{sub 2} film decreased greatly. The effect of interfacial strain induced phase transition modulation and the intrinsic mechanism was systematically discussed. - Highlights: • We prepared high quality VO{sub 2} epitaxial films on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. • Synchrotron radiation grazing incidence X-ray diffraction was employed to detect evolution of strain along depth profile. • Based on a classic band structure model, the mechanism of strain controlled phase transition of VO{sub 2} was discussed.

  13. Single-mode temperature and polarisation-stable high-speed 850nm vertical cavity surface emitting lasers

    International Nuclear Information System (INIS)

    Nazaruk, D E; Blokhin, S A; Maleev, N A; Bobrov, M A; Pavlov, M M; Kulagina, M M; Vashanova, K A; Zadiranov, Yu M; Ustinov, V M; Kuzmenkov, A G; Vasil'ev, A P; Gladyshev, A G; Blokhin, A A; Salut, 7 Larina Str, N Novgorod, 603950 (Russian Federation))" data-affiliation=" (JSV Salut, 7 Larina Str, N Novgorod, 603950 (Russian Federation))" >Fefelov, A G

    2014-01-01

    A new intracavity-contacted design to realize temperature and polarization-stable high-speed single-mode 850 nm vertical cavity surface emitting lasers (VCSELs) grown by molecular-beam epitaxy is proposed. Temperature dependences of static and dynamic characteristics of the 4.5 pm oxide aperture InGaAlAs VCSEL were investigated in detail. Due to optimal gain-cavity detuning and enhanced carrier localization in the active region the threshold current remains below 0.75 mA for the temperature range within 20-90°C, while the output power exceeds 1 mW up to 90°C. Single-mode operation with side-mode suppression ratio higher than 30 dB and orthogonal polarization suppression ratio more than 18 dB was obtained in the whole current and temperature operation range. Device demonstrates serial resistance less than 250 Ohm, which is rather low for any type of single-mode short- wavelength VCSELs. VCSEL demonstrates temperature robust high-speed operation with modulation bandwidth higher than 13 GHz in the entire temperature range of 20-90°C. Despite high resonance frequency the high-speed performance of developed VCSELs was limited by the cut-off frequency of the parasitic low pass filter created by device resistances and capacitances. The proposed design is promising for single-mode high-speed VCSEL applications in a wide spectral range

  14. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  15. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  16. A step-by-step experiment of 3C-SiC hetero-epitaxial growth on 4H-SiC by CVD

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Bin [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Jia, Ren-Xu, E-mail: rxjia@mail.xidian.edu.cn [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Hu, Ji-Chao [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Tsai, Cheng-Ying [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Lin, Hao-Hsiung, E-mail: hhlin@ntu.edu.tw [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 10617 Taipei, Taiwan (China); Zhang, Yu-Ming [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China)

    2015-12-01

    Highlights: • A step-by-step experiment to investigate the growth mechanism of SiC hetero-epitaxial is proposed. • It has shown protrusive regular “hill” morphology with much lower density of DPB defect in our experiment, which normally were in high density with shallow groove. Based on the defect morphology, an anisotropy migration rate phenomenon of adatoms has been regarded as forming the morphology of DPB defects and a new “DPB defects assist epitaxy” growth mode has been proposed based on Frank-van der Merwe growth mode. - Abstract: To investigate the growth mechanism of hetero-epitaxial SiC, a step-by-step experiment of 3C-SiC epitaxial layers grown on 4H-SiC on-axis substrates by the CVD method are reported in this paper. Four step experiments with four one-quarter 4H-SiC wafers were performed. Optical microscopy and atomic force microscopy (AFM) were used to characterize the morphology of the epitaxial layers. It was previously found that the main factor affecting the epilayer morphology was double-positioning boundary (DPB) defects, which normally were in high density with shallow grooves. However, a protrusive regular “hill” morphology with a much lower density was shown in our experiment in high-temperature growth conditions. The anisotropic migration of adatoms is regarded as forming the morphology of DPB defects, and a new “DPB defects assist epitaxy” growth mode has been proposed based on the Frank-van der Merwe growth mode. Raman spectroscopy and X-ray diffraction were used to examine the polytypes and the quality of the epitaxial layers.

  17. Concentric Multiple Rings by Droplet Epitaxy: Fabrication and Study of the Morphological Anisotropy

    Directory of Open Access Journals (Sweden)

    Somaschini C

    2010-01-01

    Full Text Available Abstract We present the Molecular Beam Epitaxy fabrication of complex GaAs/AlGaAs nanostructures by Droplet Epitaxy, characterized by the presence of concentric multiple rings. We propose an innovative experimental procedure that allows the fabrication of individual portions of the structure, controlling their diameter by only changing the substrate temperature. The obtained nanocrystals show a significant anisotropy between [110] and [1–10] crystallographic directions, which can be ascribed to different activation energies for the Ga atoms migration processes.

  18. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  19. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  20. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Yongwei; Zhang, Miao [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Deng, Chuang; Men, Chuanling [School of Energy and Power Engineering, University of Shanghai for Science and Technology, Shanghai 200093 (China); Chen, Da [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Zhu, Lei; Yu, Wenjie; Wei, Xing [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2015-08-15

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10{sup 17} cm{sup −2}, the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10{sup 17} cm{sup −2}. • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10{sup 17} cm{sup −2}, the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10{sup 17} cm{sup −2} H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF{sub 6} plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era.

  1. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    International Nuclear Information System (INIS)

    Chang, Yongwei; Zhang, Miao; Deng, Chuang; Men, Chuanling; Chen, Da; Zhu, Lei; Yu, Wenjie; Wei, Xing; Di, Zengfeng; Wang, Xi

    2015-01-01

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10 17 cm −2 , the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10 17 cm −2 . • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10 17 cm −2 , the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10 17 cm −2 H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF 6 plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era

  2. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  3. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  4. Numerical analysis of high-power broad-area laser diode with improved heat sinking structure using epitaxial liftoff technique

    Science.gov (United States)

    Kim, Younghyun; Sung, Yunsu; Yang, Jung-Tack; Choi, Woo-Young

    2018-02-01

    The characteristics of high-power broad-area laser diodes with the improved heat sinking structure are numerically analyzed by a technology computer-aided design based self-consistent electro-thermal-optical simulation. The high-power laser diodes consist of a separate confinement heterostructure of a compressively strained InGaAsP quantum well and GaInP optical cavity layers, and a 100-μm-wide rib and a 2000-μm long cavity. In order to overcome the performance deteriorations of high-power laser diodes caused by self-heating such as thermal rollover and thermal blooming, we propose the high-power broad-area laser diode with improved heat-sinking structure, which another effective heat-sinking path toward the substrate side is added by removing a bulk substrate. It is possible to obtain by removing a 400-μm-thick GaAs substrate with an AlAs sacrificial layer utilizing well-known epitaxial liftoff techniques. In this study, we present the performance improvement of the high-power laser diode with the heat-sinking structure by suppressing thermal effects. It is found that the lateral far-field angle as well as quantum well temperature is expected to be improved by the proposed heat-sinking structure which is required for high beam quality and optical output power, respectively.

  5. Controllable factors affecting the epitaxial quality of LaCoO 3 films ...

    Indian Academy of Sciences (India)

    treatment temperature and a relatively rapid rate of heating can effectively preventnucleus from growing in other orientations, thereby improving the epitaxial quality of film. Besides, the choice of spin speed will directly affect the thickness and ...

  6. Dewetting of Epitaxial Silver Film on Silicon by Thermal Annealing

    Science.gov (United States)

    Sanders, Charlotte E.; Kellogg, Gary L.; Shih, C.-K.

    2013-03-01

    It has been shown that noble metals can grow epitaxially on semiconducting and insulating substrates, despite being a non-wetting system: low temperature deposition followed by room temperature annealing leads to atomically flat film morphology. However, the resulting metastable films are vulnerable to dewetting, which has limited their utility for applications under ambient conditions. The physics of this dewetting is of great interest but little explored. We report on an investigation of the dewetting of epitaxial Ag(111) films on Si(111) and (100). Low energy electron microscopy (LEEM) shows intriguing evolution in film morphology and crystallinity, even at temperatures below 100oC. On the basis of these findings, we can begin to draw compelling inferences about film-substrate interaction and the kinetics of dewetting. Financial support is from NSF, DGE-0549417 and DMR-0906025. This work was performed, in part, at the Center for Integrated Nanotechnologies, User Facility operated for the U.S. DOE Office of Science. Sandia National Lab is managed and operated by Sandia Corp., a subsidiary of Lockheed Martin Corp., for the U.S. DOE's National Nuclear Security Administration under DE-AC04-94AL85000.

  7. Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy

    International Nuclear Information System (INIS)

    Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.

    2014-01-01

    Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices

  8. InAs/GaAs quantum dot lasers with InGaP cladding layer grown by solid-source molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Yeh, N.-T.; Liu, W.-S.; Chen, S.-H.; Chiu, P.-C.; Chyi, J.-I.

    2002-01-01

    This letter presents the lasing properties of InAs/GaAs quantum dot lasers with InGaP cladding layers grown by solid-source molecular-beam epitaxy. These Al-free lasers exhibit a threshold current density of 138 A/cm 2 , an internal loss of 1.35 cm -1 , and an internal quantum efficiency of 31% at room temperature. At a low temperature, a very high characteristic temperature of 425 K and very low threshold current density of 30 A/cm 2 are measured

  9. Epitaxial growth of indium oxyfluoride thin films by reactive pulsed laser deposition: Structural change induced by fluorine insertion into vacancy sites in bixbyite structure

    International Nuclear Information System (INIS)

    Okazaki, Sohei; Hirose, Yasushi; Nakao, Shoichiro; Yang, Chang; Harayama, Isao; Sekiba, Daiichiro; Hasegawa, Tetsuya

    2014-01-01

    InO x F y thin films were epitaxially grown on Y-stabilized ZrO 2 (111) substrates by reactive pulsed laser deposition. By changing the substrate temperature (T S ), we were able to control the fluorine content of the film. Phase-pure epitaxial thin films with bixbyite-like ordering in the anion-site occupancy were obtained at high T S (≥ 240 °C), where fluorine was inserted into the vacancy sites in the bixbyite lattice up to y / (x + y) ∼ 0.3. By decreasing T S , y / (x + y) increased and the bixbyite-like ordering disappeared; simultaneously, fluorine-rich and fluorine-poor subphases emerged. The films grown at T S ≤ 150 °C were amorphous and exhibited higher optical absorbance and electrical resistivity than the epitaxial films. - Highlights: • InO x F y epitaxial thin films with high fluorine concentration were grown on Y:ZrO 2 . • Anion composition and structural, optical and transport properties were studied. • Fluorine is topotactically inserted into the oxygen vacancy sites in bixbyite cell. • Bixbyite-like ordering of the anion site occupancy was conserved in y / (x + y) ≤ ∼ 0.3

  10. Anisotropic ferromagnetic behaviors in highly orientated epitaxial NiO-based thin films

    Directory of Open Access Journals (Sweden)

    Yu-Jun Zhang

    2015-07-01

    Full Text Available Antiferromagnetic materials attract a great amount of attention recently for promising antiferromagnet-based spintronics applications. NiO is a conventional antiferromagnetic semiconductor material and can show ferromagnetism by doping other magnetic elements. In this work, we synthesized epitaxial Fe-doped NiO thin films on SrTiO3 substrates with various crystal orientations by pulsed laser deposition. The room-temperature ferromagnetism of these films is anisotropic, including the saturated magnetization and the coercive field. The anisotropic magnetic behaviors of Fe-doped NiO diluted magnetic oxide system should be closely correlated to the magnetic structure of antiferromagnetic NiO base. Within the easy plane of NiO, the coercive field of the films becomes smaller, and larger coercive field while tested out of the easy plane of NiO. The saturated magnetization anisotropy is due to different strain applied by different substrates. These results lead us to more abundant knowledge of the exchange interactions in this conventional antiferromagnetic system.

  11. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  12. In situ observation of stress relaxation in epitaxial graphene

    International Nuclear Information System (INIS)

    N'Diaye, Alpha T; Coraux, Johann; Busse, Carsten; Michely, Thomas; Gastel, Raoul van; Poelsema, Bene; MartInez-Galera, Antonio J; Gomez-RodrIguez, Jose M; Hattab, Hichem; Wall, Dirk; Heringdorf, Frank-J Meyer zu; Hoegen, Michael Horn-von

    2009-01-01

    Upon cooling, branched line defects develop in epitaxial graphene grown at high temperature on Pt(111) and Ir(111). Using atomically resolved scanning tunneling microscopy, we demonstrate that these defects are wrinkles in the graphene layer, i.e. stripes of partially delaminated graphene. With low energy electron microscopy (LEEM), we investigate the wrinkling phenomenon in situ. Upon temperature cycling, we observe hysteresis in the appearance and disappearance of the wrinkles. Simultaneously with wrinkle formation a change in bright field imaging intensity of adjacent areas and a shift in the moire spot positions for micro diffraction of such areas takes place. The stress relieved by wrinkle formation results from the mismatch in thermal expansion coefficients of graphene and the substrate. A simple one-dimensional model taking into account the energies related to strain, delamination and bending of graphene is in qualitative agreement with our observations.

  13. Electrodeposition of epitaxial CdSe on (111) gallium arsenide

    Energy Technology Data Exchange (ETDEWEB)

    Cachet, H.; Cortes, R.; Froment, M. [Universite Pierre et Marie Curie, Paris (France). Phys. des Liquides et Electrochimie; Etcheberry, A. [Institut Lavoisier (IREM) UMR CNRS C0173, Universite de Versailles- St Quentin en Yvelynes, 45 Avenue des Etats Unis, 78035, Versailles (France)

    2000-02-21

    Epitaxial growth of CdSe has been achieved on GaAs(111) by electrodeposition from an aqueous electrolyte. The structure of the film corresponds to the cubic modification of CdSe. The quality of epitaxy has been investigated by reflection high energy electron diffraction, transmission electron microscopy and X-ray diffraction techniques. By XPS measurements the chemistry of the CdSe/GaAs interface and the composition of CdSe are determined. (orig.)

  14. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  15. CBE growth of high-quality ZnO epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    El-Shaer, A.; Bakin, A.; Mofor, A.C.; Kreye, M.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Stoimenos, J. [Physics Department, Aristotele University, Univ. Campus, 54006 Thessaloniki (Greece); Pecz, B. [Research Institute for Technical Physics and Materials Science, Hungarian Academy of Sciences, P.O. Box 49, 1525 Budapest (Hungary); Heuken, M. [Aixtron AG, Kackertstr. 15-17, 52072 Aachen (Germany)

    2006-03-15

    Further improvements on the recently reported novel approach to zinc oxide Chemical Beam Epitaxy (CBE) are presented. Hydrogen peroxide is employed as a very efficient novel oxidant. ZnO layers with a thickness from 100 nm to 600 nm were grown on c-sapphire using a MgO buffer. PL-mapping as well as conductivity mapping shows a good uniformity across the 2 inch ZnO-on-sapphire epiwafers. The measured surface roughness for the best layers is as low as 0.26 nm. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO. The FWHM of the HRXRD (0002) rocking curves measured for the 2 inch ZnO-on-sapphire wafers is as low as 27 arcsec with a very high lateral homogeneity across the whole wafer. Plane view HRTEM observations reveal the very good quality of the ZnO films. The results indicate that CBE is a suitable technique to fabricate ZnO of very high structural quality, which can eventually be used as an alternative to bulk ZnO substrates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Structural analysis of as-deposited and annealed low-temperature gallium arsenide

    Science.gov (United States)

    Matyi, R. J.; Melloch, M. R.; Woodall, J. M.

    1993-04-01

    The structure of GaAs grown at low substrate temperatures (LT-GaAs) by molecular beam epitaxy has been studied using high resolution X-ray diffraction methods. Double crystal rocking curves from the as-deposited LT-GaAs show well defined interference fringes, indicating a high level of structural perfection. Triple crystal diffraction analysis of the as-deposited sample showed significantly less diffuse scattering near the LT-GaAs 004 reciprocal lattice point compared with the substrate 004 reciprocal lattice point, suggesting that despite the incorporation of approximately 1% excess arsenic, the epitaxial layer had superior crystalline perfection than did the GaAs substrate. Triple crystal scans of annealed LT-GaAs showed an increase in the integrated diffuse intensity by approximately a factor of three as the anneal temperature was increased from 700 to 900°C. Analogous to the effects of SiO2 precipitates in annealed Czochralski silicon, the diffuse intensity is attributed to distortions in the epitaxial LT-GaAs lattice by arsenic precipitates.

  17. Approaching the Dirac point in high-mobility multilayer epitaxial graphene

    Czech Academy of Sciences Publication Activity Database

    Orlita, Milan; Faugeras, C.; Plochocka, P.; Neugebauer, P.; Martinez, G.; Maude, D. K.; Barra, A. L.; Sprinkle, M.; Berger, C.; de Heer, W.A.; Potemski, M.

    2008-01-01

    Roč. 101, č. 26 (2008), 267601/1-267601/4 ISSN 0031-9007 R&D Projects: GA AV ČR KAN400100652 Grant - others:EU(XE) RITA -CT-2003-505474 Institutional research plan: CEZ:AV0Z10100521 Keywords : multilayer epitaxial graphene * Dirac fermions * magnetic field Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 7.180, year: 2008

  18. Optimization of hetero-epitaxial growth for the threading dislocation density reduction of germanium epilayers

    Science.gov (United States)

    Chong, Haining; Wang, Zhewei; Chen, Chaonan; Xu, Zemin; Wu, Ke; Wu, Lan; Xu, Bo; Ye, Hui

    2018-04-01

    In order to suppress dislocation generation, we develop a "three-step growth" method to heteroepitaxy low dislocation density germanium (Ge) layers on silicon with the MBE process. The method is composed of 3 growth steps: low temperature (LT) seed layer, LT-HT intermediate layer as well as high temperature (HT) epilayer, successively. Threading dislocation density (TDD) of epitaxial Ge layers is measured as low as 1.4 × 106 cm-2 by optimizing the growth parameters. The results of Raman spectrum showed that the internal strain of heteroepitaxial Ge layers is tensile and homogeneous. During the growth of LT-HT intermediate layer, TDD reduction can be obtained by lowering the temperature ramping rate, and high rate deposition maintains smooth surface morphology in Ge epilayer. A mechanism based on thermodynamics is used to explain the TDD and surface morphological dependence on temperature ramping rate and deposition rate. Furthermore, we demonstrate that the Ge layer obtained can provide an excellent platform for III-V materials integrated on Si.

  19. Epataxial growth of the high-temperature superconductors YBa2Cu3O7-x on silicon single crystals with buffer layers

    International Nuclear Information System (INIS)

    Lubig, A.

    1991-09-01

    In this work the growth of thin films of the high-temperature superconductor YBa 2 Cu 3 O 7-x on Si(001) substrates has been investigated by Rutherford backscattering, channeling, X-ray diffraction, high resolution transmission electron microscopy, and electrical measurements. Epitaxial buffer layers of electrically insulating, pure and yttria-stabilized ZrO 2 ([Y 2 O 3 ] 0.06 [ZrO 2 ] 0.94 = YSZ) as well as of metallic CoSi 2 were employed to largely prevent the interdiffusion and chemical reaction between the superconductor film and the substrate in spite of the high deposition temperatures of the YBa 2 Cu 3 O 7-x in the range of 600 to 800deg C. (orig.)

  20. Double-heterostructure PbSnTe lasers grown by molecular-beam epitaxy with cw operation up to 114 K

    International Nuclear Information System (INIS)

    Walpole, J.N.; Calawa, A.R.; Harman, T.C.; Groves, S.H.

    1976-01-01

    Double-heterostructure Pb/sub 1-x/Sn/sub x/Te lasers with active regions of Pb 0 . 782 Sn 0 . 218 Te have been grown by molecular-beam epitaxy which operate cw up to heat-sink temperatures of 114 0 K. Temperature tuning of the emission from 15.9 to 8.54 μm wavelength is obtained, with emission at 77 0 K near 11.5 μm. The current-voltage characteristics show an abrupt change in slope at threshold, indicating high incremental internal quantum efficiency

  1. Investigation of deep level defects in epitaxial semiconducting zinc sulpho-selenide. Progress report, 15 June 1979-14 June 1980

    International Nuclear Information System (INIS)

    Wessels, B.W.

    1980-01-01

    In an effort to understand the defect structure of the ternary II-VI compound zinc sulpho-selenide, the binary compound zinc selenide was investigated. Thin single crystalline films of zinc selenide were heteroepitaxially grown on (100) GaAs. Epitaxial layers from 5 to 50 microns thick could be readily grown using a chemical vapor transport technique. The layers had an excellent morphology with few stacking faults and hillocks. Detailed epitaxial growth kinetics were examined as a function of temperature and reactant concentration. It was found that hydrogen flow rate, source and substrate temperature affect the growth rate of the epitaxial films. Au - ZnSe Schottky barrier diodes and ZnSe - GaAs n-p heterojunctions were prepared from the epitaxial layers. Current-voltage characteristics were measured on both types of diodes. From capacitance-voltage measurements the residual doping density of the epitaxial layers were found to be of the order of 10 14 - 10 15 cm -3 . Finally, we have begun to measure the deep level spectrum of both the Schottky barrier diodes and the heterojunctions. Deep level transient spectroscopy appears to be well suited for determining trapping states in ZnSe provided the material has a low enough resistivity

  2. GaAs structures with InAs and As quantum dots produced in a single molecular beam epitaxy process

    International Nuclear Information System (INIS)

    Nevedomskii, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhenskii, V. V.; Putyato, M. A.; Semyagin, B. R.

    2009-01-01

    Epitaxial GaAs layers containing InAs semiconductor quantum dots and As metal quantum dots are grown by molecular beam epitaxy. The InAs quantum dots are formed by the Stranskii-Krastanow mechanism, whereas the As quantum dots are self-assembled in the GaAs layer grown at low temperature with a large As excess. The microstructure of the samples is studied by transmission electron microscopy. It is established that the As metal quantum dots formed in the immediate vicinity of the InAs semiconductor quantum dots are larger in size than the As quantum dots formed far from the InAs quantum dots. This is apparently due to the effect of strain fields of the InAs quantum dots upon the self-assembling of As quantum dots. Another phenomenon apparently associated with local strains around the InAs quantum dots is the formation of V-like defects (stacking faults) during the overgrowth of the InAs quantum dots with the GaAs layer by low-temperature molecular beam epitaxy. Such defects have a profound effect on the self-assembling of As quantum dots. Specifically, on high-temperature annealing needed for the formation of large-sized As quantum dots by Ostwald ripening, the V-like defects bring about the dissolution of the As quantum dots in the vicinity of the defects. In this case, excess arsenic most probably diffuses towards the open surface of the sample via the channels of accelerated diffusion in the planes of stacking faults.

  3. Abnormal growth kinetics of h-BN epitaxial monolayer on Ru(0001) enhanced by subsurface Ar species

    Science.gov (United States)

    Wei, Wei; Meng, Jie; Meng, Caixia; Ning, Yanxiao; Li, Qunxiang; Fu, Qiang; Bao, Xinhe

    2018-04-01

    Growth kinetics of epitaxial films often follows the diffusion-limited aggregation mechanism, which shows a "fractal-to-compact" morphological transition with increasing growth temperature or decreasing deposition flux. Here, we observe an abnormal "compact-to-fractal" morphological transition with increasing growth temperature for hexagonal boron nitride growth on the Ru(0001) surface. The unusual growth process can be explained by a reaction-limited aggregation (RLA) mechanism. Moreover, introduction of the subsurface Ar atoms has enhanced this RLA growth behavior by decreasing both reaction and diffusion barriers. Our work may shed light on the epitaxial growth of two-dimensional atomic crystals and help to control their morphology.

  4. Preparation of hcp-Ni(112-bar 0) epitaxial thin films on Au(100) single-crystal underlayers

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Tanaka, Takahiro; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    Ni epitaxial films with an hcp structure are successfully obtained on Au(100) single-crystal underlayers formed on MgO(100) substrates at temperatures lower than 300 0 C by molecular beam epitaxy. With increasing the substrate temperature, the volume ratio of more stable fcc phase inc r eases in the film. The Ni film prepared at 100 0 C consists primarily of hcp crystal with the (112-bar 0) plane parallel to the substrate surface coexisting with a small amount of fcc-Ni(100) crystal. The lattice constant of hcp-Ni crystal is determined as a = 0.249 nm, c = 0.398 nm, and c/a = 1.60.

  5. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  6. High-resolution hydrogen profiling in AlGaN/GaN heterostructures grown by different epitaxial methods

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez-Posada Flores, F; Redondo-Cubero, A; Bengoechea, A; Brana, A F; Munoz, E [Instituto de Sistemas Optoelectronicos y Microtecnologia (ISOM) and Dpto. IngenierIa Electronica (DIE), ETSI de Telecomunicacion, Universidad Politecnica de Madrid, E-28040 Madrid (Spain); Gago, R [Centro de Micro-Analisis de Materiales, Universidad Autonoma de Madrid, E-28049 Madrid (Spain); Jimenez, A [Dpto. Electronica, Escuela Politecnica Superior, Universidad de Alcala, E-28805 Alcala de Henares, Madrid (Spain); Grambole, D, E-mail: fposada@die.upm.e [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Dresden-Rossendorf, PF 51019, D-01314 Dresden (Germany)

    2009-03-07

    Hydrogen (H) incorporation into AlGaN/GaN heterostructures used in high electron mobility transistors, grown by different methods, is studied by high-resolution depth profiling. Samples grown on sapphire and Si(1 1 1) substrates by molecular-beam epitaxy and metal-organic vapour phase epitaxy; involving H-free and H-containing precursors, were analysed to evaluate the eventual incorporation of H into the wafer. The amount of H was measured by means of nuclear reaction analysis (NRA) using the {sup 1}H({sup 15}N,{alpha}{gamma}){sup 12}C reaction up to a depth of {approx}110 nm into the heterostructures. Interestingly, the H profiles are similar in all the samples analysed, with an increasing H content towards the surface and a negligible H incorporation into the GaN layer (0.24 {+-} 0.08 at%) or at the AlGaN/GaN interface. Therefore, NRA shows that H uptake is not related to the growth process or technique employed and that H contamination may be due to external sources after growth. The eventual correlation between topographical defects on the AlGaN surface and the H concentration are also discussed.

  7. The liquid phase epitaxy method for the construction of oriented ZIF-8 thin films with controlled growth on functionalized surfaces

    KAUST Repository

    Shekhah, Osama; Eddaoudi, Mohamed

    2013-01-01

    Highly-oriented ZIF-8 thin films with controllable thickness were grown on an -OH-functionalized Au substrate using the liquid phase epitaxy method at room temperature, as evidenced by SEM and PXRD. The adsorption-desorption properties of the resulting ZIF-8 thin film were investigated for various VOCs using the QCM technique. © The Royal Society of Chemistry 2013.

  8. Epitaxial graphene-encapsulated surface reconstruction of Ge(110)

    Science.gov (United States)

    Campbell, Gavin P.; Kiraly, Brian; Jacobberger, Robert M.; Mannix, Andrew J.; Arnold, Michael S.; Hersam, Mark C.; Guisinger, Nathan P.; Bedzyk, Michael J.

    2018-04-01

    Understanding and engineering the properties of crystalline surfaces has been critical in achieving functional electronics at the nanoscale. Employing scanning tunneling microscopy, surface x-ray diffraction, and high-resolution x-ray reflectivity experiments, we present a thorough study of epitaxial graphene (EG)/Ge(110) and report a Ge(110) "6 × 2" reconstruction stabilized by the presence of epitaxial graphene unseen in group-IV semiconductor surfaces. X-ray studies reveal that graphene resides atop the surface reconstruction with a 0.34 nm van der Waals (vdW) gap and provides protection from ambient degradation.

  9. Molecular beam epitaxy of InN nanowires on Si

    Science.gov (United States)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  10. Coupled quantum dot-ring structures by droplet epitaxy

    International Nuclear Information System (INIS)

    Somaschini, C; Bietti, S; Koguchi, N; Sanguinetti, S

    2011-01-01

    The fabrication, by pure self-assembly, of GaAs/AlGaAs dot-ring quantum nanostructures is presented. The growth is performed via droplet epitaxy, which allows for the fine control, through As flux and substrate temperature, of the crystallization kinetics of nanometer scale metallic Ga reservoirs deposited on the surface. Such a procedure permits the combination of quantum dots and quantum rings into a single, multi-functional, complex quantum nanostructure.

  11. 1.142 mu m GaAsBi/GaAs Quantum Well Lasers Grown by Molecular Beam Epitaxy

    DEFF Research Database (Denmark)

    Wu, Xiaoyan; Pan, Wenwu; Zhang, Zhenpu

    2017-01-01

    in GaAsBi0.058/GaAs quantum well LDs grown by molecular beam epitaxy. The output power is up to 127 mW at 300 K under pulsed mode. We also demonstrate continuous wave mode operation up to 273 K for the first time. The temperature coefficient of the GaAsBi/GaAs LD is 0.26 nm/K in the temperature range......As a promising new class of near-infrared light emitters, GaAsBi laser diodes (LDs) are considered to have a high energy efficiency and an insensitive temperature dependence of the band gap. In this paper, we realize the longest ever reported lasing wavelength up to 1.142 mu m at room temperature...

  12. Epitaxial silicon semiconductor detectors, past developments, future prospects

    International Nuclear Information System (INIS)

    Gruhn, C.R.

    1976-01-01

    A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized

  13. Low temperature photoluminescence and photoacoustic characterization of Zn-doped InxGa1-xAsySb1-y epitaxial layers for photovoltaic applications

    International Nuclear Information System (INIS)

    Gomez-Herrera, M.L.; Herrera-Perez, J.L.; Rodriguez-Fragoso, P.; Riech, I.; Mendoza-Alvarez, J.G.

    2008-01-01

    In this paper we present results on the characterization of Zn-doped InGaAsSb epitaxial layers to be used in the development of stacked solar cells. Using the liquid phase epitaxy technique we have grown p-type InGaAsSb layers, using Zn as the dopant, and n-type Te-doped GaSb wafers as substrates. A series of Zn-doped InGaAsSb samples were prepared by changing the amount of Zn in the melt in the range: 0.1-0.9 mg to obtain different p-type doping levels, and consequently, different p-n region characteristics. Low temperature photoluminescence spectra (PL) were measured at 15 K using at various excitation powers in the range 80-160 mW. PL spectra show the presence of an exciton-related band emission around 0.642 eV and a band at 0.633 eV which we have related to radiative emission involving Zn-acceptors. Using the photoacoustic technique we measured the interface recombination velocities related to the interface crystalline quality, showing that the layer-substrate interface quality degrades as the Zn concentration in the layers increases

  14. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki; Kirino, Fumiyoshi

    2011-01-01

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110) fcc single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within ±0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  15. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi, E-mail: ohtake@futamoto.elect.chuo-u.ac.jp [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan)

    2011-07-06

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110){sub fcc} single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within {+-}0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  16. Thin epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Stab, L.

    1989-01-01

    Manufacturing procedures of thin epitaxial surface barriers will be given. Some improvements have been obtained: larger areas, lower leakage currents and better resolutions. New planar epitaxial dE/dX detectors, made in a collaboration work with ENERTEC-INTERTECHNIQUE, and a new application of these thin planar diodes to EXAFS measurements, made in a collaboration work with LURE (CNRS,CEA,MEN) will also be reported

  17. Room temperature photoluminescence from In{sub x}Al{sub (1−x)}N films deposited by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Mohanta, A. [Oak Ridge Institute for Science and Education, Research Participation Program, U.S. Army Aviation and Missile Research, Development and Engineering Center (AMRDEC), Redstone Arsenal, Alabama 35898 (United States); Roberts, A. T. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [Plasma Chemistry Research Center-CNR, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2014-09-29

    InAlN films deposited by plasma-assisted molecular beam epitaxy exhibited a lateral composition modulation characterized by 10–12 nm diameter, honeycomb-shaped, columnar domains with Al-rich cores and In-rich boundaries. To ascertain the effect of this microstructure on its optical properties, room temperature absorption and photoluminescence characteristics of In{sub x}Al{sub (1−x)}N were comparatively investigated for indium compositions ranging from x = 0.092 to 0.235, including x = 0.166 lattice matched to GaN. The Stokes shift of the emission was significantly greater than reported for films grown by metalorganic chemical vapor deposition, possibly due to the phase separation in these nanocolumnar domains. The room temperature photoluminescence also provided evidence of carrier transfer from the InAlN film to the GaN template.

  18. Molecular beam epitaxy of graphene on mica

    International Nuclear Information System (INIS)

    Lippert, G.; Dabrowski, J.; Yamamoto, Y.; Mehr, W.; Lupina, G.; Herziger, F.; Maultzsch, J.; Baringhaus, J.; Tegenkamp, C.; Lemme, M.C.

    2012-01-01

    Realization of graphene devices is often hindered by the fact that the known layer growth methods do not meet the requirements of the device fabrication in silicon mainstream technology. For example, the relatively straightforward method of decomposition of hexagonal SiC is not CMOS-compatible due to the high-thermal budget it requires [Moon et al., IEEE Electron Device Lett. 31, 260 (2010)]. Techniques based on layer transfer are restricted because of the uncertainty of residual metal contaminants, particles, and structural defects. Of interest is thus a method that would allow one to grow a graphene film directly in the device area where graphene is needed. Production of large area graphene is not necessarily required in this case, but high quality of the film and metal-free growth on an insulating substrate at temperatures below 1000 C are important requirements. We demonstrate direct growth of defect-free graphene on insulators at moderate temperatures by molecular beam epitaxy. The quality of the graphene was probed by high-resolution Raman spectroscopy, indicating a negligible density of defects. The spectra are compared with those from graphene flakes mechanically exfoliated from native graphite onto mica. These results are combined with insights from density functional theory calculations. A model of graphene growth on mica and similar substrates is proposed. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  20. Non-adiabatic ab initio molecular dynamics of supersonic beam epitaxy of silicon carbide at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Taioli, Simone [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Physics, University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Perugia (Italy); Department of Chemistry, University of Bologna, Bologna (Italy); Garberoglio, Giovanni [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Simonucci, Stefano [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Perugia (Italy); Department of Physics, University of Camerino, Camerino (Italy); Beccara, Silvio a [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Physics, University of Trento, Trento (Italy); Aversa, Lucrezia [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Trento (Italy); Nardi, Marco [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Trento (Italy); Institut fuer Physik, Humboldt-Universitaet zu Berlin, Berlin (Germany); Verucchi, Roberto [Institute of Materials for Electronics and Magnetism, FBK-CNR, Trento (Italy); Iannotta, Salvatore [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Parma (Italy); Dapor, Maurizio [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Materials Engineering and Industrial Technologies, University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Padova (Italy); and others

    2013-01-28

    In this work, we investigate the processes leading to the room-temperature growth of silicon carbide thin films by supersonic molecular beam epitaxy technique. We present experimental data showing that the collision of fullerene on a silicon surface induces strong chemical-physical perturbations and, for sufficient velocity, disruption of molecular bonds, and cage breaking with formation of nanostructures with different stoichiometric character. We show that in these out-of-equilibrium conditions, it is necessary to go beyond the standard implementations of density functional theory, as ab initio methods based on the Born-Oppenheimer approximation fail to capture the excited-state dynamics. In particular, we analyse the Si-C{sub 60} collision within the non-adiabatic nuclear dynamics framework, where stochastic hops occur between adiabatic surfaces calculated with time-dependent density functional theory. This theoretical description of the C{sub 60} impact on the Si surface is in good agreement with our experimental findings.

  1. Thermally induced texture flip in semiconducting polymer stabilized by epitaxial relationship

    Science.gov (United States)

    O'Hara, Kathryn A.; Pokuri, Balaji S. S.; Takacs, Christopher J.; Beaujuge, Pierre M.; Ganapathysubramanian, Baskar; Chabinyc, Michael L.

    The morphology of semiconducting polymer films has a large effect on the charge transport properties. Charges can move easily along the conjugated backbone and in the pi-pi stacking direction. However, transport through the film is determined by the connectivity between domains, which is not well understood. We previously observed quadrites in the polymer, PSBTBT, and proposed that the preferential overlap between lamellae may improve connectivity and provide an additional conduction pathway. Now, the presence of quadrites is revealed in another successful donor polymer, PBDTTPD, using high resolution transmission electron microscopy (HRTEM). A study of how side-chain substitution affects the epitaxial crossing is conducted by examining several PBDTTPD derivatives. The stability of the film texture with annealing is also examined as a function of quadrite formation. It has been shown that heating some semicrystalline polymers above the melting temperature and slow cooling can flip the lamellar texture from face-on to edge-on. We hypothesize that the orientation of lamellar crystallites in PBDTTPD films is stabilized by the epitaxial overlap between adjacent crystalline domains. This may have important implications for the electronic transport properties.

  2. Epitaxial rare-earth superlattices and films

    International Nuclear Information System (INIS)

    Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.

    1992-01-01

    This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods

  3. Resistivity Effects of Cation Ordering in Highly-Doped La2-xSrxCu4 Epitaxial Thin Films

    Science.gov (United States)

    Burquest, Franklin; Marmol, Rodrigo; Cox, Nicholas; Nelson-Cheeseman, Brittany

    Highly-doped La2-xSrxCuO4 (LSCO) films (0.5 causes internal polar electrostatic forces, which have been shown to cause stretching of the apical oxygen bond in analogous epitaxial nickelate films. Thin film samples are grown concurrently to minimize extraneous effects on film structure and properties. Atomic force microscopy and x-ray reflectivity demonstrate that the films are single crystalline, epitaxial, and smooth. X-ray diffraction is used to measure the c-axis of the films as a function of doping and dopant cation ordering. Electrical transport data of the ordered samples is compared with transport data of conventional disordered cation samples. Preliminary data indicates significant differences in resistivity at both 300K and 10K between the cation-ordered and cation-disordered samples. This work indicates that dopant cation ordering within the layered cuprates could significantly modify the conduction mechanisms at play in these materials.

  4. Hole traps associated with high-concentration residual carriers in p-type GaAsN grown by chemical beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Elleuch, Omar, E-mail: mr.omar.elleuch@gmail.com; Wang, Li; Lee, Kan-Hua; Demizu, Koshiro; Ikeda, Kazuma; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan)

    2015-01-28

    The hole traps associated with high background doping in p-type GaAsN grown by chemical beam epitaxy are studied based on the changes of carrier concentration, junction capacitance, and hole traps properties due to the annealing. The carrier concentration was increased dramatically with annealing time, based on capacitance–voltage (C–V) measurement. In addition, the temperature dependence of the junction capacitance (C–T) was increased rapidly two times. Such behavior is explained by the thermal ionization of two acceptor states. These acceptors are the main cause of high background doping in the film, since the estimated carrier concentration from C–T results explains the measured carrier concentration at room temperature using C–V method. The acceptor states became shallower after annealing, and hence their structures are thermally unstable. Deep level transient spectroscopy (DLTS) showed that the HC2 hole trap was composed of two signals, labeled HC21 and HC22. These defects correspond to the acceptor levels, as their energy levels obtained from DLTS are similar to those deduced from C–T. The capture cross sections of HC21 and HC22 are larger than those of single acceptors. In addition, their energy levels and capture cross sections change in the same way due to the annealing. This tendency suggests that HC21 and HC22 signals originate from the same defect which acts as a double acceptor.

  5. Hole traps associated with high-concentration residual carriers in p-type GaAsN grown by chemical beam epitaxy

    International Nuclear Information System (INIS)

    Elleuch, Omar; Wang, Li; Lee, Kan-Hua; Demizu, Koshiro; Ikeda, Kazuma; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi

    2015-01-01

    The hole traps associated with high background doping in p-type GaAsN grown by chemical beam epitaxy are studied based on the changes of carrier concentration, junction capacitance, and hole traps properties due to the annealing. The carrier concentration was increased dramatically with annealing time, based on capacitance–voltage (C–V) measurement. In addition, the temperature dependence of the junction capacitance (C–T) was increased rapidly two times. Such behavior is explained by the thermal ionization of two acceptor states. These acceptors are the main cause of high background doping in the film, since the estimated carrier concentration from C–T results explains the measured carrier concentration at room temperature using C–V method. The acceptor states became shallower after annealing, and hence their structures are thermally unstable. Deep level transient spectroscopy (DLTS) showed that the HC2 hole trap was composed of two signals, labeled HC21 and HC22. These defects correspond to the acceptor levels, as their energy levels obtained from DLTS are similar to those deduced from C–T. The capture cross sections of HC21 and HC22 are larger than those of single acceptors. In addition, their energy levels and capture cross sections change in the same way due to the annealing. This tendency suggests that HC21 and HC22 signals originate from the same defect which acts as a double acceptor

  6. Epitaxial growth of indium oxyfluoride thin films by reactive pulsed laser deposition: Structural change induced by fluorine insertion into vacancy sites in bixbyite structure

    Energy Technology Data Exchange (ETDEWEB)

    Okazaki, Sohei [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Hirose, Yasushi, E-mail: hirose@chem.s.u-tokyo.ac.jp [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Department of Chemistry, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Nakao, Shoichiro [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Yang, Chang [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Department of Chemistry, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Harayama, Isao; Sekiba, Daiichiro [Tandem Accelerator Complex, University of Tsukuba, Tennodai 1-1-1, Tsukuba, Ibaraki 305-8577 (Japan); Hasegawa, Tetsuya [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Department of Chemistry, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan)

    2014-05-30

    InO{sub x}F{sub y} thin films were epitaxially grown on Y-stabilized ZrO{sub 2} (111) substrates by reactive pulsed laser deposition. By changing the substrate temperature (T{sub S}), we were able to control the fluorine content of the film. Phase-pure epitaxial thin films with bixbyite-like ordering in the anion-site occupancy were obtained at high T{sub S} (≥ 240 °C), where fluorine was inserted into the vacancy sites in the bixbyite lattice up to y / (x + y) ∼ 0.3. By decreasing T{sub S}, y / (x + y) increased and the bixbyite-like ordering disappeared; simultaneously, fluorine-rich and fluorine-poor subphases emerged. The films grown at T{sub S} ≤ 150 °C were amorphous and exhibited higher optical absorbance and electrical resistivity than the epitaxial films. - Highlights: • InO{sub x}F{sub y} epitaxial thin films with high fluorine concentration were grown on Y:ZrO{sub 2}. • Anion composition and structural, optical and transport properties were studied. • Fluorine is topotactically inserted into the oxygen vacancy sites in bixbyite cell. • Bixbyite-like ordering of the anion site occupancy was conserved in y / (x + y) ≤ ∼ 0.3.

  7. Properties of epitaxial films of indium phosphides alloyed with erbium in strong electric fields

    International Nuclear Information System (INIS)

    Borisov, V.I.; Dvoryankin, V.F.; Korobkin, V.A.; Kudryashov, A.A.; Lopatin, V.V.; Lyubchenko, V.E.; Telegin, A.A.

    1986-01-01

    Temperature dependences of specific resistance and free charge-carrier mobility at low temperatures for indium phosphide films grown by liquid-phase epitaxial method with erbium additions (0.01-0.1 mass%). The main mechanisms of scattering for different temperature regions: scattering on ionized impurities in the rage from 20 to 40 K and lattice scattering at the temperature above 90 K are determined. The current density dependences on applied electric field strength are presented

  8. High temperature growth kinetics and texture of surface-oxidised NiO for coated superconductor applications

    Energy Technology Data Exchange (ETDEWEB)

    Kursumovic, A; Tomov, R; Huehne, R; Glowacki, B A; Everts, J E; Tuissi, A; Villa, E; Holzapfel, B

    2003-03-15

    Thick NiO films were grown in air, on biaxially textured (0 0 1) Ni and as-rolled Ni tapes, at temperatures from 1050 to 1350 deg. C. Ni diffusion through the NiO film mainly contributes to the growth since is much faster than oxygen diffusion and occurs by a vacancy diffusion mechanism in the lattice at high temperatures. Parabolic growth kinetics were found for both NiO film thickness and grain growth, and compared with the literature data. Competitive growth of (1 1 1) and (0 0 1) oriented grains establishes the final NiO orientation at temperatures below 1250 deg. C, while at higher temperatures leakage diffusion at/towards grain boundaries, grain coarsening and (1 1 0) oriented grains disrupt the (1 0 0) texture. Hence, development of epitaxy of NiO on textured Ni tapes was found to be largely due to growth kinetics depending on both, time and temperature. We report here a systematic study of the microstructure and kinetics of formation of textured NiO substrate for application as a buffer layer in coated conductor technology.

  9. Preparation of hcp-Ni(112-bar 0) epitaxial thin films on Au(100) single-crystal underlayers

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru; Tanaka, Takahiro; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi, E-mail: ohtake@futamoto.elect.chuo-u.ac.j [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan)

    2010-01-01

    Ni epitaxial films with an hcp structure are successfully obtained on Au(100) single-crystal underlayers formed on MgO(100) substrates at temperatures lower than 300 {sup 0}C by molecular beam epitaxy. With increasing the substrate temperature, the volume ratio of more stable fcc phase inc{sub r}eases in the film. The Ni film prepared at 100 {sup 0}C consists primarily of hcp crystal with the (112-bar 0) plane parallel to the substrate surface coexisting with a small amount of fcc-Ni(100) crystal. The lattice constant of hcp-Ni crystal is determined as a = 0.249 nm, c = 0.398 nm, and c/a = 1.60.

  10. Base profile design for high-performance operation of bipolar transistors at liquid-nitrogen temperature

    International Nuclear Information System (INIS)

    Stork, J.M.C.; Harame, D.L.; Meyerson, B.S.; Nguyen, T.N.

    1989-01-01

    The base profile requirements of Si bipolar junction transistors (BJT's) high-performance operation at liquid-nitrogen temperature are examined. Measurements of thin epitaxial-base polysilicon-emitter n-p-n transistors with increasing base doping show the effects of bandgap narrowing, mobility changes, and carrier freezeout. At room temperature the collector current at low injection is proportional to the integrated base charge, independent of the impurity distribution. At temperatures below 150 Κ, however, minority injection is dominated by the peak base doping because of the greater effectiveness of bandgap narrowing. When the peak doping in the base approaches 10 19 cm -3 , the bandgap difference between emitter and base is sufficiently small that the current gain no longer monotonically decreases with lower temperature but instead shows a maximum as low as 180 Κ. The device design window appears limited at the low-current end by increased base-emitter leakage due to tunneling and by resistance control at the high-current end. Using the measured dc characteristics, circuit delay calculations are made to estimate the performance of an ECL ring oscillator at room and liquid-nitrogen temperatures. It is shown that if the base doping can be raised to 10 19 cm -3 while keeping the base thickness constant, the minimum delay at liquid nitrogen can approach the delay of optimized devices at room temperature

  11. Molecular beam epitaxial growth mechanism of ZnSe epilayers on (100) GaAs as determined by reflection high-energy electron diffraction, transmission electron microscopy and X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Ruppert, P.; Hommel, D.; Behr, T.; Heinke, H.; Waag, A.; Landwehr, G. (Physikalisches Inst., Univ. Wuerzburg (Germany))

    1994-04-14

    The properties of molecular beam epitaxial growth of ZnSe epilayers deposited directly on a GaAs substrate are compared to those grown on a GaAs buffer layer. The superior quality of the latter is confirmed by RHEED, TEM and X-ray diffraction. Based on RHEED oscillation studies, a model explaining the dependence of the ZnSe growth rate on Zn and Se fluxes and the substrate temperature is developed taking into account physisorbed and chemisorbed states. For partially relaxed epilayers, the correlation between the relaxation state and the crystalline mosaicity, as found by high resolution X-ray diffraction, is discussed

  12. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  13. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  14. Epitaxial ferromagnetic Fe3Si on GaAs(111)A with atomically smooth surface and interface

    International Nuclear Information System (INIS)

    Liu, Y. C.; Hung, H. Y.; Kwo, J.; Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M.; Tseng, S. C.; Hsu, C. H.; Chang, M. T.; Lo, S. C.

    2015-01-01

    Single crystal ferromagnetic Fe 3 Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe 3 Si/GaAs(111) yielded a saturation moment of 990 emu/cm 3 with a small coercive field ≤1 Oe at room temperature

  15. Large-area selective CVD epitaxial growth of Ge on Si substrates

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Nanver, L.K.

    2011-01-01

    Selective epitaxial growth of crystalline Ge on Si in a standard ASM Epsilon 2000 CVD reactor is investigated for the fabrication of Ge p+n diodes. At the deposition temperature of 700?C, most of the lattice mismatch-defects are trapped within first 300nm of Ge growth and good quality single crystal

  16. Epitaxial Sb-doped SnO_2 and Sn-doped In_2O_3 transparent conducting oxide contacts on GaN-based light emitting diodes

    International Nuclear Information System (INIS)

    Tsai, Min-Ying; Bierwagen, Oliver; Speck, James S.

    2016-01-01

    We demonstrate the growth of epitaxial (100)-oriented, rutile Sb-doped SnO_2 (ATO) and (111)-oriented, cubic Sn-doped In_2O_3 (ITO) transparent conducting oxide (TCO) contacts on top of an InGaN/GaN(0001) light emitting diode (LED) by plasma-assisted molecular beam epitaxy (PAMBE). Both oxides form rotational domains. The in-plane epitaxial alignment of the two ITO(111) rotational domains to the GaN(0001) was: GaN [21-10]|| ITO_D_o_m_a_i_n_1[‐ 211]|| ITO_D_o_m_a_i_n_2[‐ 1‐12]. A growth temperature as low as 600 °C was necessary to realize a low contact resistance between ATO and the top p-GaN layer of the LED but resulted in non-optimal resistivity (3.4 × 10"− "3 Ω cm) of the ATO. The current–voltage characteristics of a processed LED, however, were comparable to that of a reference LED with a standard electron-beam evaporated ITO top contact. At short wavelengths, the optical absorption of ATO was lower than that of ITO, which is beneficial even for blue LEDs. Higher PAMBE growth temperatures resulted in lower resistive ATO but higher contact resistance to the GaN, likely by the formation of an insulating Ga_2O_3 interface layer. The ITO contact grown by PAMBE at 600 °C showed extremely low resistivity (10"−"4 Ω cm) and high crystalline and morphological quality. These proof-of-principle results may lead to the development of epitaxial TCO contacts with low resistivity, well-defined interfaces to the p-GaN to help minimize contact losses, and enable further epitaxy on top of the TCO. - Highlights: • Plasma-assisted molecular beam epitaxy of SnO_2:Sb (ATO) and In_2O_3:Sn (ITO) contacts • Working light emitting diodes processed with the ATO contact on the top p-GaN layer • Low growth temperature ensures low contact resistance (limiting interface reaction). • ITO showed significantly better structural and transport properties than ATO. • ATO showed higher optical transmission at short wavelengths than ITO.

  17. Highly piezoelectric BaTiO3 nanorod bundle arrays using epitaxially grown TiO2 nanomaterials.

    Science.gov (United States)

    Jang, Seon-Min; Yang, Su Chul

    2018-06-08

    Low-dimensional piezoelectric nanostructures such as nanoparticles, nanotubes, nanowires, nanoribbons and nanosheets have been developed for potential applications as energy harvesters, tunable sensors, functional transducers and low-power actuators. In this study, lead-free BaTiO 3 nanorod bundle arrays (NBA) with highly piezoelectric properties were successfully synthesized on fluorine-doped tin oxide (FTO) substrate via a two-step process consisting of TiO 2 epitaxial growth and BaTiO 3 conversion. Through the TiO 2 epitaxial growth on FTO substrate, (001) oriented TiO 2 nanostructures formed vertically-aligned NBA with a bundle diameter of 80 nm and an aspect ratio of six. In particular, chemical etching of the TiO 2 NBA was conducted to enlarge the surface area for effective Ba 2+ ion diffusion during the perovskite conversion process from TiO 2 to BaTiO 3 . The final structure of perovskite BaTiO 3 NBA was found to exhibit a feasible piezoelectric response of 3.56 nm with a clear phase change of 180° from the single BaTiO 3 bundle, by point piezoelectric forced microscopy (PFM) analysis. Consequently, highly piezoelectric NBA could be a promising nanostructure for various nanoscale electronic devices.

  18. Highly piezoelectric BaTiO3 nanorod bundle arrays using epitaxially grown TiO2 nanomaterials

    Science.gov (United States)

    Jang, Seon-Min; Yang, Su Chul

    2018-06-01

    Low-dimensional piezoelectric nanostructures such as nanoparticles, nanotubes, nanowires, nanoribbons and nanosheets have been developed for potential applications as energy harvesters, tunable sensors, functional transducers and low-power actuators. In this study, lead-free BaTiO 3 nanorod bundle arrays (NBA) with highly piezoelectric properties were successfully synthesized on fluorine-doped tin oxide (FTO) substrate via a two-step process consisting of TiO2 epitaxial growth and BaTiO3 conversion. Through the TiO2 epitaxial growth on FTO substrate, (001) oriented TiO2 nanostructures formed vertically-aligned NBA with a bundle diameter of 80 nm and an aspect ratio of six. In particular, chemical etching of the TiO2 NBA was conducted to enlarge the surface area for effective Ba2+ ion diffusion during the perovskite conversion process from TiO2 to BaTiO3. The final structure of perovskite BaTiO3 NBA was found to exhibit a feasible piezoelectric response of 3.56 nm with a clear phase change of 180° from the single BaTiO3 bundle, by point piezoelectric forced microscopy (PFM) analysis. Consequently, highly piezoelectric NBA could be a promising nanostructure for various nanoscale electronic devices.

  19. Thermal stability of iron silicide nanowires epitaxially grown on Si(110) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zou, Zhi-Qiang, E-mail: zouzhq@shanghaitech.edu.cn [School of Physical Science and Technology, ShanghaiTech University, 100 Haike Road, Pudong, Shanghai, 201210 (China); Li, Xu; Liu, Xiao-Yong; Shi, Kai-Juan; Guo, Xin-Qiu [Analytical and Testing Center, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai 200240 (China)

    2017-03-31

    Highlights: • The α-FeSi{sub 2} nanowires epitaxially grown on Si(110) can be stable up to 750 °C. • The stable temperature of the nanowires is much lower than that of the bulk α-FeSi{sub 2} due to their small size and high relative surface area. • With increasing annealing temperature, the α-FeSi{sub 2} nanowires undergo an Ostwald ripening process and transform into large β-FeSi{sub 2} nanorods or three-dimensional nanocrystals. • The reduction in surface energy drives the transformation from metallic α-FeSi{sub 2} phase to semiconducting β-FeSi{sub 2} phase. - Abstract: Metallic α-FeSi{sub 2} nanowires (NWs) are epitaxially grown on Si(110) at 650 °C. Their evolution as a function of annealing temperature has been studied in situ by scanning tunneling microscopy. The NWs are stable up to 750 °C, which is much lower than that of the bulk α-FeSi{sub 2}. With further increasing the annealing temperature, some NWs begin to shrink in length and transform into wider and higher semiconducting β-FeSi{sub 2} nanorods or three-dimensional (3D) islands at 925 °C. The phase transformation is driven by the reduction in surface energy. On the other hand, some α-FeSi{sub 2} NWs begin to dissolve and become thinner until disappearing. The growth of the β-FeSi{sub 2} nanorods or 3D nanocrystals follows the Ostwald ripening mechanism, i.e., the large islands grow in size at the expense of the small ones. X-ray photoelectron spectroscopy study shows that the Fe 2p peaks of β-FeSi{sub 2} nanocrystals exhibit a negative shift of 0.2 eV with respect to the α-FeSi{sub 2} NWs.

  20. Epitaxial La2/3Sr1/3MnO3 thin films with unconventional magnetic and electric properties near the Curie temperature

    International Nuclear Information System (INIS)

    Signorini, L.; Riva, M.; Cantoni, M.; Bertacco, R.; Ciccacci, F.

    2006-01-01

    We used Pulsed Laser Deposition (PLD) in oxidizing environment to epitaxially grow optimally doped manganite La 2/3 Sr 1/3 MnO 3 (LSMO) thin films over a (001) oriented SrTiO 3 substrate. Synthesized samples show good room temperature magnetic properties accompanied by a peculiar extension of the metallic conduction regime to temperatures higher than the Curie point. In this paper we present a study of the dependence of transport and magnetic properties of LSMO thin films on the oxygen pressure during PLD growth. We show how interaction of the growing films with O 2 molecules is fundamental for a correct synthesis and in which way it is possible to adjust PLD experimental parameters in order to tune LSMO thin film properties. The persistence of the metallic conduction regime above the Curie temperature indicates some minor changes of the electronic structure near the Fermi level, which is responsible for the half-metallic behavior of LSMO at low temperature. This feature is rather intriguing from the technological point of view, as it could pave the way to the increase of operating temperature of devices based on LSMO

  1. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    Science.gov (United States)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  2. Advanced in-situ control for III-nitride RF power device epitaxy

    Science.gov (United States)

    Brunner, F.; Zettler, J.-T.; Weyers, M.

    2018-04-01

    In this contribution, the latest improvements regarding wafer temperature measurement on 4H-SiC substrates and, based on this, of film thickness and composition control of GaN and AlGaN layers in power electronic device structures are presented. Simultaneous pyrometry at different wavelengths (950 nm and 405 nm) reveal the advantages and limits of the different temperature measurement approaches. Near-UV pyrometry gives a very stable wafer temperature signal without oscillations during GaN growth since the semi-insulating 4H-SiC substrate material becomes opaque at temperatures above 550 °C at the wavelength of 405 nm. A flat wafer temperature profile across the 100 mm substrate diameter is demonstrated despite a convex wafer shape at AlGaN growth conditions. Based on the precise assignment of wafer temperature during MOVPE we were able to improve the accuracy of the high-temperature n-k database for the materials involved. Consequently, the measurement accuracy of all film thicknesses grown under fixed temperature conditions improved. Comparison of in situ and ex situ determined layer thicknessess indicate an unintended etching of the topmost layer during cool-down. The details and limitations of real-time composition analysis for lower Al-content AlGaN barrier layers during transistor device epitaxy are shown.

  3. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    Science.gov (United States)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  4. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    Science.gov (United States)

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  5. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  6. The influence of Fe doping on the surface topography of GaN epitaxial material

    International Nuclear Information System (INIS)

    Cui Lei; Yin Haibo; Jiang Lijuan; Wang Quan; Feng Chun; Xiao Hongling; Wang Cuimei; Wang Xiaoliang; Gong Jiamin; Zhang Bo; Li Baiquan; Wang Zhanguo

    2015-01-01

    Fe doping is an effective method to obtain high resistivity GaN epitaxial material. But in some cases, Fe doping could result in serious deterioration of the GaN material surface topography, which will affect the electrical properties of two dimensional electron gas (2DEG) in HEMT device. In this paper, the influence of Fe doping on the surface topography of GaN epitaxial material is studied. The results of experiments indicate that the surface topography of Fe-doped GaN epitaxial material can be effectively improved and the resistivity could be increased after increasing the growth rate of GaN materials. The GaN material with good surface topography can be manufactured when the Fe doping concentration is 9 × 10 19 cm −3 . High resistivity GaN epitaxial material which is 1 × 10 9 Ω·cm is achieved. (paper)

  7. MgO monolayer epitaxy on Ni (100)

    Science.gov (United States)

    Sarpi, B.; Putero, M.; Hemeryck, A.; Vizzini, S.

    2017-11-01

    The growth of two-dimensional oxide films with accurate control of their structural and electronic properties is considered challenging for engineering nanotechnological applications. We address here the particular case of MgO ultrathin films grown on Ni (100), a system for which neither crystallization nor extended surface ordering has been established previously in the monolayer range. Using Scanning Tunneling Microscopy and Auger Electron Spectroscopy, we report on experiments showing MgO monolayer (ML) epitaxy on a ferromagnetic nickel surface, down to the limit of atomic thickness. Alternate steps of Mg ML deposition, O2 gas exposure, and ultrahigh vacuum thermal treatment enable the production of a textured film of ordered MgO nano-domains. This study could open interesting prospects for controlled epitaxy of ultrathin oxide films with a high magneto-resistance ratio on ferromagnetic substrates, enabling improvement in high-efficiency spintronics and magnetic tunnel junction devices.

  8. Liquid Phase Epitaxial Growth of Al-doped f-SiC for White Light-Emitting Diodes

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; can der Eijk, Casper

    efficiency, better light quality and longer lifespan, compared to the current yellow phosphor based white LEDs.Liquid phase epitaxy technology can yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium crystalline growth process. In addition....... The experimental results are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  9. Low Energy X-Ray and γ-Ray Detectors Fabricated on n-Type 4H-SiC Epitaxial Layer

    Science.gov (United States)

    Mandal, Krishna C.; Muzykov, Peter G.; Chaudhuri, Sandeep K.; Terry, J. Russell

    2013-08-01

    Schottky barrier diode (SBD) radiation detectors have been fabricated on n-type 4H-SiC epitaxial layers and evaluated for low energy x- and γ-rays detection. The detectors were found to be highly sensitive to soft x-rays in the 50 eV to few keV range and showed 2.1 % energy resolution for 59.6 keV gamma rays. The response to soft x-rays for these detectors was significantly higher than that of commercial off-the-shelf (COTS) SiC UV photodiodes. The devices have been characterized by current-voltage (I-V) measurements in the 94-700 K range, thermally stimulated current (TSC) spectroscopy, x-ray diffraction (XRD) rocking curve measurements, and defect delineating chemical etching. I-V characteristics of the detectors at 500 K showed low leakage current ( nA at 200 V) revealing a possibility of high temperature operation. The XRD rocking curve measurements revealed high quality of the epitaxial layer exhibiting a full width at half maximum (FWHM) of the rocking curve 3.6 arc sec. TSC studies in a wide range of temperature (94-550 K) revealed presence of relatively shallow levels ( 0.25 eV) in the epi bulk with a density 7×1013 cm-3 related to Al and B impurities and deeper levels located near the metal-semiconductor interface.

  10. Analogue demonstration of a high temperature superconducting sigma-delta modulator with 27 GHz sampling

    Energy Technology Data Exchange (ETDEWEB)

    Forrester, M.G.; Hunt, B.D.; Miller, D.L.; Talvacchio, J.; Young, R.M. [Northrop Grumman Science and Technology Center, Pittsburgh, PA 15235-5098 (United States)

    1999-11-01

    We have successfully fabricated and tested a high temperature superconducting (HTS) sigma-delta modulator for analogue-to-digital conversion. This is the first demonstration of a GHz sampling A-to-D in HTS. The 15-junction single-flux-quantum (SFQ) circuit, fabricated using an epitaxial multilayer HTS process with YBCO/Co-YBCO/YBCO edge junctions, was internally clocked at 27 GHz and used to convert a 5.01 MHz signal. The modulator demonstrated a spur-free dynamic range of more than 75 dB. Two-tone measurements with 5.01 MHz and 5.51 MHz signals demonstrated third-order intermodulation products to be lower than -59 dBc. Demonstration of a functional HTS modulator represents a significant milestone in the development of high dynamic range ADCs suitable for such applications as surveillance radar. (author)

  11. Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Virginia R.; Nepal, Neeraj; Johnson, Scooter D.; Robinson, Zachary R.; Nath, Anindya; Kozen, Alexander C.; Qadri, Syed B.; DeMasi, Alexander; Hite, Jennifer K.; Ludwig, Karl F.; Eddy, Charles R.

    2017-05-01

    Wide bandgap semiconducting nitrides have found wide-spread application as light emitting and laser diodes and are under investigation for further application in optoelectronics, photovoltaics, and efficient power switching technologies. Alloys of the binary semiconductors allow adjustments of the band gap, an important semiconductor material characteristic, which is 6.2 eV for aluminum nitride (AlN), 3.4 eV for gallium nitride, and 0.7 eV for (InN). Currently, the highest quality III-nitride films are deposited by metalorganic chemical vapor deposition and molecular beam epitaxy. Temperatures of 900 °C and higher are required to deposit high quality AlN. Research into depositing III-nitrides with atomic layer epitaxy (ALEp) is ongoing because it is a fabrication friendly technique allowing lower growth temperatures. Because it is a relatively new technique, there is insufficient understanding of the ALEp growth mechanism which will be essential to development of the process. Here, grazing incidence small angle x-ray scattering is employed to observe the evolving behavior of the surface morphology during growth of AlN by ALEp at temperatures from 360 to 480 °C. Increased temperatures of AlN resulted in lower impurities and relatively fewer features with short range correlations.

  12. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  13. Transport characteristics of Pd Schottky barrier diodes on epitaxial n-GaSb as determined from temperature dependent current–voltage measurements

    Energy Technology Data Exchange (ETDEWEB)

    Venter, A., E-mail: andre.venter@nmmu.ac.za [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Murape, D.M.; Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Auret, F.D. [Department of Physics, University of the Pretoria, Lynnwood Road, Pretoria 0002 (South Africa)

    2015-01-01

    The temperature dependent transport characteristics of Pd/n-GaSb:Te Schottky contacts with low and saturating reverse current are investigated by means of current–voltage measurements between 80 K and 320 K. The apparent barrier height and ideality factor increase with a decrease in temperature. Neither thermionic nor thermionic field emission can explain the low temperature characteristics of these diodes. Instead, evidence is presented for barrier inhomogeneity across the metal/semiconductor contact. A plot of the barrier height, ϕ{sub b} vs. 1/2kT revealed a double Gaussian distribution for the barrier height with ϕ{sub b,mean} assuming values of 0.59 eV ± 0.07 (80–140 K) and 0.25 eV ± 0.12 (140–320 K) respectively. - Highlights: • Transport characteristics of Pd/epitaxial n-GaSb:Te SBDs are studied by means of I-V-T measurements. • SBDs have remarkably low and saturating reverse current – of the lowest ever reported for GaSb. • Transport behaviour is explained by considering electronic states present on the GaSb surface. • Evidence is presented for barrier inhomogeneity across the metal-semiconductor contact.

  14. Transport characteristics of Pd Schottky barrier diodes on epitaxial n-GaSb as determined from temperature dependent current–voltage measurements

    International Nuclear Information System (INIS)

    Venter, A.; Murape, D.M.; Botha, J.R.; Auret, F.D.

    2015-01-01

    The temperature dependent transport characteristics of Pd/n-GaSb:Te Schottky contacts with low and saturating reverse current are investigated by means of current–voltage measurements between 80 K and 320 K. The apparent barrier height and ideality factor increase with a decrease in temperature. Neither thermionic nor thermionic field emission can explain the low temperature characteristics of these diodes. Instead, evidence is presented for barrier inhomogeneity across the metal/semiconductor contact. A plot of the barrier height, ϕ b vs. 1/2kT revealed a double Gaussian distribution for the barrier height with ϕ b,mean assuming values of 0.59 eV ± 0.07 (80–140 K) and 0.25 eV ± 0.12 (140–320 K) respectively. - Highlights: • Transport characteristics of Pd/epitaxial n-GaSb:Te SBDs are studied by means of I-V-T measurements. • SBDs have remarkably low and saturating reverse current – of the lowest ever reported for GaSb. • Transport behaviour is explained by considering electronic states present on the GaSb surface. • Evidence is presented for barrier inhomogeneity across the metal-semiconductor contact

  15. Epitaxial stabilization of ordered Pd–Fe structures on perovskite substrates

    Energy Technology Data Exchange (ETDEWEB)

    Harton, Renee M., E-mail: reneehar@umich.edu [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States); Stoica, Vladimir A. [Department of Materials Science and Engineering, Pennsylvania State University, 201 Old Main, University Park, PA 16802 (United States); Clarke, Roy [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States)

    2017-05-01

    We report the fabrication of epitaxial ferromagnetic Pd{sub 3}Fe thin films on SrTiO{sub 3}(001) substrates by promoting the interdiffusion of an Fe/Pd multilayer heterostructure using thermal annealing. Prior to annealing, the results of in-situ Reflection High-Energy Electron Diffraction characterization suggest that each Fe and Pd layer exhibited an in-plane epitaxial relationship with the SrTiO{sub 3}(001) substrate. X-Ray diffraction and magneto-optic Kerr effect characterization, conducted post-annealing, demonstrate that the film composition is majority Pd{sub 3}Fe and exhibits in-plane magnetization reversal with a moderate coercive field of ≈760 Oe. This demonstration of an ordered atomic layer heterostructure grown on a perovskite substrate suggests a route to epitaxial interfacial structures which can achieve strain-assisted magnetic switching.

  16. Proximity Effect Transfer from NbTi into a Semiconductor Heterostructure via Epitaxial Aluminum

    DEFF Research Database (Denmark)

    Drachmann, A C C; Suominen, H J; Kjærgaard, Morten

    2017-01-01

    We demonstrate the transfer of the superconducting properties of NbTi, a large-gap high-critical-field superconductor, into an InAs heterostructure via a thin intermediate layer of epitaxial Al. Two device geometries, a Josephson junction and a gate-defined quantum point contact, are used...... to characterize interface transparency and the two-step proximity effect. In the Josephson junction, multiple Andreev reflections reveal near-unity transparency with an induced gap Δ* = 0.50 meV and a critical temperature of 7.8 K. Tunneling spectroscopy yields a hard induced gap in the InAs adjacent...

  17. Structural and electrical properties of c-axis epitaxial and polycrystalline Sr sub 3 Bi sub 4 Ti sub 6 O sub 2 sub 1 thin films

    CERN Document Server

    Zhang, S T; Sun, H P; Pan Xiao Qing; Tan, W S; Liu, Z G; Ming, N B

    2003-01-01

    c-axis epitaxial and polycrystalline Sr sub 3 Bi sub 4 Ti sub 6 O sub 2 sub 1 (SBTi) thin films were fabricated on (001)SrTiO sub 3 (STO) single-crystal substrates and Pt/Ti sub 2 /SiO sub 2 /Si substrates respectively, by pulsed laser deposition (PLD). Structures of the films were systematically characterized by x-ray diffraction (XRD), including theta-2 theta-scans, rocking curve scans and phi-scans, atomic force microscopy and transmission electron microscopy (TEM). The epitaxial orientation relation of the SBTi films on STO is established by selected-area electron diffraction and XRD phi-scans to be (001)SBTi || (001)STO, [11-bar 0]SBTi || [010]STO. Cross-sectional high-resolution TEM studies on the epitaxial SBTi film revealed that SBTi is a single-phase material. A special kind of irrational atomic shift along the [001] direction was observed and is discussed in detail. By using an evanescent microwave probe (EMP), the room-temperature dielectric constant of the epitaxial SBTi film was measured to be 21...

  18. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  19. Normal-state transport parameters of epitaxial thin films of YBa2Cu3O/sub 7-//sub δ/

    International Nuclear Information System (INIS)

    Stormer, H.L.; Levi, A.F.J.; Baldwin, K.W.; Anzlowar, M.; Boebinger, G.S.

    1988-01-01

    We report on a striking correlation in the electrical transport behavior of very high-quality (j/sub c/∼3.4 x 10 6 A/cm 2 at T = 77 K) epitaxial thin films of high-T/sub c/ Y-Ba-Cu-O in the normal state. With increasing superconducting performance, as characterized by the transition temperature, transition-temperature width, and critical current density, the resistivity rho, and the Hall coefficient R/sub H/, both assume remarkably simple temperature dependences rho = αT and R/sub H//sup -1/ = βT leading to a Hall mobility μ/sub H/proportionalT/sup -2/. The magnetoresistance at 10 T is less than Δrho/rho<10/sup -3/. We discuss an extreme two-carrier model to assess the T dependence of R/sub H/. .AE

  20. In-situ TEM investigations of graphic-epitaxy and small particles. Final Report, 1 January-31 December 1982

    International Nuclear Information System (INIS)

    Heinemann, K.

    1983-01-01

    Palladium was deposited inside a controlled-vacuum specimen chamber of a transmission electron microscope (TEM) onto MgO and alpha-alumina substrate surfaces. Annealing and various effects of gas exposure of the particulate Pd deposits were studied in-situ by high resolution TEM and electron diffraction. Whereas substrate temperatures of 500 C or annealing of room temperature (RT) deposits to 500 C were needed to obtain epitaxy on sapphire, RT deposits on MgO were perfectly epitaxial. For Pd/MgO a lattice expansion of 2 to 4% was noted the highest values of expansion were found for the smallest particles. The lattice expansion of small Pd particles on alumina substrates was less than 1%. Long-time RT exposure of Pd/MgO in a vacuum yielded some moblity and coalescence events, but notably fewer than for Pd on sapphire. Exposure to air or oxygen greatly enhanced the particle mobility and coalescence and also resulted in the flattening of Pd particles on MgO substrates. Electron-beam irradiation further enhanced this effect. Exposure to air for several tens of hours of Pd/MgO led to strong coalescence

  1. P-N junction solar cell grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hazrati Fard, M.

    2001-01-01

    Growth of GaAs epilayers by Molecular Beam Epitaxy was accomplished for the first time in Iran. The layers were grown on GaAs (001) substrates (p+ wafer) with Si impurity for p n junction solar cell fabrication at a rate of nearly one micron per hour and 0.25 micron per quarter. Crystalline quality of grown layers had been monitored during growth by Reflection High Energy Electron Diffraction system. Doping profile and layer thickness was assessed by electrochemical C-V profiling method. Then Hall measurements were conducted on small samples both in room temperature and liquid nitrogen temperature so giving average carrier concentration and compensation ratio. The results as like: V oc , I sc , F F, η were comparable with other laboratory reports. information for obtaining good and repeatable growths was collected. Therefore, the conditions of repeatable quality growth p n junction solar cells onto GaAs (001) substrates were determined

  2. Epitaxial ferromagnetic Fe{sub 3}Si on GaAs(111)A with atomically smooth surface and interface

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. C.; Hung, H. Y.; Kwo, J., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Department of Physics, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Graduate Institute of Applied Physics and Department of Physics, National Taiwan University, Taipei 10617, Taiwan (China); Tseng, S. C.; Hsu, C. H., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Chang, M. T.; Lo, S. C. [Material and Chemical Research Laboratories, Industrial Technology Research Institute, Hsinchu 31040, Taiwan (China)

    2015-09-21

    Single crystal ferromagnetic Fe{sub 3}Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe{sub 3}Si/GaAs(111) yielded a saturation moment of 990 emu/cm{sup 3} with a small coercive field ≤1 Oe at room temperature.

  3. Direct Observation of High-Temperature Superconductivity in One-Unit-Cell FeSe Films

    International Nuclear Information System (INIS)

    Zhang Wen-Hao; Zhang Jin-Song; Li Fang-Sen; Guo Ming-Hua; Ding Hao; Tang Chen-Jia; Wang Qing-Yan; He Ke; Ji Shuai-Hua; Chen Xi; Sun Yi; Zhao Yan-Fei; Xing Ying; Wang Hui-Chao; Zhang Hui-Min; Peng Jun-Ping; Li Zhi; Wang Meng; Fujita Takeshi; Hirata Akihiko

    2014-01-01

    We prepared one-unit-cell (1-UC) thick FeSe films on insulating SrTiO 3 substrates with non-superconducting FeTe protection layers by molecular beam epitaxy for ex situ studies. By direct transport and magnetic measurements, we provide definitive evidence for high temperature superconductivity in the 1-UC FeSe films with an onset T C above 40 K and an extremely large critical current density J C ∼1.7×10 6 A/cm 2 at 2 K, which are much higher than T C ∼8 K and J C ∼10 4 A/cm 2 for bulk FeSe, respectively. Our work may pave the way to enhancing and tailoring superconductivity by interface engineering. (express letter)

  4. Fundamental investigation of high temperature operation of field effect transistor devices

    Science.gov (United States)

    Chern, Jehn-Huar

    In this dissertation copper germanium (CuGe)-based materials were investigated as potential ohmic contacts to n-type gallium arsenide (GaAs). The CuGe-based contacts to GaAs were found to not form any reaction products with GaAs and to have low contact resistance comparable to that of nickel gold germanium (NiAuGe) ohmic contacts to GaAs. The potential for high temperature applications using CuGe ohmic contacts was investigated. A guideline for further reduction of the contact resistance has been achieved after investigating the detailed mechanism of the formation of binary CuGe contacts over a wide range of Ge concentrations. The thermal stability of CuGe contacts was significantly enhanced and improved by introducing a diffusion barrier, titanium tungsten nitride (TiWNx), and a gold (Au) overlayer for high temperature applications. Novel approaches such as epitaxial thulium phosphide (TmP) Schottky contacts and the utilization of low temperature (LT)-aluminum gallium arsenide (AlGaAs) were also investigated in this dissertation and likely will be the standard technologies for a new generation of high-temperature electronics. Inserting a layer of aluminum arsenide (AlAs) underneath the channel of a GaAs-based MESFET was found to reduce substrate leakage currents by a factor of 30 compared with the same MESFET directly fabricated on a semi-insulating GaAs substrate. In addition to AlAs, and AlxGa1-xAs materials, new materials grown at low temperatures such as LT-AlGaAs were used in heterojunction FET structures as a back wall barrier. Low drain leakage currents were achieved using AlAs and LT-AlGaAs as the back wall barriers. Some fundamental properties regarding these materials are of great interest and in need of further characterization. Part of the work in this dissertation was devoted to the characterization of device performance for different structure designs at elevated temperatures. The suitability of GaAs-based and gallium arsenide (GaN)-based MESFET

  5. Crystalline and electronic structure of epitaxial γ-Al2O3 films

    International Nuclear Information System (INIS)

    Wu, Huiyan; Lu, Dawei; Zhu, Kerong; Xu, Guoyong; Wang, Hu

    2013-01-01

    Epitaxial γ-Al 2 O 3 films were fabricated on SrTiO 3 (1 0 0) substrates using pulsed laser deposition (PLD) technique. The high quality of epitaxial growth γ-Al 2 O 3 films was confirmed by X-ray diffraction (XRD). Atomic force microscopy (AFM) images indicated the smooth surfaces and the step-flow growth of the films. In order to illuminate the electronic properties and the local structure of the epitaxial γ-Al 2 O 3 , we experimentally measured the X-ray absorption near-edge structure (XANES) spectrum at the O K-edge and compared the spectrum with the theoretical simulations by using various structure models. Our results based on XANES spectrum analysis indicated that the structure of the epitaxial γ-Al 2 O 3 film was a defective spinel with Al vacancies, which prefer to be located at the octahedral sites

  6. The Interfacial Thermal Conductance of Epitaxial Metal-Semiconductor Interfaces

    Science.gov (United States)

    Ye, Ning

    Understanding heat transport at nanometer and sub-nanometer lengthscales is critical to solving a wide range of technological challenges related to thermal management and energy conversion. In particular, finite Interfacial Thermal Conductance (ITC) often dominates transport whenever multiple interfaces are closely spaced together or when heat originates from sources that are highly confined by interfaces. Examples of the former include superlattices, thin films, quantum cascade lasers, and high density nanocomposites. Examples of the latter include FinFET transistors, phase-change memory, and the plasmonic transducer of a heat-assisted magnetic recording head. An understanding of the physics of such interfaces is still lacking, in part because experimental investigations to-date have not bothered to carefully control the structure of interfaces studied, and also because the most advanced theories have not been compared to the most robust experimental data. This thesis aims to resolve this by investigating ITC between a range of clean and structurally well-characterized metal-semiconductor interfaces using the Time-Domain Thermoreflectance (TDTR) experimental technique, and by providing theoretical/computational comparisons to the experimental data where possible. By studying the interfaces between a variety of materials systems, each with unique aspects to their tunability, I have been able to answer a number of outstanding questions regarding the importance of interfacial quality (epitaxial/non-epitaxial interfaces), semiconductor doping, matching of acoustic and optical phonon band structure, and the role of phonon transport mechanisms apart from direct elastic transmission on ITC. In particular, we are able to comment on the suitability of the diffuse mismatch model (DMM) to describe the transport across epitaxial interfaces. To accomplish this goal, I studied interfacial thermal transport across CoSi2, TiSi2, NiSi and PtSi - Si(100) and Si(111), (silicides

  7. Epitaxial growth mechanisms of graphene and effects of substrates

    OpenAIRE

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-01-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-hepta...

  8. Optical and Dielectric Properties of CuAl2O4 Films Synthesized by Solid-Phase Epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Leu, L. C. [University of Florida, Gainesville; Norton, David P. [University of Florida; Jellison Jr, Gerald Earle [ORNL; Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Xiong, X. [SuperPower Incorporated, Schenectady, New York

    2007-01-01

    The synthesis and properties of CuAl{sub 2}O{sub 4} thin films have been examined. The CuAl{sub 2}O{sub 4} films were deposited via reactive direct current magnetron sputter using a CuAl{sub 2} target. As-deposited films were amorphous. Post-deposition annealing at high temperature in oxygen yielded solid-phase epitaxy on MgO. The film orientation was cube-on-cube epitaxy on (001) MgO single-crystal substrates. The films were transparent to visible light. The band gap of crystalline CuAl{sub 2}O{sub 4} was determined to be {approx} 4 eV using a Tauc plot from the optical transmission spectrum. The dielectric constant of the amorphous films was determined to be {approx} 20-23 at 1-100 kHz.

  9. n-type dopants in (001) β-Ga2O3 grown on (001) β-Ga2O3 substrates by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Han, Sang-Heon; Mauze, Akhil; Ahmadi, Elaheh; Mates, Tom; Oshima, Yuichi; Speck, James S.

    2018-04-01

    Ge and Sn as n-type dopants in (001) β-Ga2O3 films were investigated using plasma-assisted molecular beam epitaxy. The Ge concentration showed a strong dependence on the growth temperature, whereas the Sn concentration remains independent of the growth temperature. The maximum growth temperature at which a wide range of Ge concentrations (from 1017 to 1020 cm-3) could be achieved was 675 °C while the same range of Sn concentration could be achieved at growth temperature of 750 °C. Atomic force microscopy results revealed that higher growth temperature shows better surface morphology. Therefore, our study reveals a tradeoff between higher Ge doping concentration and high quality surface morphology on (001) β-Ga2O3 films grown by plasma-assisted molecular beam epitaxy. The Ge doped films had an electron mobility of 26.3 cm2 V-1 s-1 at the electron concentration of 6.7 × 1017 cm-3 whereas the Sn doped films had an electron mobility of 25.3 cm2 V-1 s-1 at the electron concentration of 1.1 × 1018 cm-3.

  10. Room temperature formation of high-mobility two-dimensional electron gases at crystalline complex oxide interfaces

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Bovet, N.; Kasama, Takeshi

    2014-01-01

    Well-controlled sub-unit-cell layer-bylayer epitaxial growth of spinel alumina is achieved at room temperature on a TiO2-terminated SrTiO3 single-crystalline substrate. By tailoring the interface redox reaction, 2D electron gases with mobilities exceeding 3000 cm 2 V−1 s−1 are achieved at this no...

  11. Roles of kinetics and energetics in the growth of AlN by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Im, I. H.; Minegishi, T.; Hanada, T.; Lee, S. W.; Cho, M. W.; Yao, T.; Oh, D. C.; Chang, J. H.

    2006-01-01

    The roles of kinetics and energetics in the growth processes of AlN on c-sapphire by plasma assisted molecular beam epitaxy are investigated by varying the growth rate from 1 to 31 A/min and the substrate temperature from 800 to 1000 .deg. C. The energetics is found to govern the growth of AlN in the low-growth rate region even at a low substrate temperature of 800 .deg. C owing to the enhanced residence time of adatoms, thereby increasing the surface migration length. As the growth rate increases, the growth tends to be governed by kinetics because of a reduction in the residence time of adatoms. Consequently, the surface roughness and crystal quality are greatly improved for the low-growth-rate case. In addition, the lattice strain relaxation is completed from the beginning of epitaxy for energetics-limiting growth while lattice strain relaxation is retarded for kinetics-limiting growth because of pre-existing partial strain relaxation. Energetics becomes more favorable as the substrate temperature is raised because of an increase in the surface diffusion length owing to an enhanced diffusion coefficient. Consequently high-crystal-quality AlN layers are grown under the energetics-limiting growth condition with a screw dislocation density of 7.4 x 10 8 cm -2 even for a thin 42-nm thick film.

  12. Temperature dependence of ballistic mobility in a metamorphic InGaAs/InAlAs high electron mobility transistor

    International Nuclear Information System (INIS)

    Lee, Jongkyong; Gang, Suhyun; Jo, Yongcheol; Kim, Jongmin; Woo, Hyeonseok; Han, Jaeseok; Kim, Hyungsang; Im, Hyunsik

    2014-01-01

    We have investigated the temperature dependence of ballistic mobility in a 100 nm-long InGaAs/InAlAs metamorphic high-electron-mobility transistor designed for millimeter-wavelength RF applications. To extract the temperature dependence of quasi-ballistic mobility, our experiment involves measurements of the effective mobility in the low-bias linear region of the transistor and of the collision-dominated Hall mobility using a gated Hall bar of the same epitaxial structure. The data measured from the experiment are consistent with that of modeled ballistic mobility based on ballistic transport theory. These results advance the understanding of ballistic transport in various transistors with a nano-scale channel length that is comparable to the carrier's mean free path in the channel.

  13. Fluorination of an epitaxial YBaCuO thin film with controlled oxygen vacancies

    Energy Technology Data Exchange (ETDEWEB)

    Perrin, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Pena, O. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Mokhtari, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Thivet, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Guilloux-Viry, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Perrin, A. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Sergent, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France))

    1993-05-10

    An intentionally oxygen-deficient thin film, epitaxially grown in-situ on a (100) MgO substrate by laser ablation at 750 C under a low pressure oxygen atmosphere, has been treated under NF[sub 3] diluted in N[sub 2] at temperatures not exceeding 280 C. During the fluorination process the epitaxy of the thin film is maintained; its Tc onset progressively increases from 54 K up to 85.6 K and the width of the inductive transition is narrow at the end of treatment (1.2 K). These results are discussed and compared to those obtained during the fluorination of oxygen-deficient YBa[sub 2]Cu[sub 3]O[sub x] ceramics. (orig.)

  14. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.; Alves, E.; Roqan, Iman S.; O’ Donnell, K. P.; Nishikawa, A.; Fujiwara, Y.; Boćkowski, M.

    2010-01-01

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  15. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.

    2010-09-16

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  16. Ultra-Shallow P+/N Junction Formation in Si Using Low Temperature Solid Phase Epitaxy Assisted with Laser Activation

    International Nuclear Information System (INIS)

    Hara, Shuhei; Tanaka, Yuki; Fukaya, Takumi; Matsumoto, Satoru; Suzuki, Toshiharu; Fuse, Genshu; Kudo, Toshio; Sakuragi, Susumu

    2008-01-01

    A combination of Ge pre-amorphization implantation (Ge-PAI), low-energy B implantation and laser annealing is a promising method to form highly-activated, abrupt and ultra-shallow junctions (USJ). In our previous report of IIT 2006, we succeeded in forming pn junctions less than 10 nm using non-melt double-pulsed green laser. However, a large leakage current under reverse bias was observed consequently due to residual defects in the implanted layer. In this study, a method to form USJ is proposed: a combination of low-temperature solid phase epitaxy and non-melt laser irradiation for B activation. Ge pre-amorphization implantation was performed at energy of 6 keV with a dose of 3x10 14 /cm 2 . Then B implantation was performed at energy of 0.2 keV with a dose of 1.2x10 15 /cm 2 . Samples were annealed at 400 deg. C for 10 h in nitrogen atmosphere. Subsequently, non-melt laser irradiation was performed at energy of 690 mJ/cm 2 and pulse duration of 100 ns with intervals of 300 ns. As a result, USJ around 10 nm with better crystallinity was successfully formed. And the leakage current of pn diodes was reduced significantly. Moreover, it is proven from secondary ion mass spectroscopy (SIMS) analysis that transient enhanced diffusion (TED) of B is specifically suppressed.

  17. Electrical, optical, and structural properties of GaN films prepared by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Polyakov, A.Y.; Smirnov, N.B.; Yakimov, E.B.; Usikov, A.S.; Helava, H.; Shcherbachev, K.D.; Govorkov, A.V.; Makarov, Yu N.; Lee, In-Hwan

    2014-01-01

    Highlights: • GaN films are prepared by hydride vapor phase epitaxy (HVPE). • Residual donors and deep traps show a minimum density versus growth temperature. • This minimum is located close to the HVPE growth temperature of 950 °C. • Good crystalline GaN with residual donor density < 10 16 cm −3 can be grown at 950 °C. - Abstract: Two sets of undoped GaN films with the thickness of 10–20 μm were prepared by hydride vapor phase epitaxy (HVPE) and characterized by capacitance–voltage (C–V) profiling, microcathodoluminescence (MCL) spectra measurements, MCL imaging, electron beam induced current (EBIC) imaging, EBIC dependence on accelerating voltage, deep levels transient spectroscopy, high resolution X-ray diffraction measurements. The difference in growth conditions was mainly related to the lower (850 °C, group 1) or higher (950 °C, group 2) growth temperature. Both groups of samples showed similar crystalline quality with the dislocation density close to 10 8 cm −2 , but very different electrical and optical properties. In group 1 samples the residual donors concentration was ∼10 17 cm −3 or higher, the MCL spectra were dominated by the band-edge luminescence, and the diffusion length of charge carriers was close to 0.1 μm. Group 2 samples had a 2–4.5 μm thick highly resistive layer on top, for which MCL spectra were determined by green, yellow and red defect bands, and the diffusion length was 1.5 times higher than in group 1. We also present brief results of growth at the “standard” HVPE growth temperature of 1050 °C that show the presence of a minimum in the net donor concentration and deep traps density as a function of the growth temperature. Possible reasons for the observed results are discussed in terms of the electrical compensation of residual donors by deep traps

  18. Complex Nanostructures by Pulsed Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Noboyuki Koguchi

    2011-06-01

    Full Text Available What makes three dimensional semiconductor quantum nanostructures so attractive is the possibility to tune their electronic properties by careful design of their size and composition. These parameters set the confinement potential of electrons and holes, thus determining the electronic and optical properties of the nanostructure. An often overlooked parameter, which has an even more relevant effect on the electronic properties of the nanostructure, is shape. Gaining a strong control over the electronic properties via shape tuning is the key to access subtle electronic design possibilities. The Pulsed Dropled Epitaxy is an innovative growth method for the fabrication of quantum nanostructures with highly designable shapes and complex morphologies. With Pulsed Dropled Epitaxy it is possible to combine different nanostructures, namely quantum dots, quantum rings and quantum disks, with tunable sizes and densities, into a single multi-function nanostructure, thus allowing an unprecedented control over electronic properties.

  19. Improvement of Metal-Graphene Ohmic Contact Resistance in Bilayer Epitaxial Graphene Devices

    International Nuclear Information System (INIS)

    He Ze-Zhao; Yang Ke-Wu; Yu Cui; Li Jia; Liu Qing-Bin; Lu Wei-Li; Feng Zhi-Hong; Cai Shu-Jun

    2015-01-01

    We report on an improved metal-graphene ohmic contact in bilayer epitaxial graphene on a SiC substrate with contact resistance below 0.1 ω·mm. Monolayer and bilayer epitaxial graphenes are prepared on a 4H-SiC substrate in this work. Their contact resistances are measured by a transfer length method. An improved photoresist-free device fabrication method is used and is compared with the conventional device fabrication method. Compared with the monolayer graphene, the contact resistance R c of bilayer graphene improves from an average of 0.24 ω·mm to 0.1 ω·mm. Ohmic contact formation mechanism analysis by Landauer's approach reveals that the obtained low ohmic contact resistance in bilayer epitaxial graphene is due to their high carrier density, high carrier transmission probability, and p-type doping introduced by contact metal Au. (paper)

  20. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    Science.gov (United States)

    Jeen, Hyoungjeen; Lee, Ho Nyung

    2015-12-01

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO2.5 thin films and performed post-annealing at various temperatures for topotactic conversion into the perovskite phase (SrCoO3-δ). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Nevertheless, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.

  1. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    Directory of Open Access Journals (Sweden)

    Hyoungjeen Jeen

    2015-12-01

    Full Text Available Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx epitaxially grown by pulsed laser epitaxy (PLE as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO2.5 thin films and performed post-annealing at various temperatures for topotactic conversion into the perovskite phase (SrCoO3-δ. We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Nevertheless, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.

  2. Laser energy tuning of carrier effective mass and thermopower in epitaxial oxide thin films

    KAUST Repository

    Abutaha, Anas I.

    2012-04-18

    The effect of the laser fluence on high temperature thermoelectric properties of the La doped SrTiO3 (SLTO) thin films epitaxially grown on LaAlO3 〈100〉 substrates by pulsed laser deposition is clarified. It is shown that oxygen vacancies that influence the effective mass of carriers in SLTO films can be tuned by varying the laser energy. The highest power factor of 0.433 W K−1 m−1 has been achieved at 636 K for a filmdeposited using the highest laser fluence of 7 J cm−2 pulse−1.

  3. Spin wave and percolation studies in epitaxial La{sub 2/3}Sr{sub 1/3}MnO{sub 3} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ettayfi, A. [LPMMAT, Faculté des Sciences Ain chock, Université Hassan II de Casablanca, B.P. 5366 Casablanca (Morocco); Moubah, R., E-mail: reda.moubah@hotmail.fr [LPMMAT, Faculté des Sciences Ain chock, Université Hassan II de Casablanca, B.P. 5366 Casablanca (Morocco); Hlil, E.K. [Institut Néel, CNRS, Université Joseph Fourier, BP 166, 38042 Grenoble Cedex 9 (France); Colis, S.; Lenertz, M.; Dinia, A. [Institut de Physique et Chimie des Matériaux de Strasbourg (IPCMS), UMR 7504 UDS-CNRS (UDS-ECPM), 23 rue du Loess, BP 43, F-67034 Strasbourg Cedex 2 (France); Lassri, H. [LPMMAT, Faculté des Sciences Ain chock, Université Hassan II de Casablanca, B.P. 5366 Casablanca (Morocco)

    2016-07-01

    We investigate the magnetic and transport properties of high quality La{sub 2/3}Sr{sub 1/3}MnO{sub 3} thin films grown by pulsed laser deposition. X-ray diffraction shows that the deposited films are epitaxial with the expected pseudo-cubic structure. Using the spin wave theory, the temperature dependence of magnetization was satisfactory modeled at low temperature, in which several fundamental magnetic parameters were obtained (spin wave stiffness, exchange constants, Fermi wave-vector, Mn–Mn interatomic distance). The transport properties were studied via the temperature dependence of electrical resistivity [ρ(T)], which shows a peak at Curie temperature due to metal to insulator transition. The percolation theory was used to simulate ρ(T) in both the ferromagnetic and paramagnetic phases. Reasonable agreement with the experimental data is reported. - Highlights: • The magnetic and transport properties of epitaxial La{sub 2/3}Sr{sub 1/3}MnO{sub 3} thin films are investigated. • The M(T) curve was modeled at low temperature, and several magnetic parameters were obtained using spin wave theory. • The percolation theory was used to simulate ρ(T) in both the ferromagnetic and paramagnetic phases.

  4. Epitaxial Sb-doped SnO{sub 2} and Sn-doped In{sub 2}O{sub 3} transparent conducting oxide contacts on GaN-based light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Min-Ying [Department of Electrical and Computer Engineering, University of California, Santa Barbara, CA 93106 (United States); Bierwagen, Oliver, E-mail: bierwagen@pdi-berlin.de [Materials Department, University of California, Santa Barbara, CA 93106 (United States); Paul-Drude-Insitut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Speck, James S. [Materials Department, University of California, Santa Barbara, CA 93106 (United States)

    2016-04-30

    We demonstrate the growth of epitaxial (100)-oriented, rutile Sb-doped SnO{sub 2} (ATO) and (111)-oriented, cubic Sn-doped In{sub 2}O{sub 3} (ITO) transparent conducting oxide (TCO) contacts on top of an InGaN/GaN(0001) light emitting diode (LED) by plasma-assisted molecular beam epitaxy (PAMBE). Both oxides form rotational domains. The in-plane epitaxial alignment of the two ITO(111) rotational domains to the GaN(0001) was: GaN [21-10]|| ITO{sub Domain1}[‐ 211]|| ITO{sub Domain2}[‐ 1‐12]. A growth temperature as low as 600 °C was necessary to realize a low contact resistance between ATO and the top p-GaN layer of the LED but resulted in non-optimal resistivity (3.4 × 10{sup −} {sup 3} Ω cm) of the ATO. The current–voltage characteristics of a processed LED, however, were comparable to that of a reference LED with a standard electron-beam evaporated ITO top contact. At short wavelengths, the optical absorption of ATO was lower than that of ITO, which is beneficial even for blue LEDs. Higher PAMBE growth temperatures resulted in lower resistive ATO but higher contact resistance to the GaN, likely by the formation of an insulating Ga{sub 2}O{sub 3} interface layer. The ITO contact grown by PAMBE at 600 °C showed extremely low resistivity (10{sup −4} Ω cm) and high crystalline and morphological quality. These proof-of-principle results may lead to the development of epitaxial TCO contacts with low resistivity, well-defined interfaces to the p-GaN to help minimize contact losses, and enable further epitaxy on top of the TCO. - Highlights: • Plasma-assisted molecular beam epitaxy of SnO{sub 2}:Sb (ATO) and In{sub 2}O{sub 3}:Sn (ITO) contacts • Working light emitting diodes processed with the ATO contact on the top p-GaN layer • Low growth temperature ensures low contact resistance (limiting interface reaction). • ITO showed significantly better structural and transport properties than ATO. • ATO showed higher optical transmission at short

  5. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  6. InAs film grown on Si(111) by metal organic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Caroff, P; Jeppsson, M; Mandl, B; Wernersson, L-E; Wheeler, D; Seabaugh, A; Keplinger, M; Stangl, J; Bauer, G

    2008-01-01

    We report the successful growth of high quality InAs films directly on Si(111) by Metal Organic Vapor Phase Epitaxy. A nearly mirror-like and uniform InAs film is obtained at 580 0 C for a thickness of 2 μm. We measured a high value of the electron mobility of 5100 cm 2 /Vs at room temperature. The growth is performed using a standard two-step procedure. The influence of the nucleation layer, group V flow rate, and layer thickness on the electrical and morphological properties of the InAs film have been investigated. We present results of our studies by Atomic Force Microscopy, Scanning Electron Microscopy, electrical Hall/van der Pauw and structural X-Ray Diffraction characterization

  7. Growth-temperature- and thermal-anneal-induced crystalline reorientation of aluminum on GaAs (100) grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Xiang, N.

    2007-01-01

    The authors investigated the growth of Al thin films on GaAs (100) substrates by molecular beam epitaxy. It is found that the growth at 550 degree sign C results in a texture that consists of (100)Al[010](parallel sign)(100)GaAs[011] and (100)Al[010](parallel sign)(100)GaAs[010] rotated 45 degree sign with respect to each other, while the growth at 300 degree sign C leads to a mixture phase of (100)Al[010](parallel sign)(100)GaAs[011] and (110)Al[001](parallel sign)(100)GaAs[011]. In situ annealing of the Al film grown at 300 degree sign C causes a reorientation of the crystalline from (100)Al[010](parallel sign)(100)GaAs[011] to (110)Al[001](parallel sign)(100)GaAs[011]. The grain sizes of the Al film are increased by the increased growth temperature and in situ annealing; the ratio of the exposed to the covered surface is not changed significantly by changing the growth temperature but decreased by annealing; and the small islands in between the large ones are removed by annealing. These observations are explained based on island migration and coalescence

  8. Increased carrier lifetimes in epitaxial silicon layers on buried silicon nitride produced by ion implantation

    International Nuclear Information System (INIS)

    Skorupa, W.; Kreissig, U.; Hensel, E.; Bartsch, H.

    1984-01-01

    Carrier lifetimes were measured in epitaxial silicon layers deposited on buried silicon nitride produced by high-dose nitrogen implantation at 330 keV. The values were in the range 20-200 μs. The results are remarkable taking into account the high density of crystal defects in the epitaxial layers. Comparing with other SOI technologies the measured lifetimes are higher by 1-2 orders of magnitude. (author)

  9. A Molecular Dynamics Study of the Epitaxial Growth of Metallic Nanoclusters Softly Deposited on Substrates with Very Different Lattice Parameter

    International Nuclear Information System (INIS)

    Jimenez-Saez, J C; Perez-MartIn, A M C; Jimenez-RodrIguez, J J

    2007-01-01

    The soft deposition of Cu and Au clusters on Au(001) and Cu(001) surfaces respectively is studied by constant-temperature molecular-dynamics simulations. The initial shape of the nanoclusters is icosahedral or truncated octahedral (Wulff type). Their number of atoms ranges between 12 and 1289 atoms. Bombardment energy is of the order of a few meV/atom. The atomic interactions are mimicked by a many-body potential based on the tightbinding model. The effect of the temperature as activation to get the complete epitaxy is analysed. We have found that Cu clusters manage to align their {002} planes with the substrate by increasing the temperature. However, there is not epitaxial growth in any case since the lattice becomes bcc or important stacking faults are generated. For Au clusters, the alignment of these planes is practically independent of the temperature

  10. Low-field tunnel-type magnetoresistance properties of polycrystalline and epitaxial La sub 0 sub . sub 6 sub 7 Sr sub 0 sub . sub 3 sub 3 MnO sub 3 thin films

    CERN Document Server

    Shim, I B; Choi, S Y

    2000-01-01

    The low-field tunnel-type magnetoresistance (TMB) properties of sol-gel derived polycrystalline and epitaxial La sub 0 sub . sub 6 sub 7 Sr sub 0 sub . sub 3 sub 3 MnO sub 3 (LSMO) thin films were investigated. The polycrystalline thin films were fabricated on Si (100) with a thermally oxidized SiO sub 2 layer while the epitaxial thin films were grown on LaAlO sub 3 (001) single-crystal substrates. The epitaxial thin films displayed both typical intrinsic colossal magnetoresistance (CMR) and abnormal extrinsic tunnel-type magnetoresistance behaviors. Tunnel-type MR ratio as high as 0.4% were observed in the polycrystalline thin films at a field of 120 Oe at room temperature (300 K) whereas the ratios were less than 0.1% for the epitaxial films in the same field range. The low-field tunnel-type MR of polycrystalline LSMO/SiO sub 2 ?Si (100) thin films originated from the behaviors of the grain-boundary properties.

  11. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  12. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  13. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....

  14. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    Science.gov (United States)

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  15. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    Science.gov (United States)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  16. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  17. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  18. Chemically Triggered Formation of Two-Dimensional Epitaxial Quantum Dot Superlattices

    NARCIS (Netherlands)

    Walravens, Willem; De Roo, Jonathan; Drijvers, Emile; Ten Brinck, Stephanie; Solano, Eduardo; Dendooven, Jolien; Detavernier, Christophe; Infante, Ivan; Hens, Zeger

    2016-01-01

    Two dimensional superlattices of epitaxially connected quantum dots enable size-quantization effects to be combined with high charge carrier mobilities, an essential prerequisite for highly performing QD devices based on charge transport. Here, we demonstrate that surface active additives known to

  19. Wafer scale millimeter-wave integrated circuits based on epitaxial graphene in high data rate communication.

    Science.gov (United States)

    Habibpour, Omid; He, Zhongxia Simon; Strupinski, Wlodek; Rorsman, Niklas; Zirath, Herbert

    2017-02-01

    In recent years, the demand for high data rate wireless communications has increased dramatically, which requires larger bandwidth to sustain multi-user accessibility and quality of services. This can be achieved at millimeter wave frequencies. Graphene is a promising material for the development of millimeter-wave electronics because of its outstanding electron transport properties. Up to now, due to the lack of high quality material and process technology, the operating frequency of demonstrated circuits has been far below the potential of graphene. Here, we present monolithic integrated circuits based on epitaxial graphene operating at unprecedented high frequencies (80-100 GHz). The demonstrated circuits are capable of encoding/decoding of multi-gigabit-per-second information into/from the amplitude or phase of the carrier signal. The developed fabrication process is scalable to large wafer sizes.

  20. Wafer scale millimeter-wave integrated circuits based on epitaxial graphene in high data rate communication

    Science.gov (United States)

    Habibpour, Omid; He, Zhongxia Simon; Strupinski, Wlodek; Rorsman, Niklas; Zirath, Herbert

    2017-02-01

    In recent years, the demand for high data rate wireless communications has increased dramatically, which requires larger bandwidth to sustain multi-user accessibility and quality of services. This can be achieved at millimeter wave frequencies. Graphene is a promising material for the development of millimeter-wave electronics because of its outstanding electron transport properties. Up to now, due to the lack of high quality material and process technology, the operating frequency of demonstrated circuits has been far below the potential of graphene. Here, we present monolithic integrated circuits based on epitaxial graphene operating at unprecedented high frequencies (80-100 GHz). The demonstrated circuits are capable of encoding/decoding of multi-gigabit-per-second information into/from the amplitude or phase of the carrier signal. The developed fabrication process is scalable to large wafer sizes.

  1. Measurement of the high-temperature Seebeck coefficient of thin films by means of an epitaxially regrown thermometric reference material.

    Science.gov (United States)

    Ramu, Ashok T; Mages, Phillip; Zhang, Chong; Imamura, Jeffrey T; Bowers, John E

    2012-09-01

    The Seebeck coefficient of a typical thermoelectric material, silicon-doped InGaAs lattice-matched to InP, is measured over a temperature range from 300 K to 550 K. By depositing and patterning a thermometric reference bar of silicon-doped InP adjacent to a bar of the material under test, temperature differences are measured directly. This is in contrast to conventional two-thermocouple techniques that subtract two large temperatures to yield a small temperature difference, a procedure prone to errors. The proposed technique retains the simple instrumentation of two-thermocouple techniques while eliminating the critical dependence of the latter on good thermal contact. The repeatability of the proposed technique is demonstrated to be ±2.6% over three temperature sweeps, while the repeatability of two-thermocouple measurements is about ±5%. The improved repeatability is significant for reliable reporting of the ZT figure of merit, which is proportional to the square of the Seebeck coefficient. The accuracy of the proposed technique depends on the accuracy with which the high-temperature Seebeck coefficient of the reference material may be computed or measured. In this work, the Seebeck coefficient of the reference material, n+ InP, is computed by rigorous solution of the Boltzmann transport equation. The accuracy and repeatability of the proposed technique can be systematically improved by scaling, and the method is easily extensible to other material systems currently being investigated for high thermoelectric energy conversion efficiency.

  2. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  3. Strain-Modulated Epitaxy

    National Research Council Canada - National Science Library

    Brown, April

    1999-01-01

    Strain-Modulated Epitaxy (SME) is a novel approach, invented at Georgia Tech, to utilize subsurface stressors to control strain and therefore material properties and growth kinetics in the material above the stressors...

  4. Mg doping of GaN by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lieten, R R; Buchowicz, G; Dubon, O; Motsnyi, V; Zhang, L; Cheng, K; Leys, M; Degroote, S; Borghs, G

    2011-01-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% 17 cm -3 and a mobility of 15 cm 2 V -1 s -1 . Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 x 10 17 cm -3 . The corresponding Mg concentration is 5 x 10 19 cm -3 , indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 deg. or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 deg. C.

  5. Growth of epitaxial Pt thin films on (0 0 1) SrTiO{sub 3} by rf magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Kahsay, A. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Polo, M.C., E-mail: mcpolo@ub.edu [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Ferrater, C.; Ventura, J. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Rebled, J.M. [Departament d’Electrònica, Universitat de Barcelona Institut de Nanociència i Nanotecnologia IN 2UB, 08028 Barcelona (Spain); Varela, M. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain)

    2014-07-01

    The growth of platinum thin film by rf magnetron sputtering on SrTiO{sub 3}(0 0 1) substrates for oxide based devices was investigated. Platinum films grown at temperatures higher than 750 °C were epitaxial ([1 0 0]Pt(0 0 1)//[1 0 0]STO(0 0 1)), whereas at lower temperatures Pt(1 1 1) films were obtained. The surface morphology of the Pt films showed a strong dependence on the deposition temperature as was revealed by atomic force microscopy (AFM). At elevated temperatures there is a three-dimensional (3D) growth of rectangular atomically flat islands with deep boundaries between them. On the other hand, at low deposition temperatures, a two-dimensional (2D) layered growth was observed. The transition from 2D to 3D growth modes was observed that occurs for temperatures around 450 °C. The obtained epitaxial thin films also formed an atomically sharp interface with the SrTiO{sub 3}(0 0 1) substrate as confirmed by HRTEM.

  6. Singularities of 28Si electrical activation in a single crystal and epitaxial GaAs under radiation annealing

    International Nuclear Information System (INIS)

    Ardyshev, V.M.; Ardyshev, M.V.; Khludkov, S.S.

    2000-01-01

    Using the voltage-capacitance characteristics method, the concentration profiles of 28 Si that is implanted in monocrystal and epitaxial GaAs after fast thermal annealing (FTA) (825, 870, 950 deg C, 12 s) have been studied; using Van-der-Paw method, the electron Hall mobility temperature dependence in the range of 70-400 K has been measured. Unlike thermal annealing (800 deg C, 30 min), the silicon diffusion depth redistribution into GaAs is shown to occur for both types of material. The coefficient of diffusion of Si in the single crystal is 2 times greater, but the electrical activation efficiency is somewhat less than in the epitaxial GaAs for each of the temperatures of FTA. The analysis of the temperature dependence of the electron mobility in ion-implanted layers after FTA gives the evidence about the significantly lower concentration of defects restricting the mobility in comparison with results obtained at thermal annealing during 30 min [ru

  7. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  8. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  9. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  10. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    Science.gov (United States)

    Belanger, Simon

    La situation energetique et les enjeux environnementaux auxquels la societe est confrontee entrainent un interet grandissant pour la production d'electricite a partir de l'energie solaire. Parmi les technologies actuellement disponibles, la filiere du photovoltaique a concentrateur solaire (CPV pour concentrator photovoltaics) possede un rendement superieur et mi potentiel interessant a condition que ses couts de production soient competitifs. La methode d'epitaxie par faisceaux chimiques (CBE pour chemical beam epitaxy) possede plusieurs caracteristiques qui la rendent interessante pour la production a grande echelle de cellules photovoltaiques a jonctions multiples a base de semi-conducteurs III-V. Ce type de cellule possede la meilleure efficacite atteinte a ce jour et est utilise sur les satellites et les systemes photovoltaiques a concentrateur solaire (CPV) les plus efficaces. Une des principales forces de la technique CBE se trouve dans son potentiel d'efficacite d'utilisation des materiaux source qui est superieur a celui de la technique d'epitaxie qui est couramment utilisee pour la production a grande echelle de ces cellules. Ce memoire de maitrise presente les travaux effectues dans le but d'evaluer le potentiel de la technique CBE pour realiser la croissance de couches de GaAs sur des substrats de Ge. Cette croissance constitue la premiere etape de fabrication de nombreux modeles de cellules solaires a haute performance decrites plus haut. La realisation de ce projet a necessite le developpement d'un procede de preparation de surface pour les substrats de germanium, la realisation de nombreuses sceances de croissance epitaxiale et la caracterisation des materiaux obtenus par microscopie optique, microscopie a force atomique (AFM), diffraction des rayons-X a haute resolution (HRXRD), microscopie electronique a transmission (TEM), photoluminescence a basse temperature (LTPL) et spectrometrie de masse des ions secondaires (SIMS). Les experiences ont permis

  11. Epitaxial growth mechanisms of graphene and effects of substrates

    Science.gov (United States)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  12. Interface relaxation and band gap shift in epitaxial layers

    Directory of Open Access Journals (Sweden)

    Ziming Zhu

    2012-12-01

    Full Text Available Although it is well known that the interface relaxation plays the crucial role for the electronic properties in semiconductor epitaxial layers, there is lack of a clear definition of relationship between interfacial bond-energy variation and interface bond-nature-factor (IBNF in epitaxial layers before and after relaxation. Here we establish an analytical method to shed light on the relationship between the IBNF and the bond-energy change, as well as the relation with band offset in epitaxial layers from the perspective of atomic-bond-relaxation consideration and continuum mechanics. The theoretical predictions are consistent with the available evidences, which provide an atomistic understanding on underlying mechanism of interface effect in epitaxial nanostructures. Thus, it will be helpful for opening up to tailor physical-chemical properties of the epitaxial nanostructures to the desired specifications.

  13. Epitaxial growth and properties of YBaCuO thin films

    International Nuclear Information System (INIS)

    Geerk, J.; Linker, G.; Meyer, O.

    1989-08-01

    The growth quality of YBaCuO thin films deposited by sputtering on different substrates (Al 2 O 3 , MgO, SrTiO 3 , Zr(Y)O 2 ) has been studied by X-ray diffraction and channeling experiments as a function of the deposition temperature. Besides the substrate orientation, the substrate temperature is the parameter determining whether films grow in c-, a-, (110) or mixed directions. Epitaxial growth correlates with high critical current values in the films of up to 5.5x10 6 A/cm 2 at 77 K. Ultrathin films with thicknesses down to 2 nm were grown revealing three-dimensional superconducting behaviour. Films on (100) SrTiO 3 of 9 nm thickness and below are partially strained indicating commensurate growth. From the analysis of the surface disorder 1 displaced Ba atom per Ba 2 Y row was obtained indicating that the disordered layer thickness is about 0.6 nm. Tunnel junctions fabricated on these films reveal gap-like structures near ±16 mV and ±30 mV. (orig.) [de

  14. Deposition of yttrium oxysulfide thin films by atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kukli, K.; University of Tartu, Tartu,; Johansson, L-S.; Nykaenen, E.; Peussa, M.; Ninistoe, L.

    1998-01-01

    Full text: Yttrium oxysulfide is a highly interesting material for optoelectronic applications. It is industrially exploited in the form of doped powder in catholuminescent phosphors, e.g. Y 2 O 2 S: Eu 3+ for colour TV. Attempts to grow thin films of Y 2 O 2 S have not been frequent and only partially successful due to the difficulties in obtaining crystalline films at a reasonable temperature. Furthermore, sputtering easily leads to a sulphur deficiency. Evaporation of the elements from a multi-source offers a better control of the stoichiometry resulting in hexagonal (0002) oriented films at 580 deg C. In this paper we present the first successful thin film growth experiments using a chemical process with molecular precursors. Atomic layer epitaxy (ALE) allows the use of a relatively low deposition temperature and thus compatibility with other technologies. Already at 425 deg C the reaction between H 2 S and Y(thd) 3 (thd = 2,2,6,6 - tetramethyl-heptane-3,5- dione) yields a crystalline Y 2 O 2 S thin film which was characterized by XRD, XRF and XPS

  15. Cu-doped AlN: A possible spinaligner at room-temperature grown by molecular beam epitaxy?

    Science.gov (United States)

    Ganz, P. R.; Schaadt, D. M.

    2011-12-01

    Cu-doped AlN was prepared by plasma assisted molecular beam epitaxy on C-plane sapphire substrates. The growth conditions were investigated for different Cu to Al flux ratios from 1.0% to 4.0%. The formation of Cu-Al alloys on the surface was observed for all doping level. In contrast to Cu-doped GaN, all samples showed diamagnetic behavior determined by SQUID measurements.

  16. All-perovskite transparent high mobility field effect using epitaxial BaSnO3 and LaInO3

    Directory of Open Access Journals (Sweden)

    Useong Kim

    2015-03-01

    Full Text Available We demonstrate an all-perovskite transparent heterojunction field effect transistor made of two lattice-matched perovskite oxides: BaSnO3 and LaInO3. We have developed epitaxial LaInO3 as the gate oxide on top of BaSnO3, which were recently reported to possess high thermal stability and electron mobility when doped with La. We measured the dielectric properties of the epitaxial LaInO3 films, such as the band gap, dielectric constant, and the dielectric breakdown field. Using the LaInO3 as a gate dielectric and the La-doped BaSnO3 as a channel layer, we fabricated field effect device structure. The field effect mobility of such device was higher than 90 cm2 V−1 s−1, the on/off ratio was larger than 107, and the subthreshold swing was 0.65 V dec−1. We discuss the possible origins for such device performance and the future directions for further improvement.

  17. Unit cell determination of epitaxial thin films based on reciprocal space vectors by high-resolution X-ray diffractometry

    OpenAIRE

    Yang, Ping; Liu, Huajun; Chen, Zuhuang; Chen, Lang; Wang, John

    2013-01-01

    A new approach, based on reciprocal space vectors (RSVs), is developed to determine Bravais lattice types and accurate lattice parameters of epitaxial thin films by high-resolution X-ray diffractometry (HR-XRD). The lattice parameters of single crystal substrates are employed as references to correct the systematic experimental errors of RSVs of thin films. The general procedure is summarized, involving correction of RSVs, derivation of raw unit cell, subsequent conversion to the Niggli unit ...

  18. Near infrared group IV optoelectronics and novel pre-cursors for CVD epitaxy

    Science.gov (United States)

    Hazbun, Ramsey Michael

    Near infrared and mid infrared optoelectronic devices have become increasingly important for the telecommunications, security, and medical imaging industries. The addition of nitrogen to III-V alloys has been widely studied as a method of modifying the band gap for mid infrared (IR) applications. In xGa1-xSb1-y Ny/InAs strained-layer superlattices with type-II (staggered) energy offsets on GaSb substrates, were modeled using eight-band k˙p simulations to analyze the superlattice miniband energies. Three different zero-stress strain balance conditions are reported: fixed superlattice period thickness, fixed InAs well thickness, and fixed InxGa1-xSb 1-yNy barrier thickness. Optoelectronics have traditionally been the realm of III-V semiconductors due to their direct band gap, while integrated circuit chips have been the realm of Group IV semiconductors such as silicon because of its relative abundance and ease of use. Recently the alloying of Sn with Ge and Si has been shown to allow direct band-gap light emission. This presents the exciting prospect of integrating optoelectronics into current Group IV chip fabrication facilities. However, new approaches for low temperature growth are needed to realize these new SiGeSn alloys. Silicon-germanium epitaxy via ultra-high vacuum chemical vapor deposition has the advantage of allowing low process temperatures. Deposition processes are sensitive to substrate surface preparation and the time delay between oxide removal and epitaxial growth. A new monitoring process utilizing doped substrates and defect decoration etching is demonstrated to have controllable and unique sensitivity to interfacial contaminants. Doped substrates were prepared and subjected to various loading conditions prior to the growth of typical Si/SiGe bilayers. The defect densities were correlated to the concentration of interfacial oxygen suggesting this monitoring process may be an effective complement to monitoring via secondary ion mass spectrometry

  19. Vapor-solid-solid growth mechanism driven by an epitaxial match between solid Au Zn alloy catalyst particle and Zn O nano wire at low temperature

    International Nuclear Information System (INIS)

    Campos, Leonardo C.; Tonezzer, Matteo; Ferlauto, Andre S.; Magalhaes-Paniago, Rogerio; Oliveira, Sergio; Ladeira, Luiz O.; Lacerda, Rodrigo G.

    2008-01-01

    Nowadays, the growth of nano materials, like nano wires and nano tubes, is one of the key research areas of nano technology. However, a full picture of the growth mechanism of these quasi-one dimensional systems still needs to be achieved if these materials are to be applied electronics, biology and medicinal fields. Nevertheless, in spite of considerable advances on the growth of numerous nano wires, a clear understanding of the growth mechanism is still controversial and highly discussed. The present work provides a comprehensive picture of the precise mechanism of Zn O vapor-solid-solid (VSS) nano wire growth at low temperatures and gives the fundamental reasons responsible. We demonstrate by using a combination of synchrotron XRD and high resolution TEM that the growth dynamics at low temperatures is not governed by the well-known vapor-liquid solid (VLS) mechanisms. A critical new insight on the driving factor of VSS growth is proposed in which the VSS process occurs by a solid diffusion mechanism that is driven by a preferential oxidation process of the Zn inside the alloy catalyst induced by an epitaxial match between the Zn O(10-10) plane and the γ-Au Zn(222) plane. We believe that these results are not only important for the understanding of Zn O nano wire growth but could also have significant impact on the understanding of growth mechanisms of other nano wire systems. (author)

  20. Structural Studies of the Initial Stages of Fluoride Epitaxy on Silicon and GERMANIUM(111)

    Science.gov (United States)

    Denlinger, Jonathan David

    The epitaxial growth of ionic insulators on semiconductor substrates is of interest due to fundamental issues of interface bonding and structure as well as to potential technological applications. The initial stages of Group IIa fluoride insulator growth on (111) Si and Ge substrates by molecular beam epitaxy are studied with the in situ combination of X-ray Photoelectron Spectroscopy (XPS) and Diffraction (XPD). While XPS probes the electronic structure, XPD reveals atomic structure. In addition, low energy electron diffraction (LEED) is used to probe surface order and a separate study using X-ray standing wave (XSW) fluorescence reveals interface cation bonding sites. Following the formation of a chemically-reacted interface layer in CaF_2 epitaxy on Si(111), the morphology of the subsequent bulk layers is found to be dependent on substrate temperature and incident flux rate. At temperatures >=600 ^circC a transition from three -dimensional island formation at low flux to laminar growth at higher flux is observed with bulk- and interface-resolved XPD. At lower substrate temperatures, laminar growth is observed at all fluxes, but with different bulk nucleation behavior due to changes in the stoichiometry of the interface layer. This new observation of kinetic effects on the initial nucleation in CaF_2 epitaxy has important ramifications for the formation of thicker heterostructures for scientific or device applications. XPS and XPD are also used to identify for the first time, surface core-level species of Ca and F, and a secondary interface-shifted F Auger component arising from a second-layer site directly above interface-layer Ca atoms. The effects of lattice mismatch (from -3% to 8%) are investigated with various growths of Ca_{rm x}Sr _{rm 1-x}F_2 on Si and Ge (111) substrates. Triangulation of (111) and (220) XSW indicates a predominance of 3-fold hollow Sr bonding sites coexisting with 4-fold top sites for monolayers of SrF_2 on Si. XSW and LEED reveal a

  1. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  2. Chemically stabilized epitaxial wurtzite-BN thin film

    Science.gov (United States)

    Vishal, Badri; Singh, Rajendra; Chaturvedi, Abhishek; Sharma, Ankit; Sreedhara, M. B.; Sahu, Rajib; Bhat, Usha; Ramamurty, Upadrasta; Datta, Ranjan

    2018-03-01

    We report on the chemically stabilized epitaxial w-BN thin film grown on c-plane sapphire by pulsed laser deposition under slow kinetic condition. Traces of no other allotropes such as cubic (c) or hexagonal (h) BN phases are present. Sapphire substrate plays a significant role in stabilizing the metastable w-BN from h-BN target under unusual PLD growth condition involving low temperature and pressure and is explained based on density functional theory calculation. The hardness and the elastic modulus of the w-BN film are 37 & 339 GPa, respectively measured by indentation along direction. The results are extremely promising in advancing the microelectronic and mechanical tooling industry.

  3. Optical characterization of epitaxial single crystal CdTe thin films on Al{sub 2}O{sub 3} (0001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jovanovic, S.M.; Devenyi, G.A., E-mail: devenyga@mcmaster.ca; Jarvis, V.M.; Meinander, K.; Haapamaki, C.M.; Kuyanov, P.; Gerber, M.; LaPierre, R.R.; Preston, J.S.

    2014-11-03

    The optoelectronic properties of single crystal CdTe thin films were investigated by photoluminescence spectroscopy, photoreflectance spectroscopy and variable angle spectroscopic ellipsometry. The room temperature bandgap was measured to be 1.51 eV and was consistent between spectroscopic measurements and previously reported values. Breadth of bandgap emission was consistent with high quality material. Low temperature photoluminescence spectra indicated a dominant emission consistent with bound excitons. Emissions corresponding to self-compensation defects, doping and contaminants were not found. Variable angle spectroscopic ellipsometry measurements over the near-UV to infrared range demonstrated sharp resonance peaks. All spectroscopic measurements indicate high quality thin film material of comparable or better quality than bulk CdTe. - Highlights: • High quality epitaxial CdTe thin films were grown. • Two dimensional X-ray diffraction characterization confirmed single crystal material. • Photoluminescence indicated low defect density when compared to bulk single crystals. • Optical characterization indicated the presence of room temperature excitons.

  4. Growth and characterization of β-Ga2O3 thin films by molecular beam epitaxy for deep-UV photodetectors

    Science.gov (United States)

    Ghose, Susmita; Rahman, Shafiqur; Hong, Liang; Rojas-Ramirez, Juan Salvador; Jin, Hanbyul; Park, Kibog; Klie, Robert; Droopad, Ravi

    2017-09-01

    The growth of high quality epitaxial beta-gallium oxide (β-Ga2O3) using a compound source by molecular beam epitaxy has been demonstrated on c-plane sapphire (Al2O3) substrates. The compound source provides oxidized gallium molecules in addition to oxygen when heated from an iridium crucible in a high temperature effusion cell enabling a lower heat of formation for the growth of Ga2O3, resulting in a more efficient growth process. This source also enabled the growth of crystalline β-Ga2O3 without the need for additional oxygen. The influence of the substrate temperatures on the crystal structure and quality, chemical bonding, surface morphology, and optical properties has been systematically evaluated by x-ray diffraction, scanning transmission electron microscopy, x-ray photoelectron spectroscopy, atomic force microscopy, spectroscopic ellipsometry, and UV-vis spectroscopy. Under optimized growth conditions, all films exhibited pure (" separators="|2 ¯01 ) oriented β-Ga2O3 thin films with six-fold rotational symmetry when grown on a sapphire substrate. The thin films demonstrated significant absorption in the deep-ultraviolet (UV) region with an optical bandgap around 5.0 eV and a refractive index of 1.9. A deep-UV photodetector fabricated on the high quality β-Ga2O3 thin film exhibits high resistance and small dark current (4.25 nA) with expected photoresponse for 254 nm UV light irradiation suggesting that the material grown using the compound source is a potential candidate for deep-ultraviolet photodetectors.

  5. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  6. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  7. Controlling the growth of epitaxial graphene on metalized diamond (111) surface

    International Nuclear Information System (INIS)

    Cooil, S. P.; Wells, J. W.; Hu, D.; Evans, D. A.; Niu, Y. R.; Zakharov, A. A.; Bianchi, M.

    2015-01-01

    The 2-dimensional transformation of the diamond (111) surface to graphene has been demonstrated using ultrathin Fe films that catalytically reduce the reaction temperature needed for the conversion of sp 3 to sp 2 carbon. An epitaxial system is formed, which involves the re-crystallization of carbon at the Fe/vacuum interface and that enables the controlled growth of monolayer and multilayer graphene films. In order to study the initial stages of single and multilayer graphene growth, real time monitoring of the system was preformed within a photoemission and low energy electron microscope. It was found that the initial graphene growth occurred at temperatures as low as 500 °C, whilst increasing the temperature to 560 °C was required to produce multi-layer graphene of high structural quality. Angle resolved photoelectron spectroscopy was used to study the electronic properties of the grown material, where a graphene-like energy momentum dispersion was observed. The Dirac point for the first layer is located at 2.5 eV below the Fermi level, indicating an n-type doping of the graphene due to substrate interactions, while that of the second graphene layer lies close to the Fermi level

  8. Molecular Beam Epitaxy Growth of Transition Metal Dichalcogenides

    Science.gov (United States)

    Yue, Ruoyu

    The exponential growth of Si-based technology has finally reached its limit, and a new generation of devices must be developed to continue scaling. A unique class of materials, transition metal dichalcogenides (TMD), have attracted great attention due to their remarkable optical and electronic properties at the atomic thickness scale. Over the past decade, enormous efforts have been put into TMD research for application in low-power devices. Among these studies, a high-quality TMD synthesis method is essential. Molecular beam epitaxy (MBE) can enable high-quality TMD growth by combining high purity elemental sources and an ultra-high vacuum growth environment, together with the back-end-of-line compatible growth temperatures. Although many TMD candidates have been grown by MBE with promising microstructure, the limited grain size (improvement in grain size was achieved through this study. Results from both experiment and simulation showed that reducing the growth rate, enabled by high growth temperature and low metal flux, is vital to nucleation density control. Meanwhile, providing a chalcogen-rich growth environment will promote larger grain lateral growth by suppressing vertical growth. Applying the knowledge learned from the nucleation study, we sucessfully integrated the MBE-grown WSe2 into Si complementary metal-oxide-semiconductor (CMOS) compatible field-effect transistors (FETs). Excellent transport properties, such as field effect hole mobilities (40 cm 2/V·s) with orders of magnitude improvement over the reported values of MBE-grown TMDs, are shown. These studies provide a comprehensive understanding of the MBE synthesis of TMDs and devices, indicating the great potential of integrating TMDs into CMOS process flows for the future electronics.

  9. Demonstration of high-responsivity epitaxial β-Ga2O3/GaN metal–heterojunction-metal broadband UV-A/UV-C detector

    Science.gov (United States)

    Kalra, Anisha; Vura, Sandeep; Rathkanthiwar, Shashwat; Muralidharan, Rangarajan; Raghavan, Srinivasan; Nath, Digbijoy N.

    2018-06-01

    We demonstrate epitaxial β-Ga2O3/GaN-based vertical metal–heterojunction-metal (MHM) broadband UV-A/UV-C photodetectors with high responsivity (3.7 A/W) at 256 and 365 nm, UV-to-visible rejection >103, and a photo-to-dark current ratio of ∼100. A small (large) conduction (valence) band offset at the heterojunction of pulsed laser deposition (PLD)-grown β-Ga2O3 on metal organic chemical vapor deposition (MOCVD)-grown GaN-on-silicon with epitaxial registry, as confirmed by X-ray diffraction (XRD) azimuthal scanning, is exploited to realize detectors with an asymmetric photoresponse and is explained with one-dimensional (1D) band diagram simulations. The demonstrated novel vertical MHM detectors on silicon are fully scalable and promising for enabling focal plane arrays for broadband ultraviolet sensing.

  10. High-Operating Temperature HgCdTe: A Vision for the Near Future

    Science.gov (United States)

    Lee, D.; Carmody, M.; Piquette, E.; Dreiske, P.; Chen, A.; Yulius, A.; Edwall, D.; Bhargava, S.; Zandian, M.; Tennant, W. E.

    2016-09-01

    We review recent advances in the HgCdTe material quality and detector performance achieved at Teledyne using molecular beam epitaxy growth and the double-layer planar hetero-junction (DLPH) detector architecture. By using an un-doped, fully depleted absorber, Teledyne's DLPH architecture can be extended for use in high operating temperatures and other applications. We assess the potential achievable performance for long wavelength infrared (LWIR) hetero-junction p-lightly-doped n or p-intrinsic- n (p-i-n) detectors based on recently reported results for 10.7 μm cutoff 1 K × 1 K focal plane arrays (FPAs) tested at temperatures down to 30 K. Variable temperature dark current measurements show that any Shockley-Read-Hall currents in the depletion region of these devices have lifetimes that are reproducibly greater than 100 ms. Under the assumption of comparable lifetimes at higher temperatures, it is predicted that fully-depleted background radiation-limited performance can be expected for 10- μm cutoff detectors from room temperature to well below liquid nitrogen temperatures, with room-temperature dark current nearly 400 times lower than predicted by Rule 07. The hetero-junction p-i-n diode is shown to have numerous other significant potential advantages including minimal or no passivation requirements for pBn-like processing, low 1/ f noise, compatibility with small pixel pitch while maintaining high modulation transfer function, low crosstalk and good quantum efficiency. By appropriate design of the FPA dewar shielding, analysis shows that dark current can theoretically be further reduced below the thermal equilibrium radiative limit. Modeling shows that background radiation-limited LWIR HgCdTe operating with f/1 optics has the potential to operate within √2 of background-limited performance at 215 K. By reducing the background radiation by 2/3 using novel shielding methods, operation with a single-stage thermo-electric-cooler may be possible. If the

  11. Photoluminescence and surface photovoltage spectroscopy characterization of highly strained InGaAs/GaAs quantum well structures grown by metal organic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Chan, C.H.; Wu, J.D.; Huang, Y.S.; Hsu, H.P.; Tiong, K.K.; Su, Y.K.

    2010-01-01

    Photoluminescence (PL) and surface photovoltage spectroscopy (SPS) are used to characterize a series of highly strained In x Ga 1-x As/GaAs quantum well (QW) structures grown by metal organic vapor phase epitaxy with different indium compositions (0.395 ≤ x ≤ 0.44) in the temperature range of 20 K ≤ T ≤ 300 K. The PL features show redshift in peak positions and broadened lineshape with increasing indium composition. The S-shaped temperature dependent PL spectra have been attributed to carrier localization effect resulting from the presence of indium clusters at QW interfaces. A lineshape fit of features in the differential surface photovoltage (SPV) spectra has been used to determine the transition energies accurately. At temperature below 100 K, the light-hole (LH) related feature shows a significant phase difference as compared to that of heavy-hole (HH) related features. The phase change of the LH feature can be explained by the existence of type-II configuration for the LH valence band and the process of separation of carriers within the QWs together with possible capture by the interface defect traps. A detailed analysis of the observed phenomena enables the identification of spectral features and to evaluate the band lineup of the QWs. The results demonstrate the usefulness of PL and SPS for the contactless and nondestructive characterization of highly strained InGaAs/GaAs QW structures.

  12. Growth of InN on 6H-SiC by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Brown, April S.; Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Morse, Michael [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    We have investigated the growth of InN films by plasma assisted molecular beam epitaxy on the Si-face of 6H-SiC(0001). Growth is performed under In-rich conditions using a two-step process consisting of the deposition of a thin, low-temperature 350 C InN buffer layer, followed by the subsequent deposition of the InN epitaxial layer at 450 C. The effect of buffer annealing is investigated. The structural and optical evolution of the growing layer has been monitored in real time using RHEED and spectroscopic ellipsometry. Structural, morphological, electrical and optic properties are discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.

    2010-01-21

    Epitaxial growth, a bottom-up self-assembly process for creating surface nano- and microstructures, has been extensively studied in the context of atoms. This process, however, is also a promising route to self-assembly of nanometer- and micrometer-scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics of colloidal crystal films with single-particle resolution. We show quantitatively that colloidal epitaxy obeys the same two-dimensional island nucleation and growth laws that govern atomic epitaxy. However, we found that in colloidal epitaxy, step-edge and corner barriers that are responsible for film morphology have a diffusive origin. This diffusive mechanism suggests new routes toward controlling film morphology during epitaxy.

  14. Big-data reflection high energy electron diffraction analysis for understanding epitaxial film growth processes.

    Science.gov (United States)

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P; Kalinin, Sergei V

    2014-10-28

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED images, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the data set are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of a RHEED image sequence. This approach is illustrated for growth of La(x)Ca(1-x)MnO(3) films grown on etched (001) SrTiO(3) substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the asymmetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.

  15. Time-resolved X-ray diffraction study on superconducting YBa{sub 2}Cu{sub 3}O{sub 7} epitaxially grown on SrTiO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Luebcke, A.

    2007-07-01

    In this PhD thesis time-resolved X-ray diffraction in optical pump - X-ray probe scheme was applied for the first time to a High-Temperature Superconductor in the superconducting state. The aim was to study the possible lattice response to optical Cooper pair breaking. As sample a thin YBa{sub 2}Cu{sub 3}O{sub 7} film with a superconducting transition temperature of T{sub c}=90 K, epitaxially grown on a SrTiO{sub 3} single crystal was used. (orig.)

  16. Surface passivation of liquid phase epitaxial GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.; Mo, L.; Edmondson, M.

    1995-10-01

    Passivation of the liquid phase epitaxial GaAs surface was attempted using aqueous P 2 S 5 -NH 4 OH, (NH 4 ) 2 S x and plasma nitrogenation and hydrogenation. Results indicate that plasma nitrogenation with pretreatment of plasma hydrogenation produced consistent reduction in reverse leakage current at room temperature for all p and n type Schottky diodes. Some diodes showed an order of magnitude improvement in current density. (NH 4 ) 2 S x passivation also results in improved I-V characteristics, though the long term stability of this passivation is questionable. 26 refs., 6 figs

  17. Hydride vapor phase epitaxy of high structural perfection thick AlN layers on off-axis 6H-SiC

    Science.gov (United States)

    Volkova, Anna; Ivantsov, Vladimir; Leung, Larry

    2011-01-01

    The employment of more than 10 μm thick AlN epilayers on SiC substrates for AlGaN/GaN high-electron-mobility transistors (HEMTs) substantially raises their performance in high-power energy-efficient amplifiers for 4G wireless mobile stations. In this paper, structural properties and surface morphology of thick AlN epilayers deposited by hydride vapor phase epitaxy (HVPE) on off-axis conductive 6H-SiC substrates are reported. The epilayers were examined in detail by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), Nomarski differential interference contrast (DIC), scanning electron microscopy (SEM), and selective wet chemical etching. At optimal substrate preparation and growth conditions, a full width at half-maximum (FWHM) of the XRD rocking curve (RC) for the symmetric (00.2) reflex was very close to that of the substrate (less than 40 arcsec) suggesting low screw dislocation density in the epilayer (˜10 6 cm -2) and small in-plane tilt misorientation. Reciprocal space mapping around asymmetric reflexes and measured lattice parameters indicated a fully relaxed state of the epilayers. The unit-cell-high stepped areas of the epilayers with 0.5 nm root mean square (RMS) roughness over 1×1 μm 2 scan were alternated with step-bunching instabilities up to 350 nm in height. Low warp of the substrates makes them suitable for precise epitaxy of HEMT structures.

  18. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  19. Molecular Beam Epitaxy on Gas Cluster Ion Beam Prepared GaSb Substrates: Towards Improved Surfaces and Interfaces

    National Research Council Canada - National Science Library

    Krishnaswami, Kannan; Vangala, Shivashankar R; Dauplaise, Helen M; Allen, Lisa P; Dallas, Gordon; Bakken, Daniel; Bliss, David F; Goodhue, WIlliam D

    2007-01-01

    ... at temperatures ranging 530 degrees C to 560 degrees C. Cross-sectional transmission electron microscopy of molecular beam epitaxy grown GaSb/AlGaSb layers showed that the HBr-GCIB surface produced a smooth dislocation-free substrate-to-epi transition...

  20. Electrical properties of epitaxially grown VOx thin films

    NARCIS (Netherlands)

    Rata, A.D.; Chezan, A.R; Presura, C.N.; Hibma, T

    2003-01-01

    High quality VOx thin films on MgO(100) substrates were prepared and studied from the structural and electronic point of view. Epitaxial growth was confirmed by RHEED and XRD techniques. The oxygen content of VOx thin films as a function of oxygen flux was determined using RBS. The upper and lower