WorldWideScience

Sample records for high resistive soi

  1. SOI MESFETs on high-resistivity, trap-rich substrates

    Science.gov (United States)

    Mehr, Payam; Zhang, Xiong; Lepkowski, William; Li, Chaojiang; Thornton, Trevor J.

    2018-04-01

    The DC and RF characteristics of metal-semiconductor field-effect-transistors (MESFETs) on conventional CMOS silicon-on-insulator (SOI) substrates are compared to nominally identical devices on high-resistivity, trap-rich SOI substrates. While the DC transfer characteristics are statistically identical on either substrate, the maximum available gain at GHz frequencies is enhanced by ∼2 dB when using the trap-rich substrates, with maximum operating frequencies, fmax, that are approximately 5-10% higher. The increased fmax is explained by the reduced substrate conduction at GHz frequencies using a lumped-element, small-signal model.

  2. A high voltage SOI pLDMOS with a partial interface equipotential floating buried layer

    International Nuclear Information System (INIS)

    Wu Lijuan; Zhang Wentong; Zhang Bo; Li Zhaoji

    2013-01-01

    A novel silicon-on-insulator (SOI) high-voltage pLDMOS is presented with a partial interface equipotential floating buried layer (FBL) and its analytical model is analyzed in this paper. The surface heavily doped p-top layers, interface floating buried N + /P + layers, and three-step field plates are designed carefully in the FBL SOI pLDMOS to optimize the electric field distribution of the drift region and reduce the specific resistance. On the condition of ESIMOX (epoxy separated by implanted oxygen), it has been shown that the breakdown voltage of the FBL SOI pLDMOS is increased from −232 V of the conventional SOI to −425 V and the specific resistance R on,sp is reduced from 0.88 to 0.2424 Ω·cm 2 . (semiconductor devices)

  3. An SEU resistant 256K SOI SRAM

    Science.gov (United States)

    Hite, L. R.; Lu, H.; Houston, T. W.; Hurta, D. S.; Bailey, W. E.

    1992-12-01

    A novel SEU (single event upset) resistant SRAM (static random access memory) cell has been implemented in a 256K SOI (silicon on insulator) SRAM that has attractive performance characteristics over the military temperature range of -55 to +125 C. These include worst-case access time of 40 ns with an active power of only 150 mW at 25 MHz, and a worst-case minimum WRITE pulse width of 20 ns. Measured SEU performance gives an Adams 10 percent worst-case error rate of 3.4 x 10 exp -11 errors/bit-day using the CRUP code with a conservative first-upset LET threshold. Modeling does show that higher bipolar gain than that measured on a sample from the SRAM lot would produce a lower error rate. Measurements show the worst-case supply voltage for SEU to be 5.5 V. Analysis has shown this to be primarily caused by the drain voltage dependence of the beta of the SOI parasitic bipolar transistor. Based on this, SEU experiments with SOI devices should include measurements as a function of supply voltage, rather than the traditional 4.5 V, to determine the worst-case condition.

  4. Ultra-low specific on-resistance SOI double-gate trench-type MOSFET

    International Nuclear Information System (INIS)

    Lei Tianfei; Luo Xiaorong; Ge Rui; Chen Xi; Wang Yuangang; Yao Guoliang; Jiang Yongheng; Zhang Bo; Li Zhaoji

    2011-01-01

    An ultra-low specific on-resistance (R on,sp ) silicon-on-insulator (SOI) double-gate trench-type MOSFET (DG trench MOSFET) is proposed. The MOSFET features double gates and an oxide trench: the oxide trench is in the drift region, one trench gate is inset in the oxide trench and one trench gate is extended into the buried oxide. Firstly, the double gates reduce R on,sp by forming dual conduction channels. Secondly, the oxide trench not only folds the drift region, but also modulates the electric field, thereby reducing device pitch and increasing the breakdown voltage (BV). ABV of 93 V and a R on,sp of 51.8 mΩ·mm 2 is obtained for a DG trench MOSFET with a 3 μm half-cell pitch. Compared with a single-gate SOI MOSFET (SG MOSFET) and a single-gate SOI MOSFET with an oxide trench (SG trench MOSFET), the R on,sp of the DG trench MOSFET decreases by 63.3% and 33.8% at the same BV, respectively. (semiconductor devices)

  5. A low on-resistance SOI LDMOS using a trench gate and a recessed drain

    International Nuclear Information System (INIS)

    Ge Rui; Luo Xiaorong; Jiang Yongheng; Zhou Kun; Wang Pei; Wang Qi; Wang Yuangang; Zhang Bo; Li Zhaoji

    2012-01-01

    An integrable silicon-on-insulator (SOI) power lateral MOSFET with a trench gate and a recessed drain (TGRD MOSFET) is proposed to reduce the on-resistance. Both of the trench gate extended to the buried oxide (BOX) and the recessed drain reduce the specific on-resistance (R on,sp ) by widening the vertical conduction area and shortening the extra current path. The trench gate is extended as a field plate improves the electric field distribution. Breakdown voltage (BV) of 97 V and R on,sp of 0.985 mΩ·cm 2 (V GS = 5 V) are obtained for a TGRD MOSFET with 6.5 μm half-cell pitch. Compared with the trench gate SOI MOSFET (TG MOSFET) and the conventional MOSFET, R on,sp of the TGRD MOSFET decreases by 46% and 83% at the same BV, respectively. Compared with the SOI MOSFET with a trench gate and a trench drain (TGTD MOSFET), BV of the TGRD MOSFET increases by 37% at the same R on,sp . (semiconductor devices)

  6. Design and simulation of resistive SOI CMOS micro-heaters for high temperature gas sensors

    International Nuclear Information System (INIS)

    Iwaki, T; Covington, J A; Udrea, F; Ali, S Z; Guha, P K; Gardner, J W

    2005-01-01

    This paper describes the design of doped single crystal silicon (SCS) microhotplates for gas sensors. Resistive heaters are formed by an n+/p+ implantation into a Silicon-On-Insulator (SOI) wafer with a post-CMOS deep reactive ion etch to remove the silicon substrate. Hence they are fully compatible with CMOS technologies and allows for the integration of associated drive/detection circuitry. 2D electro-thermal models have been constructed and the results of numerical simulations using FEMLAB[reg] are given. Simulations show these micro-hotplates can operate at temperatures of 500 deg. C with a drive voltage of only 5 V and a power consumption of less than 100 mW

  7. Technology development for SOI monolithic pixel detectors

    International Nuclear Information System (INIS)

    Marczewski, J.; Domanski, K.; Grabiec, P.; Grodner, M.; Jaroszewicz, B.; Kociubinski, A.; Kucharski, K.; Tomaszewski, D.; Caccia, M.; Kucewicz, W.; Niemiec, H.

    2006-01-01

    A monolithic detector of ionizing radiation has been manufactured using silicon on insulator (SOI) wafers with a high-resistivity substrate. In our paper the integration of a standard 3 μm CMOS technology, originally designed for bulk devices, with fabrication of pixels in the bottom wafer of a SOI substrate is described. Both technological sequences have been merged minimizing thermal budget and providing suitable properties of all the technological layers. The achieved performance proves that fully depleted monolithic active pixel matrix might be a viable option for a wide spectrum of future applications

  8. A New Nonlinear Model of Body Resistance in Nanometer PD SOI MOSFETs

    Directory of Open Access Journals (Sweden)

    Arash Daghighi

    2011-01-01

    Full Text Available In this paper, a nonlinear model for the body resistance of a 45nm PD SOI MOSFET is developed. This model verified on the base of the small signal three-dimensional simulation results. In this paper by using the three-dimensional simulation of ISE-TCAD software, the indicating factors of body resistance in nanometer transistors and then are shown, using the surface potential model. A mathematical relation to calculat the body resistance incorporating device width and body potential was derived. Excellent agreement was obtained by comparing the model outputs and three-dimensional simulation results.

  9. FinFET and UTBB for RF SOI communication systems

    Science.gov (United States)

    Raskin, Jean-Pierre

    2016-11-01

    Performance of RF integrated circuit (IC) is directly linked to the analog and high frequency characteristics of the transistors, the quality of the back-end of line process as well as the electromagnetic properties of the substrate. Thanks to the introduction of the trap-rich high-resistivity Silicon-on-Insulator (SOI) substrate on the market, the ICs requirements in term of linearity are fulfilled. Today partially depleted SOI MOSFET is the mainstream technology for RF SOI systems. Future generations of mobile communication systems will require transistors with better high frequency performance at lower power consumption. The advanced MOS transistors in competition are FinFET and Ultra Thin Body and Buried oxide (UTBB) SOI MOSFETs. Both devices have been intensively studied these last years. Most of the reported data concern their digital performance. In this paper, their analog/RF behavior is described and compared. Both show similar characteristics in terms of transconductance, Early voltage, voltage gain, self-heating issue but UTBB outperforms FinFET in terms of cutoff frequencies thanks to their relatively lower fringing parasitic capacitances.

  10. A novel SOI pressure sensor for high temperature application

    International Nuclear Information System (INIS)

    Li Sainan; Liang Ting; Wang Wei; Hong Yingping; Zheng Tingli; Xiong Jijun

    2015-01-01

    The silicon on insulator (SOI) high temperature pressure sensor is a novel pressure sensor with high-performance and high-quality. A structure of a SOI high-temperature pressure sensor is presented in this paper. The key factors including doping concentration and power are analyzed. The process of the sensor is designed with the critical process parameters set appropriately. The test result at room temperature and high temperature shows that nonlinear error below is 0.1%, and hysteresis is less than 0.5%. High temperature measuring results show that the sensor can be used for from room temperature to 350 °C in harsh environments. It offers a reference for the development of high temperature piezoresistive pressure sensors. (semiconductor devices)

  11. Universal trench design method for a high-voltage SOI trench LDMOS

    Institute of Scientific and Technical Information of China (English)

    Hu Xiarong; Zhang Bo; Luo Xiaorong; Li Zhaoji

    2012-01-01

    The design method for a high-voltage SOl trench LDMOS for various trench permittivities,widths and depths is introduced.A universal method for efficient design is presented for the first time,taking the trade-off between breakdown voltage (BV) and specific on-resistance (Rs,on) into account.The high-k (relative permittivity)dielectric is suitable to fill a shallow and wide trench while the low-k dielectric is suitable to fill a deep and narrow trench.An SOI LDMOS with a vacuum trench in the drift region is also discussed.Simulation results show that the high FOM BV2/Rs,on can be achieved with a trench filled with the low-k dielectric due to its shortened cell-pitch.

  12. High performance flexible CMOS SOI FinFETs

    KAUST Repository

    Fahad, Hossain M.; Sevilla, Galo T.; Ghoneim, Mohamed T.; Hussain, Muhammad Mustafa

    2014-01-01

    We demonstrate the first ever CMOS compatible soft etch back based high performance flexible CMOS SOI FinFETs. The move from planar to non-planar FinFETs has enabled continued scaling down to the 14 nm technology node. This has been possible due

  13. Sub-50 nm gate length SOI transistor development for high performance microprocessors

    International Nuclear Information System (INIS)

    Horstmann, M.; Greenlaw, D.; Feudel, Th.; Wei, A.; Frohberg, K.; Burbach, G.; Gerhardt, M.; Lenski, M.; Stephan, R.; Wieczorek, K.; Schaller, M.; Hohage, J.; Ruelke, H.; Klais, J.; Huebler, P.; Luning, S.; Bentum, R. van; Grasshoff, G.; Schwan, C.; Cheek, J.; Buller, J.; Krishnan, S.; Raab, M.; Kepler, N.

    2004-01-01

    Partial depleted (PD) SOI technologies have reached maturity for production of high speed, low power microprocessors. The paper will highlight several challenges found during the course of development for bringing 40 nm gate length (L GATE ) PD SOI transistors into volume manufacturing for high-speed microprocessors. The key innovations developed for this transistor in order to overcome classical gate oxide and L GATE scaling is an unique differential triple spacer structure, stressed overlayer films inducing strain in the Silicon channel and optimized junctions. This transistor structure yields an outstanding ring oscillator speed with an unloaded inverter delay of 5.5 ps. The found improvements are highly manufacturable and scaleable for future device technologies like FD SOI

  14. Formation of SIMOX–SOI structure by high-temperature oxygen implantation

    International Nuclear Information System (INIS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-01-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 10"1"7–10"1"8 ions/cm"2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO_2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO_2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  15. A new SOI high-voltage device with a step-thickness drift region and its analytical model for the electric field and breakdown voltage

    International Nuclear Information System (INIS)

    Luo Xiaorong; Zhang Wei; Zhang Bo; Li Zhaoji; Yang Shouguo; Zhan Zhan; Fu Daping

    2008-01-01

    A new SOI high-voltage device with a step-thickness drift region (ST SOI) and its analytical model for the two-dimension electric field distribution and the breakdown voltage are proposed. The electric field in the drift region is modulated and that of the buried layer is enhanced by the variable thickness SOI layer, thereby resulting in the enhancement of the breakdown voltage. Based on the Poisson equation, the expression for the two-dimension electric field distribution is presented taking the modulation effect into account, from which the RESURF (REduced SURface Field) condition and the approximate but explicit expression for the maximal breakdown voltage are derived. The analytical model can explain the effects of the device parameters, such as the step height and the step length of the SOI layer, the doping concentration and the buried oxide thickness, on the electric field distribution and the breakdown voltage. The validity of this model is demonstrated by a comparison with numerical simulations. Improvement on both the breakdown voltage and the on-resistance (R on ) for the ST SOI is obtained due to the variable thickness SOI layer

  16. Performance of the INTPIX6 SOI pixel detector

    International Nuclear Information System (INIS)

    Arai, Y.; Miyoshi, T.; Bugiel, Sz.; Dasgupta, R.; Idzik, M.; Kapusta, P.; Turala, M.; Kucewicz, W.

    2017-01-01

    Characterization of the monolithic pixel detector INPTIX6, designed at KEK and fabricated in Lapis 0.2 μ  m Fully-Depleted, Low-Leakage Silicon-On-Insulator (SOI) CMOS technology, was performed. The INTPIX6 comprises a large area of 1408 × 896 integrating type squared pixels of 12 micron pitch. In this work the performance and measurement results of the prototypes produced on lower resistivity Czochralski type (CZ-n) and high resistivity floating zone (FZ-n) sensor wafers are presented. Using 241 Am radioactive source the noise of INTPIX6 was measured, showing the ENC (Equivalent Noise Charge) of about 70 e − . The resolution calculated from the FWHM of the Iron-55 X-ray peak was about 100 e − . The radiation hardness of the SOI pixel detector was also investigated. The CZ-n type INTPIX6 received a dose of 60 krad and its performance has been continuously monitored during the irradiation.

  17. Performance of the INTPIX6 SOI pixel detector

    Science.gov (United States)

    Arai, Y.; Bugiel, Sz.; Dasgupta, R.; Idzik, M.; Kapusta, P.; Kucewicz, W.; Miyoshi, T.; Turala, M.

    2017-01-01

    Characterization of the monolithic pixel detector INPTIX6, designed at KEK and fabricated in Lapis 0.2 μ m Fully-Depleted, Low-Leakage Silicon-On-Insulator (SOI) CMOS technology, was performed. The INTPIX6 comprises a large area of 1408 × 896 integrating type squared pixels of 12 micron pitch. In this work the performance and measurement results of the prototypes produced on lower resistivity Czochralski type (CZ-n) and high resistivity floating zone (FZ-n) sensor wafers are presented. Using 241Am radioactive source the noise of INTPIX6 was measured, showing the ENC (Equivalent Noise Charge) of about 70 e-. The resolution calculated from the FWHM of the Iron-55 X-ray peak was about 100 e-. The radiation hardness of the SOI pixel detector was also investigated. The CZ-n type INTPIX6 received a dose of 60 krad and its performance has been continuously monitored during the irradiation.

  18. A low specific on-resistance SOI MOSFET with dual gates and a recessed drain

    International Nuclear Information System (INIS)

    Luo Xiao-Rong; Hu Gang-Yi; Zhang Zheng-Yuan; Luo Yin-Chun; Fan Ye; Wang Xiao-Wei; Fan Yuan-Hang; Cai Jin-Yong; Wang Pei; Zhou Kun

    2013-01-01

    A low specific on-resistance (R on,sp ) integrable silicon-on-insulator (SOI) metal-oxide semiconductor field-effect transistor (MOSFET) is proposed and investigated by simulation. The MOSFET features a recessed drain as well as dual gates, which consist of a planar gate and a trench gate extended to the buried oxide layer (BOX) (DGRD MOSFET). First, the dual gates form dual conduction channels, and the extended trench gate also acts as a field plate to improve the electric field distribution. Second, the combination of the trench gate and the recessed drain widens the vertical conduction area and shortens the current path. Third, the P-type top layer not only enhances the drift doping concentration but also modulates the surface electric field distributions. All of these sharply reduce R on,sp and maintain a high breakdown voltage (BV). The BV of 233 V and R on,sp of 4.151 mΩ·cm 2 (V GS = 15 V) are obtained for the DGRD MOSFET with 15-μm half-cell pitch. Compared with the trench gate SOI MOSFET and the conventional MOSFET, R on,sp of the DGRD MOSFET decreases by 36% and 33% with the same BV, respectively. The trench gate extended to the BOX synchronously acts as a dielectric isolation trench, simplifying the fabrication processes. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  19. Test-beam results of a SOI pixel detector prototype

    CERN Document Server

    Bugiel, Roma; Dannheim, Dominik; Fiergolski, Adrian; Hynds, Daniel; Idzik, Marek; Kapusta, P; Kucewicz, Wojciech; Munker, Ruth Magdalena; Nurnberg, Andreas Matthias

    2018-01-01

    This paper presents the test-beam results of a monolithic pixel-detector prototype fabricated in 200 nm Silicon-On-Insulator (SOI) CMOS technology. The SOI detector was tested at the CERN SPS H6 beam line. The detector is fabricated on a 500 μm thick high-resistivity float- zone n-type (FZ-n) wafer. The pixel size is 30 μm × 30 μm and its readout uses a source- follower configuration. The test-beam data are analysed in order to compute the spatial resolution and detector efficiency. The analysis chain includes pedestal and noise calculation, cluster reconstruction, as well as alignment and η-correction for non-linear charge sharing. The results show a spatial resolution of about 4.3 μm.

  20. A novel nanoscale SOI MOSFET by embedding undoped region for improving self-heating effect

    Science.gov (United States)

    Ghaffari, Majid; Orouji, Ali A.

    2018-06-01

    Because of the low thermal conductivity of the SiO2 (oxide), the Buried Oxide (BOX) layer in a Silicon-On-Insulator Metal-Oxide Semiconductor Field-Effect Transistor (SOI MOSFET) prevents heat dissipation in the silicon layer and causes increase in the device lattice temperature. In this paper, a new technique is proposed for reducing Self-Heating Effects (SHEs). The key idea in the proposed structure is using a Silicon undoped Region (SR) in the nanoscale SOI MOSFET under the drain and channel regions in order to decrease the SHE. The novel transistor is named Silicon undoped Region SOI-MOSFET (SR-SOI). Due to the embedded silicon undoped region in the suitable place, the proposed structure has decreased the device lattice temperature. The location and dimensions of the proposed region have been carefully optimized to achieve the best results. This work has explored enhancement such as decreased maximum lattice temperature, increased electron mobility, increased drain current, lower DC drain conductance and higher DC transconductance and also decreased bandgap energy variations. Also, for modeling of the structure in the SPICE tools, the main characterizations have been extracted such as thermal resistance (RTH), thermal capacitance (CTH), and SHE characteristic frequency (fTH). All parameters are extracted in relation with the AC operation indicate excellent performance of the SR-SOI device. The results show that proposed region is a suitable alternative to oxide as a part of the buried oxide layer in SOI structures and has better performance in high temperature. Using two-dimensional (2-D) and two-carrier device simulation is done comparison of the SR-SOI structure with a Conventional SOI (C-SOI). As a result, the SR-SOI device can be regarded as a useful substitution for the C-SOI device in nanoscale integrated circuits as a reliable device.

  1. Evaluation of a High Temperature SOI Half-Bridge MOSFET Driver, Type CHT-HYPERION

    Science.gov (United States)

    Patterson, Richard; Hammoud, Ahmad

    2010-01-01

    Silicon-On-Insulator (SOI) technology utilizes the addition of an insulation layer in its structure to reduce leakage currents and to minimize parasitic junctions. As a result, SOIbased devices exhibit reduced internal heating as compared to the conventional silicon devices, consume less power, and can withstand higher operating temperatures. In addition, SOI electronic integrated circuits display good tolerance to radiation by virtue of introducing barriers or lengthening the path for penetrating particles and/or providing a region for trapping incident ionization. The benefits of these parts make them suitable for use in deep space and planetary exploration missions where extreme temperatures and radiation are encountered. Although designed for high temperatures, very little data exist on the operation of SOI devices and circuits at cryogenic temperatures. In this work, the performance of a commercial-off-the-shelf (COTS) SOI half-bridge driver integrated circuit was evaluated under extreme temperatures and thermal cycling. The investigations were carried out to establish a baseline on the functionality and to determine suitability of this device for use in space exploration missions under extreme temperature conditions.

  2. Performance study of double SOI image sensors

    Science.gov (United States)

    Miyoshi, T.; Arai, Y.; Fujita, Y.; Hamasaki, R.; Hara, K.; Ikegami, Y.; Kurachi, I.; Nishimura, R.; Ono, S.; Tauchi, K.; Tsuboyama, T.; Yamada, M.

    2018-02-01

    Double silicon-on-insulator (DSOI) sensors composed of two thin silicon layers and one thick silicon layer have been developed since 2011. The thick substrate consists of high resistivity silicon with p-n junctions while the thin layers are used as SOI-CMOS circuitry and as shielding to reduce the back-gate effect and crosstalk between the sensor and the circuitry. In 2014, a high-resolution integration-type pixel sensor, INTPIX8, was developed based on the DSOI concept. This device is fabricated using a Czochralski p-type (Cz-p) substrate in contrast to a single SOI (SSOI) device having a single thin silicon layer and a Float Zone p-type (FZ-p) substrate. In the present work, X-ray spectra of both DSOI and SSOI sensors were obtained using an Am-241 radiation source at four gain settings. The gain of the DSOI sensor was found to be approximately three times that of the SSOI device because the coupling capacitance is reduced by the DSOI structure. An X-ray imaging demonstration was also performed and high spatial resolution X-ray images were obtained.

  3. Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation

    International Nuclear Information System (INIS)

    Dong Yemin; Chen Meng; Chen Jing; Wang Xiang; Wang Xi

    2004-01-01

    Hybrid substrates comprising both silicon-on-insulator (SOI) and bulk Si regions have been fabricated using the technique of patterned separation by implantation of oxygen (SIMOX) with high-dose (1.5 x 10 18 cm -2 ) and low-dose ((1.5-3.5) x 10 17 cm -2 ) oxygen ions, respectively. Cross-sectional transmission electron microscopy (XTEM) was employed to examine the microstructures of the resulting materials. Experimental results indicate that the SOI/Si hybrid substrate fabricated using high-dose SIMOX is of inferior quality with very large surface height step and heavily damaged transitions between the SOI and bulk regions. However, the quality of the SOI/Si hybrid substrate is enhanced dramatically by reducing the implant dose. The defect density in transitions is reduced considerably. Moreover, the expected surface height difference does not exist and the surface is exceptionally flat. The possible mechanisms responsible for the improvements in quality are discussed

  4. Output-Conductance Transition-Free Method for Improving Radio-Frequency Linearity of SOI MOSFET Circuits

    Directory of Open Access Journals (Sweden)

    A. Daghighi

    2013-09-01

    Full Text Available In this article, a novel concept is introduced to improve the radio frequency (RF linearity of partially-depleted (PD silicon-on-insulator (SOI MOSFET circuits. The transition due to the non-zero body resistance (RBody in output conductance of PD SOI devices leads to linearity degradation. A relation for RBody is defined to eliminate the transition and a method to obtain transition-free circuit is shown. 3-D Simulations of various body-contacted devices are carried out to extract the transition-free body resistances. To identify the output conductance transition-free concept and its application to RF circuits, a 2.4 GHz low noise amplifier (LNA is analyzed. Mixed mode device-circuit analysis is carried out to simultaneously solve device transport equations and circuit spice models. FFT calculations are performed on the output signal to compute harmonic distortion figures. Comparing the conventional body-contacted and transition-free SOI LNAs, third harmonic distortion (HD3 and total harmonic distortion (THD are improved by 16% and 24%, respectively. Two-tone test is used to analyze third order intermodulation distortions. OIP3 is improved in transition-free SOI LNA by 17% comparing with the conventional body-contacted SOI LNA. These results show the possibility of application of transition-free design concept to improve linearity of RF SOI MOSFET circuits.

  5. Electronics and Sensor Study with the OKI SOI process

    CERN Document Server

    Arai, Yasuo

    2007-01-01

    While the SOI (Silicon-On-Insulator) device concept is very old, commercialization of the technology is relatively new and growing rapidly in high-speed processor and lowpower applications. Furthermore, features such as latch-up immunity, radiation hardness and high-temperature operation are very attractive in high energy and space applications. Once high-quality bonded SOI wafers became available in the late 90s, it opened up the possibility to get two different kinds of Si on a single wafer. This makes it possible to realize an ideal pixel detector; pairing a fully-depleted radiation sensor with CMOS circuitry in an industrial technology. In 2005 we started Si pixel R&D with OKI Electric Ind. Co., Ltd. which is the first market supplier of Fully-Depleted SOI products. We have developed processes for p+/n+ implants to the substrate and for making connections between the implants and circuits in the OKI 0.15μm FD-SOI CMOS process. We have preformed two Multi Project Wafer (MPW) runs using this SOI proces...

  6. A Demonstrator Analog Signal Processing Circuit in a Radiation Hard SOI-CMOS Technology

    CERN Multimedia

    2002-01-01

    % RD-9 A Demonstrator Analog Signal Processing Circuit in a Radiation Hard SOI-CMOS Technology \\\\ \\\\Radiation hardened SOI-CMOS (Silicon-On-Insulator, Complementary Metal-Oxide- \\linebreak Semiconductor planar microelectronic circuit technology) was a likely candidate technology for mixed analog-digital signal processing electronics in experiments at the future high luminosity hadron colliders. We have studied the analog characteristics of circuit designs realized in the Thomson TCS radiation hard technologies HSOI3-HD. The feature size of this technology was 1.2 $\\mu$m. We have irradiated several devices up to 25~Mrad and 3.10$^{14}$ neutrons cm$^{-2}$. Gain, noise characteristics and speed have been measured. Irradiation introduces a degradation which in the interesting bandwidth of 0.01~MHz~-~1~MHz is less than 40\\%. \\\\ \\\\Some specific SOI phenomena have been studied in detail, like the influence on the noise spectrum of series resistence in the thin silicon film that constitutes the body of the transistor...

  7. Automotive SOI-BCD Technology Using Bonded Wafers

    International Nuclear Information System (INIS)

    Himi, H.; Fujino, S.

    2008-01-01

    The SOI-BCD device is excelling in high temperature operation and noise immunity because the integrated elements can be electrically separated by dielectric isolation. We have promptly paid attention to this feature and have concentrated to develop SOI-BCD devices seeking to match the automotive requirement. In this paper, the feature technologies specialized for automotive SOI-BCD devices, such as buried N + layer for impurity gettering and noise shielding, LDMOS with improved ESD robustness, crystal defect-less process, and wafer direct bonding through the amorphous layer for intelligent power IC are introduced.

  8. Ultrabroadband Hybrid III-V/SOI Grating Reflector for On-chip Lasers

    DEFF Research Database (Denmark)

    Park, Gyeong Cheol; Taghizadeh, Alireza; Chung, Il-Sug

    2016-01-01

    We report on a new type of III-V/SOI grating reflector with a broad stopband of 350 nm. This reflector has promising prospects for applications in high-speed III-V/SOI vertical cavity lasers with an improved heat dissipation capability.......We report on a new type of III-V/SOI grating reflector with a broad stopband of 350 nm. This reflector has promising prospects for applications in high-speed III-V/SOI vertical cavity lasers with an improved heat dissipation capability....

  9. Advanced Liquid-Free, Piezoresistive, SOI-Based Pressure Sensors for Measurements in Harsh Environments

    Directory of Open Access Journals (Sweden)

    Ha-Duong Ngo

    2015-08-01

    Full Text Available In this paper we present and discuss two innovative liquid-free SOI sensors for pressure measurements in harsh environments. The sensors are capable of measuring pressures at high temperatures. In both concepts media separation is realized using a steel membrane. The two concepts represent two different strategies for packaging of devices for use in harsh environments and at high temperatures. The first one is a “one-sensor-one-packaging_technology” concept. The second one uses a standard flip-chip bonding technique. The first sensor is a “floating-concept”, capable of measuring pressures at temperatures up to 400 °C (constant load with an accuracy of 0.25% Full Scale Output (FSO. A push rod (mounted onto the steel membrane transfers the applied pressure directly to the center-boss membrane of the SOI-chip, which is placed on a ceramic carrier. The chip membrane is realized by Deep Reactive Ion Etching (DRIE or Bosch Process. A novel propertied chip housing employing a sliding sensor chip that is fixed during packaging by mechanical preloading via the push rod is used, thereby avoiding chip movement, and ensuring optimal push rod load transmission. The second sensor can be used up to 350 °C. The SOI chips consists of a beam with an integrated centre-boss with was realized using KOH structuring and DRIE. The SOI chip is not “floating” but bonded by using flip-chip technology. The fabricated SOI sensor chip has a bridge resistance of 3250 Ω. The realized sensor chip has a sensitivity of 18 mV/µm measured using a bridge current of 1 mA.

  10. Advanced Liquid-Free, Piezoresistive, SOI-Based Pressure Sensors for Measurements in Harsh Environments.

    Science.gov (United States)

    Ngo, Ha-Duong; Mukhopadhyay, Biswaijit; Ehrmann, Oswin; Lang, Klaus-Dieter

    2015-08-18

    In this paper we present and discuss two innovative liquid-free SOI sensors for pressure measurements in harsh environments. The sensors are capable of measuring pressures at high temperatures. In both concepts media separation is realized using a steel membrane. The two concepts represent two different strategies for packaging of devices for use in harsh environments and at high temperatures. The first one is a "one-sensor-one-packaging_technology" concept. The second one uses a standard flip-chip bonding technique. The first sensor is a "floating-concept", capable of measuring pressures at temperatures up to 400 °C (constant load) with an accuracy of 0.25% Full Scale Output (FSO). A push rod (mounted onto the steel membrane) transfers the applied pressure directly to the center-boss membrane of the SOI-chip, which is placed on a ceramic carrier. The chip membrane is realized by Deep Reactive Ion Etching (DRIE or Bosch Process). A novel propertied chip housing employing a sliding sensor chip that is fixed during packaging by mechanical preloading via the push rod is used, thereby avoiding chip movement, and ensuring optimal push rod load transmission. The second sensor can be used up to 350 °C. The SOI chips consists of a beam with an integrated centre-boss with was realized using KOH structuring and DRIE. The SOI chip is not "floating" but bonded by using flip-chip technology. The fabricated SOI sensor chip has a bridge resistance of 3250 Ω. The realized sensor chip has a sensitivity of 18 mV/µm measured using a bridge current of 1 mA.

  11. Investigation of veritcal graded channel doping in nanoscale fully-depleted SOI-MOSFET

    Science.gov (United States)

    Ramezani, Zeinab; Orouji, Ali A.

    2016-10-01

    For achieving reliable transistor, we investigate an amended channel doping (ACD) engineering which improves the electrical and thermal performances of fully-depleted silicon-on-insulator (SOI) MOSFET. We have called the proposed structure with the amended channel doping engineering as ACD-SOI structure and compared it with a conventional fully-depleted SOI MOSFET (C-SOI) with uniform doping distribution using 2-D ATLAS simulator. The amended channel doping is a vertical graded doping that is distributed from the surface of structure with high doping density to the bottom of channel, near the buried oxide, with low doping density. Short channel effects (SCEs) and leakage current suppress due to high barrier height near the source region and electric field modification in the ACD-SOI in comparison with the C-SOI structure. Furthermore, by lower electric field and electron temperature near the drain region that is the place of hot carrier generation, we except the improvement of reliability and gate induced drain lowering (GIDL) in the proposed structure. Undesirable Self heating effect (SHE) that become a critical challenge for SOI MOSFETs is alleviated in the ACD-SOI structure because of utilizing low doping density near the buried oxide. Thus, refer to accessible results, the ACD-SOI structure with graded distribution in vertical direction is a reliable device especially in low power and high temperature applications.

  12. Characterizing SOI Wafers By Use Of AOTF-PHI

    Science.gov (United States)

    Cheng, Li-Jen; Li, Guann-Pyng; Zang, Deyu

    1995-01-01

    Developmental nondestructive method of characterizing layers of silicon-on-insulator (SOI) wafer involves combination of polarimetric hyperspectral imaging by use of acousto-optical tunable filters (AOTF-PHI) and computational resources for extracting pertinent data on SOI wafers from polarimetric hyperspectral images. Offers high spectral resolution and both ease and rapidity of optical-wavelength tuning. Further efforts to implement all of processing of polarimetric spectral image data in special-purpose hardware for sake of procesing speed. Enables characterization of SOI wafers in real time for online monitoring and adjustment of production. Also accelerates application of AOTF-PHI to other applications in which need for high-resolution spectral imaging, both with and without polarimetry.

  13. VCSELs and silicon light sources exploiting SOI grating mirrors

    DEFF Research Database (Denmark)

    Chung, Il-Sug; Mørk, Jesper

    2012-01-01

    In this talk, novel vertical-cavity laser structure consisting of a dielectric Bragg reflector, a III-V active region, and a high-index-contrast grating made in the Si layer of a silicon-on-insulator (SOI) wafer will be presented. In the Si light source version of this laser structure, the SOI...... the Bragg reflector. Numerical simulations show that both the silicon light source and the VCSEL exploiting SOI grating mirrors have superior performances, compared to existing silicon light sources and long wavelength VCSELs. These devices are highly adequate for chip-level optical interconnects as well...

  14. Electrical characterization of thin SOI wafers using lateral MOS transient capacitance measurements

    International Nuclear Information System (INIS)

    Wang, D.; Ueda, A.; Takada, H.; Nakashima, H.

    2006-01-01

    A novel electrical evaluation method was proposed for crystal quality characterization of thin Si on insulator (SOI) wafers, which was done by measurement of minority carrier generation lifetime (τ g ) using transient capacitance method for lateral metal-oxide-semiconductor (MOS) capacitor. The lateral MOS capacitors were fabricated on three kinds of thin SOI wafers. The crystal quality difference among these three wafers was clearly shown by the τ g measurement results and discussed from a viewpoint of SOI fabrication. The series resistance influence on the capacitance measurement for this lateral MOS capacitor was discussed in detail. The validity of this method was confirmed by comparing the intensities of photoluminescence signals due to electron-hole droplet in the band-edge emission

  15. Room to high temperature measurements of flexible SOI FinFETs with sub-20-nm fins

    KAUST Repository

    Diab, Amer El Hajj

    2014-12-01

    We report the temperature dependence of the core electrical parameters and transport characteristics of a flexible version of fin field-effect transistor (FinFET) on silicon-on-insulator (SOI) with sub-20-nm wide fins and high-k/metal gate-stacks. For the first time, we characterize them from room to high temperature (150 °C) to show the impact of temperature variation on drain current, gate leakage current, and transconductance. Variation of extracted parameters, such as low-field mobility, subthreshold swing, threshold voltage, and ON-OFF current characteristics, is reported too. Direct comparison is made to a rigid version of the SOI FinFETs. The mobility degradation with temperature is mainly caused by phonon scattering mechanism. The overall excellent devices performance at high temperature after release is outlined proving the suitability of truly high-performance flexible inorganic electronics with such advanced architecture.

  16. High performance flexible CMOS SOI FinFETs

    KAUST Repository

    Fahad, Hossain M.

    2014-06-01

    We demonstrate the first ever CMOS compatible soft etch back based high performance flexible CMOS SOI FinFETs. The move from planar to non-planar FinFETs has enabled continued scaling down to the 14 nm technology node. This has been possible due to the reduction in off-state leakage and reduced short channel effects on account of the superior electrostatic charge control of multiple gates. At the same time, flexible electronics is an exciting expansion opportunity for next generation electronics. However, a fully integrated low-cost system will need to maintain ultra-large-scale-integration density, high performance and reliability - same as today\\'s traditional electronics. Up until recently, this field has been mainly dominated by very weak performance organic electronics enabled by low temperature processes, conducive to low melting point plastics. Now however, we show the world\\'s highest performing flexible version of 3D FinFET CMOS using a state-of-the-art CMOS compatible fabrication technique for high performance ultra-mobile consumer applications with stylish design. © 2014 IEEE.

  17. Nonlinear Parasitic Capacitance Modelling of High Voltage Power MOSFETs in Partial SOI Process

    DEFF Research Database (Denmark)

    Fan, Lin; Knott, Arnold; Jørgensen, Ivan Harald Holger

    2016-01-01

    : off-state, sub-threshold region, and on-state in the linear region. A high voltage power MOSFET is designed in a partial Silicon on Insulator (SOI) process, with the bulk as a separate terminal. 3D plots and contour plots of the capacitances versus bias voltages for the transistor summarize...

  18. Propriété de soi et indifférence morale du rapport à soi

    Directory of Open Access Journals (Sweden)

    Nathalie Maillard Romagnoli

    2011-05-01

    Full Text Available Je m’interroge dans cet article sur les implications du principe libertarien de la pleine propriété de soi sur la question du rapport moral à soi-même. À travers le principe de la pleine propriété de soi, les libertariens défendent la liberté entière de chacun de vivre comme il l���entend, pourvu que les droits des autres soient respectés. Apparemment, ce principe n’a pas grand-chose à nous dire sur ce que nous sommes moralement autorisés à nous faire à nous-mêmes ou non. Certains libertariens, comme Vallentyne, soutiennent toutefois que le principe de la pleine propriété de soi est incompatible avec l’existence de devoirs envers soi. La pleine propriété de soi impliquerait l’indifférence morale du rapport à soi. Je soutiens dans cet article que le principe de la pleine propriété de soi n’implique pas que ce que nous nous faisons à nous-mêmes soit moralement indifférent. Je veux aussi montrer que même si les libertariens, et en particulier Vallentyne, soutiennent la thèse de l’indifférence morale du rapport à soi, celle-ci n’est pas liée à la thèse de la pleine propriété de soi, mais bien plutôt à leur subjectivisme moral.ABSTRACTI ask in this article what the libertarian principle of full self-ownership has to say about volontary actions directed towards oneself. Through the principle of full self-ownership, libertarians defend the persons’ individual liberty to live as they choose to do, as long as they don’t infringe on the rights of others. Apparently, this principle doesn’t have much to say about what we are morally allowed to do to ourselves or not. Some libertarians, however, like Vallentyne, maintain that, if we have duties or obligations to ourselves, then we cannot be full self-owner. In this perspective, full self-ownership would imply that what we do to ourselves is morally indifferent. I want to show in this article that full self-ownership is compatible with the

  19. A Monolithic Active Pixel Sensor for ionizing radiation using a 180 nm HV-SOI process

    Energy Technology Data Exchange (ETDEWEB)

    Hemperek, Tomasz, E-mail: hemperek@uni-bonn.de; Kishishita, Tetsuichi; Krüger, Hans; Wermes, Norbert

    2015-10-01

    An improved SOI-MAPS (Silicon On Insulator Monolithic Active Pixel Sensor) for ionizing radiation based on thick-film High Voltage SOI technology (HV-SOI) has been developed. Similar to existing Fully Depleted SOI-based (FD-SOI) MAPS, a buried silicon oxide inter-dielectric (BOX) layer is used to separate the CMOS electronics from the handle wafer which is used as a depleted charge collection layer. FD-SOI MAPS suffers from radiation damage such as transistor threshold voltage shifts due to charge traps in the oxide layers and charge states created at the silicon oxide boundaries (back gate effect). The X-FAB 180-nm HV-SOI technology offers an additional isolation by deep non-depleted implant between the BOX layer and the active circuitry which mitigates this problem. Therefore we see in this technology a high potential to implement radiation-tolerant MAPS with fast charge collection property. The design and measurement results from a first prototype are presented including charge collection in neutron irradiated samples.

  20. Modeling of the Channel Thickness Influence on Electrical Characteristics and Series Resistance in Gate-Recessed Nanoscale SOI MOSFETs

    Directory of Open Access Journals (Sweden)

    A. Karsenty

    2013-01-01

    Full Text Available Ultrathin body (UTB and nanoscale body (NSB SOI-MOSFET devices, sharing a similar W/L but with a channel thickness of 46 nm and lower than 5 nm, respectively, were fabricated using a selective “gate-recessed” process on the same silicon wafer. Their current-voltage characteristics measured at room temperature were found to be surprisingly different by several orders of magnitude. We analyzed this result by considering the severe mobility degradation and the influence of a huge series resistance and found that the last one seems more coherent. Then the electrical characteristics of the NSB can be analytically derived by integrating a gate voltage-dependent drain source series resistance. In this paper, the influence of the channel thickness on the series resistance is reported for the first time. This influence is integrated to the analytical model in order to describe the trends of the saturation current with the channel thickness. This modeling approach may be useful to interpret anomalous electrical behavior of other nanodevices in which series resistance and/or mobility degradation is of a great concern.

  1. A 680 V LDMOS on a thin SOI with an improved field oxide structure and dual field plate

    International Nuclear Information System (INIS)

    Wang Zhongjian; Cheng Xinhong; Xia Chao; Xu Dawei; Cao Duo; Song Zhaorui; Yu Yuehui; Shen Dashen

    2012-01-01

    A 680 V LDMOS on a thin SOI with an improved field oxide (FOX) and dual field plate was studied experimentally. The FOX structure was formed by an 'oxidation-etch-oxidation' process, which took much less time to form, and had a low protrusion profile. A polysilicon field plate extended to the FOX and a long metal field plate was used to improve the specific on-resistance. An optimized drift region implant for linear-gradient doping was adopted to achieve a uniform lateral electric field. Using a SimBond SOI wafer with a 1.5 μm top silicon and a 3 μm buried oxide layer, CMOS compatible SOI LDMOS processes are designed and implemented successfully. The off-state breakdown voltage reached 680 V, and the specific on-resistance was 8.2 Ω·mm 2 . (semiconductor devices)

  2. Design and fabrication of piezoresistive p-SOI Wheatstone bridges for high-temperature applications

    Science.gov (United States)

    Kähler, Julian; Döring, Lutz; Merzsch, Stephan; Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2011-06-01

    For future measurements while depth drilling, commercial sensors are required for a temperature range from -40 up to 300 °C. Conventional piezoresistive silicon sensors cannot be used at higher temperatures due to an exponential increase of leakage currents which results in a drop of the bridge voltage. A well-known procedure to expand the temperature range of silicon sensors and to reduce leakage currents is to employ Silicon-On-Insulator (SOI) instead of standard wafer material. Diffused resistors can be operated up to 200 °C, but show the same problems beyond due to leakage of the p-njunction. Our approach is to use p-SOI where resistors as well as interconnects are defined by etching down to the oxide layer. Leakage is suppressed and the temperature dependence of the bridges is very low (TCR = (2.6 +/- 0.1) μV/K@1 mA up to 400 °C). The design and process flow will be presented in detail. The characteristics of Wheatstone bridges made of silicon, n- SOI, and p-SOI will be shown for temperatures up to 300 °C. Besides, thermal FEM-simulations will be described revealing the effect of stress between silicon and the silicon-oxide layer during temperature cycling.

  3. A high efficiency lateral light emitting device on SOI

    NARCIS (Netherlands)

    Hoang, T.; Le Minh, P.; Holleman, J.; Zieren, V.; Goossens, M.J.; Schmitz, Jurriaan

    2005-01-01

    The infrared light emission of lateral p/sup +/-p-n/sup +/ diodes realized on SIMOX-SOI (separation by implantation of oxygen - silicon on insulator) substrates has been studied. The confinement of the free carriers in one dimension due to the buried oxide was suggested to be a key point to increase

  4. A monolithic active pixel sensor for ionizing radiation using a 180 nm HV-SOI process

    Energy Technology Data Exchange (ETDEWEB)

    Hemperek, Tomasz; Kishishita, Tetsuichi; Krueger, Hans; Wermes, Norbert [Institute of Physics, University of Bonn, Bonn (Germany)

    2016-07-01

    An improved SOI-MAPS (Silicon On Insulator Monolithic Active Pixel Sensor) for ionizing radiation based on thick-180 nm High Voltage SOI technology (HV-SOI) has been developed. Similar to existing Fully Depleted SOI-based (FD-SOI) MAPS, a buried silicon oxide inter-dielectric (BOX) layer is used to separate the CMOS electronics from the handle wafer which is used as a depleted charge collection layer. Standard FD-SOI MAPS suffer from radiation damage such as transistor threshold voltage shifts due to trapped charge in the buried oxide layer and charged interface states created at the silicon oxide boundaries (back gate effect). The X-FAB 180 nm HV-SOI technology offers an additional isolation using a deep non-depleted implant between the BOX layer and the active circuitry which mitigates this problem. Therefore we see in this technology a high potential to implement radiation-tolerant MAPS with fast charge collection. The design and measurement results from first prototypes are presented including radiation tolerance to total ionizing dose and charge collection properties of neutron irradiated samples.

  5. Method to improve commercial bonded SOI material

    Science.gov (United States)

    Maris, Humphrey John; Sadana, Devendra Kumar

    2000-07-11

    A method of improving the bonding characteristics of a previously bonded silicon on insulator (SOI) structure is provided. The improvement in the bonding characteristics is achieved in the present invention by, optionally, forming an oxide cap layer on the silicon surface of the bonded SOI structure and then annealing either the uncapped or oxide capped structure in a slightly oxidizing ambient at temperatures greater than 1200.degree. C. Also provided herein is a method for detecting the bonding characteristics of previously bonded SOI structures. According to this aspect of the present invention, a pico-second laser pulse technique is employed to determine the bonding imperfections of previously bonded SOI structures.

  6. SOI technology for power management in automotive and industrial applications

    Science.gov (United States)

    Stork, Johannes M. C.; Hosey, George P.

    2017-02-01

    Semiconductor on Insulator (SOI) technology offers an assortment of opportunities for chip manufacturers in the Power Management market. Recent advances in the automotive and industrial markets, along with emerging features, the increasing use of sensors, and the ever-expanding "Internet of Things" (IoT) are providing for continued growth in these markets while also driving more complex solutions. The potential benefits of SOI include the ability to place both high-voltage and low-voltage devices on a single chip, saving space and cost, simplifying designs and models, and improving performance, thereby cutting development costs and improving time to market. SOI also offers novel new approaches to long-standing technologies.

  7. Performance analysis of SOI MOSFET with rectangular recessed channel

    Science.gov (United States)

    Singh, M.; Mishra, S.; Mohanty, S. S.; Mishra, G. P.

    2016-03-01

    In this paper a two dimensional (2D) rectangular recessed channel-silicon on insulator metal oxide semiconductor field effect transistor (RRC-SOI MOSFET), using the concept of groove between source and drain regions, which is one of the channel engineering technique to suppress the short channel effect (SCE). This suppression is mainly due to corner potential barrier of the groove and the simulation is carried out by using ATLAS 2D device simulator. To have further improvement of SCE in RRC-SOI MOSFET, three more devices are designed by using dual material gate (DMG) and gate dielectric technique, which results in formation of devices i.e. DMRRC-SOI,MLSMRRC-SOI, MLDMRRC-SOI MOSFET. The effect of different structures of RRC-SOI on AC and RF parameters are investigated and the importance of these devices over RRC MOSFET regarding short channel effect is analyzed.

  8. Electrical characteristics of SiGe-base bipolar transistors on thin-film SOI substrates

    International Nuclear Information System (INIS)

    Liao, Shu-Hui; Chang, Shu-Tong

    2010-01-01

    This paper, based on two-dimensional simulations, provides a comprehensive analysis of the electrical characteristics of the Silicon germanium (SiGe)-base bipolar transistors on thin-film siliconon-insulator (SOI) substrates. The impact of the buried oxide thickness (T OX ), the emitter width (W E ), and the lateral distance between the edge of the intrinsic base and the reach-through region (L col ) on both the AC and DC device characteristics was analyzed in detail. Regarding the DC characteristics, the simulation results suggest that a thicker T OX gives a larger base-collector breakdown voltage (BV CEO ), whereas reducing the T OX leads to an enhanced maximum electric field at the B-C junction. As for the AC characteristics, cut-off frequency (f T ) increases slightly with increasing buried oxide thickness and finally saturates to a constant value when the buried oxide thickness is about 0.15 μm. The collector-substrate capacitance (C CS ) decreases with increasing buried oxide thickness while the maximum oscillation frequency (f max ) increases with increasing buried oxide thickness. Furthermore, the impact of self-heating effects in the device was analyzed in various areas. The thermal resistance as a function of the buried oxide thickness indicates that the thermal resistance of the SiGe-base bipolar transistor on a SOI substrate is slightly higher than that of a bulk SiGe-base bipolar transistor. The thermal resistance is reduced by ∼37.89% when the emitter width is increased by a factor of 5 for a fixed buried oxide thickness of 0.1 μm. All the results can be used to design and optimize SiGe-base bipolar transistors on SOI substrates with minimum thermal resistance to enhance device performance.

  9. Performance analysis of SOI MOSFET with rectangular recessed channel

    International Nuclear Information System (INIS)

    Singh, M; Mishra, G P; Mishra, S; Mohanty, S S

    2016-01-01

    In this paper a two dimensional (2D) rectangular recessed channel–silicon on insulator metal oxide semiconductor field effect transistor (RRC-SOI MOSFET), using the concept of groove between source and drain regions, which is one of the channel engineering technique to suppress the short channel effect (SCE). This suppression is mainly due to corner potential barrier of the groove and the simulation is carried out by using ATLAS 2D device simulator. To have further improvement of SCE in RRC-SOI MOSFET, three more devices are designed by using dual material gate (DMG) and gate dielectric technique, which results in formation of devices i.e. DMRRC-SOI,MLSMRRC-SOI, MLDMRRC-SOI MOSFET. The effect of different structures of RRC-SOI on AC and RF parameters are investigated and the importance of these devices over RRC MOSFET regarding short channel effect is analyzed. (paper)

  10. Gate Engineering in SOI LDMOS for Device Reliability

    Directory of Open Access Journals (Sweden)

    Aanand

    2016-01-01

    Full Text Available A linearly graded doping drift region with step gate structure, used for improvement of reduced surface field (RESURF SOI LDMOS transistor performance has been simulated with 0.35µm technology in this paper. The proposed device has one poly gate and double metal gate arranged in a stepped manner, from channel to drift region. The first gate uses n+ poly (near source where as other two gates of aluminium. The first gate with thin gate oxide has good control over the channel charge. The third gate with thick gate oxide at drift region reduce gate to drain capacitance. The arrangement of second and third gates in a stepped manner in drift region spreads the electric field uniformly. Using two dimensional device simulations, the proposed SOI LDMOS is compared with conventional structure and the extended metal structure. We demonstrate that the proposed device exhibits significant enhancement in linearity, breakdown voltage, on-resistance and HCI. Double metal gate reduces the impact ionization area which helps to improve the Hot Carrier Injection effect..

  11. BUSFET -- A radiation-hardened SOI transistor

    International Nuclear Information System (INIS)

    Schwank, J.R.; Shaneyfelt, M.R.; Draper, B.L.; Dodd, P.E.

    1999-01-01

    The total-dose hardness of SOI technology is limited by radiation-induced charge trapping in gate, field, and SOI buried oxides. Charge trapping in the buried oxide can lead to back-channel leakage and makes hardening SOI transistors more challenging than hardening bulk-silicon transistors. Two avenues for hardening the back-channel are (1) to use specially prepared SOI buried oxides that reduce the net amount of trapped positive charge or (2) to design transistors that are less sensitive to the effects of trapped charge in the buried oxide. In this work, the authors propose a partially-depleted SOI transistor structure for mitigating the effects of trapped charge in the buried oxide on radiation hardness. They call this structure the BUSFET--Body Under Source FET. The BUSFET utilizes a shallow source and a deep drain. As a result, the silicon depletion region at the back channel caused by radiation-induced charge trapping in the buried oxide does not form a conducting path between source and drain. Thus, the BUSFET structure design can significantly reduce radiation-induced back-channel leakage without using specially prepared buried oxides. Total dose hardness is achieved without degrading the intrinsic SEU or dose rate hardness of SOI technology. The effectiveness of the BUSFET structure for reducing total-dose back-channel leakage depends on several variables, including the top silicon film thickness and doping concentration, and the depth of the source. 3-D simulations show that for a body doping concentration of 10 18 cm -3 , a drain bias of 3 V, and a source depth of 90 nm, a silicon film thickness of 180 nm is sufficient to almost completely eliminate radiation-induced back-channel leakage. However, for a doping concentration of 3 x 10 17 cm -3 , a thicker silicon film (300 nm) must be used

  12. BUSFET - A Novel Radiation-Hardened SOI Transistor

    International Nuclear Information System (INIS)

    Dodd, P.E.; Draper, B.L.; Schwank, J.R.; Shaneyfelt, M.R.

    1999-01-01

    A partially-depleted SOI transistor structure has been designed that does not require the use of specially-processed hardened buried oxides for total-dose hardness and maintains the intrinsic SEU and dose rate hardness advantages of SOI technology

  13. Characterization of SOI monolithic detector system

    Science.gov (United States)

    Álvarez-Rengifo, P. L.; Soung Yee, L.; Martin, E.; Cortina, E.; Ferrer, C.

    2013-12-01

    A monolithic active pixel sensor for charged particle tracking was developed. This research is performed within the framework of an R&D project called TRAPPISTe (Tracking Particles for Physics Instrumentation in SOI Technology) whose aim is to evaluate the feasibility of developing a Monolithic Active Pixel Sensor (MAPS) with Silicon-on-Insulator (SOI) technology. Two chips were fabricated: TRAPPISTe-1 and TRAPPISTe-2. TRAPPISTe-1 was produced at the WINFAB facility at the Université catholique de Louvain (UCL), Belgium, in a 2 μm fully depleted (FD-SOI) CMOS process. TRAPPISTe-2 was fabricated with the LAPIS 0.2 μm FD-SOI CMOS process. The electrical characterization on single transistor test structures and of the electronic readout for the TRAPPISTe series of monolithic pixel detectors was carried out. The behavior of the prototypes’ electronics as a function of the back voltage was studied. Results showed that both readout circuits exhibited sensitivity to the back voltage. Despite this unwanted secondary effect, the responses of TRAPPISTe-2 amplifiers can be improved by a variation in the circuit parameters.

  14. A Demonstration of TIA Using FD-SOI CMOS OPAMP for Far-Infrared Astronomy

    Science.gov (United States)

    Nagase, Koichi; Wada, Takehiko; Ikeda, Hirokazu; Arai, Yasuo; Ohno, Morifumi; Hanaoka, Misaki; Kanada, Hidehiro; Oyabu, Shinki; Hattori, Yasuki; Ukai, Sota; Suzuki, Toyoaki; Watanabe, Kentaroh; Baba, Shunsuke; Kochi, Chihiro; Yamamoto, Keita

    2016-07-01

    We are developing a fully depleted silicon-on-insulator (FD-SOI) CMOS readout integrated circuit (ROIC) operated at temperatures below ˜ 4 K. Its application is planned for the readout circuit of high-impedance far-infrared detectors for astronomical observations. We designed a trans-impedance amplifier (TIA) using a CMOS operational amplifier (OPAMP) with FD-SOI technique. The TIA is optimized to readout signals from a germanium blocked impurity band (Ge BIB) detector which is highly sensitive to wavelengths of up to ˜ 200 \\upmu m. For the first time, we demonstrated the FD-SOI CMOS OPAMP combined with the Ge BIB detector at 4.5 K. The result promises to solve issues faced by conventional cryogenic ROICs.

  15. BUSFET - A Novel Radiation-Hardened SOI Transistor

    International Nuclear Information System (INIS)

    Schwank, J.R.; Shaneyfelt, M.R.; Draper, B.L.; Dodd, P.E.

    1999-01-01

    The total-dose hardness of SOI technology is limited by radiation-induced charge trapping in gate, field, and SOI buried oxides. Charge trapping in the buried oxide can lead to back-channel leakage and makes hardening SOI transistors more challenging than hardening bulk-silicon transistors. Two avenues for hardening the back-channel are (1) to use specially prepared SOI buried oxides that reduce the net amount of trapped positive charge or (2) to design transistors that are less sensitive to the effects of trapped charge in the buried oxide. In this work, we propose a new partially-depleted SOI transistor structure that we call the BUSFET--Body Under Source FET. The BUSFET utilizes a shallow source and a deep drain. As a result, the silicon depletion region at the back channel caused by radiation-induced charge trapping in the buried oxide does not form a conducting path between source and drain. Thus, the BUSFET structure design can significantly reduce radiation-induced back-channel leakage without using specially prepared buried oxides. Total dose hardness is achieved without degrading the intrinsic SEU and dose rate hardness of SOI technology. The effectiveness of the BUSFET structure for reducing total-dose back-channel leakage depends on several variables, including the top silicon film thickness and doping concentration and the depth of the source. 3-D simulations show that for a doping concentration of 10 18 cm -3 and a source depth of 90 nm, a silicon film thickness of 180 nm is sufficient to almost completely eliminate radiation-induced back-channel leakage. However, for a doping concentration of 3x10 17 cm -3 , a thicker silicon film (300 nm) must be used

  16. Improved operation of graded-channel SOI nMOSFETs down to liquid helium temperature

    Science.gov (United States)

    Pavanello, Marcelo Antonio; de Souza, Michelly; Ribeiro, Thales Augusto; Martino, João Antonio; Flandre, Denis

    2016-11-01

    This paper presents the operation of Graded-Channel (GC) Silicon-On-Insulator (SOI) nMOSFETs at low temperatures down to liquid helium temperature in comparison to standard uniformly doped transistors. Devices from two different technologies have been measured and show that the mobility increase rate with temperature for GC SOI transistors is similar to uniformly doped devices for temperatures down to 90 K. However, at liquid helium temperature the rate of mobility increase is larger in GC SOI than in standard devices because of the different mobility scattering mechanisms. The analog properties of GC SOI devices have been investigated down to 4.16 K and show that because of its better transconductance and output conductance, an intrinsic voltage gain improvement with temperature is also obtained for devices in the whole studied temperature range. GC devices are also capable of reducing the impact ionization due to the high electric field in the drain region, increasing the drain breakdown voltage of fully-depleted SOI MOSFETs at any studied temperature and the kink voltage at 4.16 K.

  17. A novel δ-doped partially insulated dopant-segregated Schottky barrier SOI MOSFET for analog/RF applications

    International Nuclear Information System (INIS)

    Patil, Ganesh C; Qureshi, S

    2011-01-01

    In this paper, a comparative analysis of single-gate dopant-segregated Schottky barrier (DSSB) SOI MOSFET and raised source/drain ultrathin-body SOI MOSFET (RSD UTB) has been carried out to explore the thermal efficiency, scalability and analog/RF performance of these devices. A novel p-type δ-doped partially insulated DSSB SOI MOSFET (DSSB Pi-OX-δ) has been proposed to reduce the self-heating effect and to improve the high-frequency performance of DSSB SOI MOSFET over RSD UTB. The improved analog/RF figures of merit such as transconductance, transconductance generation factor, unity-gain frequency, maximum oscillation frequency, short-circuit current gain and unilateral power gain in DSSB Pi-OX-δ MOSFET show the suitability of this device for analog/RF applications. The reduced drain-induced barrier lowering, subthreshold swing and parasitic capacitances also make this device highly scalable. By using mixed-mode simulation capability of MEDICI simulator a cascode amplifier has been implemented using all the structures (RSD UTB, DSSB SOI and DSSB Pi-OX-δ MOSFETs). The results of this implementation show that the gain-bandwidth product in the case of DSSB Pi-OX-δ MOSFET has improved by 50% as compared to RSD UTB and by 20% as compared to DSSB SOI MOSFET. The detailed fabrication flow of DSSB Pi-OX-δ MOSFET has been proposed which shows that with the bare minimum of steps the performance of DSSB SOI MOSFET can be improved significantly in comparison to RSD UTB

  18. Le soi et l’estime de soi chez l’enfant: Une revue systématique de la littérature

    OpenAIRE

    Pinto, Alexandra Maria Pereira Inácio Sequeira; Gatinho, Ana Rita dos Santos; Tereno, Susana; Veríssimo, Manuela

    2016-01-01

    Cette étude vise : a) à analyser les différentes méthodes utilisées pour l’étude du Soi et chez les enfants, en ce que concerne sa qualité et son potentiel et b) à synthétiser les résultats déjà obtenus en termes de Soi/d’estime de soi/d’autoconcept, pour les enfants en âge préscolaire. Après avoir établi des critères rigoureux d’inclusion et d’exclusion, 33 articles ont été sélectionnés, dans plusieurs bases de données, nationales et international...

  19. Process Optimization for Monolithic Integration of Piezoresistive Pressure Sensor and MOSFET Amplifier with SOI Approach

    International Nuclear Information System (INIS)

    Kumar, V Vinoth; Dasgupta, A; Bhat, K N; KNatarajan

    2006-01-01

    In this paper we present the design and process optimization for fabricating piezoresitive pressure sensor and MOSFET Differential Amplifier simultaneously on the same chip. Silicon On Insulator approach has been used for realizing the membrane as well as the electronics on the same chip. The amplifier circuit has been configured in the common source connection and it has been designed with PSPICE simulation to achieve a voltage gain of about 5. In the initial set of experiments the Pressure sensor and the amplifier were fabricated on separate chips to optimize the process steps and tested in the hybrid mode. In the next set of experiments, SOI wafer having the SOI layer thickness of about 11 microns was used for realizing the membrane by anisotropic etching from the backside. The piezo-resistive pressure sensor was realized on this membrane by connecting the polysilicon resistors in the form of a Wheatstone bridge. The MOSFET source follower amplifier was also fabricated on the same SOI wafer by tailoring the process steps to suit the requirement of simultaneous fabrication of piezoresistors and the amplifier for achieving MOSFET Integrated Pressure Sensor. Reproducible results have been achieved on the SOI wafers, with the process steps developed in the laboratory. Sensitivity of 270 mV /Bar/10V, with the on chip amplifier gain of 4.5, has been achieved with this process

  20. Monolithic integration of SOI waveguide photodetectors and transimpedance amplifiers

    Science.gov (United States)

    Li, Shuxia; Tarr, N. Garry; Ye, Winnie N.

    2018-02-01

    In the absence of commercial foundry technologies offering silicon-on-insulator (SOI) photonics combined with Complementary Metal Oxide Semiconductor (CMOS) transistors, monolithic integration of conventional electronics with SOI photonics is difficult. Here we explore the implementation of lateral bipolar junction transistors (LBJTs) and Junction Field Effect Transistors (JFETs) in a commercial SOI photonics technology lacking MOS devices but offering a variety of n- and p-type ion implants intended to provide waveguide modulators and photodetectors. The fabrication makes use of the commercial Institute of Microelectronics (IME) SOI photonics technology. Based on knowledge of device doping and geometry, simple compact LBJT and JFET device models are developed. These models are then used to design basic transimpedance amplifiers integrated with optical waveguides. The devices' experimental current-voltage characteristics results are reported.

  1. Croire en soi, croire en l'autre

    Directory of Open Access Journals (Sweden)

    Eugène Enriquez

    2014-04-01

    Full Text Available La croyance aux Dieux ou en un Dieu unique c'est-à-dire à l'incroyable est fort répandue et semble normale comme avoir confiance en soi et en l'autre. Mais croire en soi et en l'autre apparaît étonnant car ce serait se mettre sur le même rang que Dieu. Effectivement l'homme essaie de ressembler à Dieu. Mais à Dieu blessé, faillible, s'interrogeant constamment. Ce Dieu nouveau est un "sujet amoureux" amoureux de soi, de l'autre et de la vie. Il se conduit comme un "Dichter" assumant une responsabilité morale. Il est difficile, voire souvent impossible de se situer comme un "Dichter". C'est pourtant la tâche à laquelle l'homme contemporain est confronté.

  2. Process optimization of a deep trench isolation structure for high voltage SOI devices

    International Nuclear Information System (INIS)

    Zhu Kuiying; Qian Qinsong; Zhu Jing; Sun Weifeng

    2010-01-01

    The process reasons for weak point formation of the deep trench on SOI wafers have been analyzed in detail. An optimized trench process is also proposed. It is found that there are two main reasons: one is over-etching laterally of the silicon on the surface of the buried oxide caused by a fringe effect; and the other is the slow growth rate of the isolation oxide in the concave silicon corner of the trench bottom. In order to improve the isolation performance of the deep trench, two feasible ways for optimizing the trench process are proposed. The improved process thickens the isolation oxide and rounds sharp silicon corners at their weak points, increasing the applied voltage by 15-20 V at the same leakage current. The proposed new trench isolation process has been verified in the foundry's 0.5-μm HV SOI technology. (semiconductor devices)

  3. Hybrid III-V/SOI Resonant Cavity Photodetector

    DEFF Research Database (Denmark)

    Learkthanakhachon, Supannee; Taghizadeh, Alireza; Park, Gyeong Cheol

    2016-01-01

    A hybrid III-V/SOI resonant cavity photo detector has been demonstrated, which comprises an InP grating reflectorand a Si grating reflector. It can selectively detects an incident light with 1.54-µm wavelength and TM polarization.......A hybrid III-V/SOI resonant cavity photo detector has been demonstrated, which comprises an InP grating reflectorand a Si grating reflector. It can selectively detects an incident light with 1.54-µm wavelength and TM polarization....

  4. Scaling limits and reliability of SOI CMOS technology

    International Nuclear Information System (INIS)

    Ioannou, D E

    2005-01-01

    As bulk and PD-SOI CMOS approach their scaling limit (at gate length of around 50 nm), there is a renewed interest on FD-SOI because of its potential for continued scalability beyond this limit. In this review the performance and reliability of extremely scaled FD transistors are discussed and an attempt is made to identify critical areas for further research. (invited paper)

  5. Investigation of the stability of polysilicon layers in SOI-structures under irradiation by electrons and hard magnetic field influence

    Directory of Open Access Journals (Sweden)

    Khoverko Yu. N.

    2010-10-01

    Full Text Available The properties of recrystallized polysilicon on insulator layers of p-type conductive SOI-structures with different carrier concentration irradiated with high-energy electrons flow about 1017 сm–2 in temperature range 4,2—300 К and high magnetic fields were investigated. It was found that heavily doped laser recrystallized polysilicon on insulator layers show its radiation resistance under irradiation with high-energy electrons and magnetoresistance of such material remains quite low in magnetic field about 14 T does not exceed 1—2%. Such qulity can be applied in designing of microelectronic sensors of mechanical values operable in hard conditions of exploitation.

  6. Electron mobility in the inversion layers of fully depleted SOI films

    Energy Technology Data Exchange (ETDEWEB)

    Zaitseva, E. G., E-mail: ZaytsevaElza@yandex.ru; Naumova, O. V.; Fomin, B. I. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics, Siberian Branch (Russian Federation)

    2017-04-15

    The dependences of the electron mobility μ{sub eff} in the inversion layers of fully depleted double–gate silicon-on-insulator (SOI) metal–oxide–semiconductor (MOS) transistors on the density N{sub e} of induced charge carriers and temperature T are investigated at different states of the SOI film (inversion–accumulation) from the side of one of the gates. It is shown that at a high density of induced charge carriers of N{sub e} > 6 × 10{sup 12} cm{sup –2} the μeff(T) dependences allow the components of mobility μ{sub eff} that are related to scattering at surface phonons and from the film/insulator surface roughness to be distinguished. The μ{sub eff}(N{sub e}) dependences can be approximated by the power functions μ{sub eff}(N{sub e}) ∝ N{sub e}{sup −n}. The exponents n in the dependences and the dominant mechanisms of scattering of electrons induced near the interface between the SOI film and buried oxide are determined for different N{sub e} ranges and film states from the surface side.

  7. Experimental verification of temperature coefficients of resistance for uniformly doped P-type resistors in SOI

    Science.gov (United States)

    Olszacki, M.; Maj, C.; Bahri, M. Al; Marrot, J.-C.; Boukabache, A.; Pons, P.; Napieralski, A.

    2010-06-01

    Many today's microsystems like strain-gauge-based piezoresistive pressure sensors contain doped resistors. If one wants to predict correctly the temperature impact on the performance of such devices, the accurate data about the temperature coefficients of resistance (TCR) are essential. Although such data may be calculated using one of the existing mobility models, our experiments showed that we can observe the huge mismatch between the calculated and measured values. Thus, in order to investigate the TCR values, a set of the test structures that contained doped P-type resistors was fabricated. As the TCR value also depends on the doping profile shape, we decided to use the very thin, 340 nm thick SOI wafers in order to fabricate the quasi-uniformly doped silicon layers ranging from 2 × 1017 at cm-3 to 1.6 × 1019 at cm-3. The results showed that the experimental data for the first-order TCR are quite far from the calculated ones especially over the doping range of 1018-1019 at cm-3 and quite close to the experimental ones obtained by Bullis about 50 years ago for bulk silicon. Moreover, for the first time, second-order coefficients that were not very consistent with the calculations were obtained.

  8. Experimental verification of temperature coefficients of resistance for uniformly doped P-type resistors in SOI

    International Nuclear Information System (INIS)

    Olszacki, M; Maj, C; Al Bahri, M; Marrot, J-C; Boukabache, A; Pons, P; Napieralski, A

    2010-01-01

    Many today's microsystems like strain-gauge-based piezoresistive pressure sensors contain doped resistors. If one wants to predict correctly the temperature impact on the performance of such devices, the accurate data about the temperature coefficients of resistance (TCR) are essential. Although such data may be calculated using one of the existing mobility models, our experiments showed that we can observe the huge mismatch between the calculated and measured values. Thus, in order to investigate the TCR values, a set of the test structures that contained doped P-type resistors was fabricated. As the TCR value also depends on the doping profile shape, we decided to use the very thin, 340 nm thick SOI wafers in order to fabricate the quasi-uniformly doped silicon layers ranging from 2 × 10 17 at cm −3 to 1.6 × 10 19 at cm −3 . The results showed that the experimental data for the first-order TCR are quite far from the calculated ones especially over the doping range of 10 18 –10 19 at cm −3 and quite close to the experimental ones obtained by Bullis about 50 years ago for bulk silicon. Moreover, for the first time, second-order coefficients that were not very consistent with the calculations were obtained.

  9. Indium arsenide-on-SOI MOSFETs with extreme lattice mismatch

    Science.gov (United States)

    Wu, Bin

    Both molecular beam epitaxy (MBE) and metal organic chemical vapor deposition (MOCVD) have been used to explore the growth of InAs on Si. Despite 11.6% lattice mismatch, planar InAs structures have been observed by scanning electron microscopy (SEM) when nucleating using MBE on patterned submicron Si-on-insulator (SOI) islands. Planar structures of size as large as 500 x 500 nm 2 and lines of width 200 nm and length a few microns have been observed. MOCVD growth of InAs also generates single grain structures on Si islands when the size is reduced to 100 x 100 nm2. By choosing SOI as the growth template, selective growth is enabled by MOCVD. Post-growth pattern-then-anneal process, in which MOCVD InAs is deposited onto unpatterned SOI followed with patterning and annealing of InAs-on-Si structure, is found to change the relative lattice parameters of encapsulated 17/5 nm InAs/Si island. Observed from transmission electron diffraction (TED) patterns, the lattice mismatch of 17/5 nm InAs/Si island reduces from 11.2 to 4.2% after being annealed at 800°C for 30 minutes. High-k Al2O3 dielectrics have been deposited by both electron-beam-enabled physical vapor deposition (PVD) and atomic layer deposition (ALD). Films from both techniques show leakage currents on the order of 10-9A/cm2, at ˜1 MV/cm electric field, breakdown field > ˜6 MV/cm, and dielectric constant > 6, comparable to those of reported ALD prior arts by Groner. The first MOSFETs with extreme lattice mismatch InAs-on-SOI channels using PVD Al2O3 as the gate dielectric are characterized. Channel recess was used to improve the gate control of the drain current.

  10. Worst-Case Bias During Total Dose Irradiation of SOI Transistors

    International Nuclear Information System (INIS)

    Ferlet-Cavrois, V.; Colladant, T.; Paillet, P.; Leray, J.-L; Musseau, O.; Schwank, James R.; Shaneyfelt, Marty R.; Pelloie, J.L.; Du Port de Poncharra, J.

    2000-01-01

    The worst case bias during total dose irradiation of partially depleted SOI transistors (from SNL and from CEA/LETI) is correlated to the device architecture. Experiments and simulations are used to analyze SOI back transistor threshold voltage shift and charge trapping in the buried oxide

  11. Monolithic integration of micromachined sensors and CMOS circuits based on SOI technologies

    International Nuclear Information System (INIS)

    Yu Xiaomei; Tang Yaquan; Zhang Haitao

    2008-01-01

    This note presents a novel way to monolithically integrate micro-cantilever sensors and signal conditioning circuits by combining SOI CMOS and SOI micromachining technologies. In order to improve the sensor performance and reduce the system volume, an integrated sensor system composed of a piezoresistive cantilever array, a temperature-compensation current reference, a digitally controlled multiplexer and an instrument amplifier is designed and finally fabricated. A post-SOI CMOS process is developed to realize the integrated sensor system which is based on a standard CMOS process with one more mask to define the cantilever structure at the end of the process. Measurements on the finished SOI CMOS devices and circuits show that the integration process has good compatibility both for the cantilever sensors and for the CMOS circuits, and the SOI CMOS integration process can decrease about 25% sequences compared with the bulk silicon CMOS process. (note)

  12. Reduced nonlinearities in 100-nm high SOI waveguides

    Science.gov (United States)

    Lacava, C.; Marchetti, R.; Vitali, V.; Cristiani, I.; Giuliani, G.; Fournier, M.; Bernabe, S.; Minzioni, P.

    2016-03-01

    Here we show the results of an experimental analysis dedicated to investigate the impact of optical non linear effects, such as two-photon absorption (TPA), free-carrier absorption (FCA) and free-carrier dispersion (FCD), on the performance of integrated micro-resonator based filters for application in WDM telecommunication systems. The filters were fabricated using SOI (Silicon-on-Insulator) technology by CEA-Leti, in the frame of the FP7 Fabulous Project, which aims to develop low-cost and high-performance integrated optical devices to be used in new generation passive optical- networks (NG-PON2). Different designs were tested, including both ring-based structures and racetrack-based structures, with single-, double- or triple- resonator configuration, and using different waveguide cross-sections (from 500 x 200 nm to 825 x 100 nm). Measurements were carried out using an external cavity tunable laser source operating in the extended telecom bandwidth, using both continuous wave signals and 10 Gbit/s modulated signals. Results show that the use 100-nm high waveguide allows reducing the impact of non-linear losses, with respect to the standard waveguides, thus increasing by more than 3 dB the maximum amount of optical power that can be injected into the devices before causing significant non-linear effects. Measurements with OOK-modulated signals at 10 Gbit/s showed that TPA and FCA don't affect the back-to-back BER of the signal, even when long pseudo-random-bit-sequences (PRBS) are used, as the FCD-induced filter-detuning increases filter losses but "prevents" excessive signal degradation.

  13. The Bridges SOI Model School Program at Palo Verde School, Palo Verde, Arizona.

    Science.gov (United States)

    Stock, William A.; DiSalvo, Pamela M.

    The Bridges SOI Model School Program is an educational service based upon the SOI (Structure of Intellect) Model School curriculum. For the middle seven months of the academic year, all students in the program complete brief daily exercises that develop specific cognitive skills delineated in the SOI model. Additionally, intensive individual…

  14. A novel self-aligned oxygen (SALOX) implanted SOI MOSFET device structure

    Science.gov (United States)

    Tzeng, J. C.; Baerg, W.; Ting, C.; Siu, B.

    The morphology of the novel self-aligned oxygen implanted SOI (SALOX SOI) [1] MOSFET was studied. The channel silicon of SALOX SOI was confirmed to be undamaged single crystal silicon and was connected with the substrate. Buried oxide formed by oxygen implantation in this SALOX SOI structure was shown by a cross section transmission electron micrograph (X-TEM) to be amorphous. The source/drain silicon on top of the buried oxide was single crystal, as shown by the transmission electron diffraction (TED) pattern. The source/drain regions were elevated due to the buried oxide volume expansion. A sharp silicon—silicon dioxide interface between the source/drain silicon and buried oxide was observed by Auger electron spectroscopy (AES). Well behaved n-MOS transistor current voltage characteristics were obtained and showed no I-V kink.

  15. Deep sub-micron FD-SOI for front-end application

    International Nuclear Information System (INIS)

    Ikeda, H.; Arai, Y.; Hara, K.; Hayakawa, H.; Hirose, K.; Ikegami, Y.; Ishino, H.; Kasaba, Y.; Kawasaki, T.; Kohriki, T.; Martin, E.; Miyake, H.; Mochizuki, A.; Tajima, H.; Tajima, O.; Takahashi, T.; Takashima, T.; Terada, S.; Tomita, H.; Tsuboyama, T.

    2007-01-01

    In order to confirm benefits of a deep sub-micron FD-SOI and to identify possible issues concerning front-end circuits with the FD-SOI, we have submitted a small design to Oki Electric Industry Co., Ltd. via the multi-chip project service of VDEC, the University of Tokyo. The initial test results and future plans for development are presented

  16. Micromachined thin-film sensors for SOI-CMOS co-integration

    CERN Document Server

    Laconte, Jean; Raskin, Jean-Pierre

    2006-01-01

    Co-integration of MEMS and MOS in SOI technology is promising and well demonstrated hereThe impact of Micromachining on SOI devices is deeply analyzed for the first timeInclude extensive TMAH etching, residual stress, microheaters, gas-flow sensors reviewResidual stresses in thin films need to be more and more monitored in MEMS designsTMAH micromachining is an attractive alternative to KOH.

  17. First results of a Double-SOI pixel chip for X-ray imaging

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Yunpeng, E-mail: yplu@ihep.ac.cn [State Key Laboratory of Particle Detection and Electronics (Institute of High Energy Physics, CAS), Beijing 100049 (China); Ouyang, Qun [State Key Laboratory of Particle Detection and Electronics (Institute of High Energy Physics, CAS), Beijing 100049 (China); Arai, Yasuo [Institute of Particle and Nuclear Studies, High Energy Accelerator Research Org., KEK, Tsukuba 305-0801 (Japan); Liu, Yi; Wu, Zhigang; Zhou, Yang [State Key Laboratory of Particle Detection and Electronics (Institute of High Energy Physics, CAS), Beijing 100049 (China)

    2016-09-21

    Aiming at low energy X-ray imaging, a prototype chip based on Double-SOI process was designed and tested. The sensor and pixel circuit were characterized. The long lasting crosstalk issue in SOI technology was understood. The operation of pixel was verified with a pulsed infrared laser beam. The depletion of sensor revealed by signal amplitudes is consistent with the one revealed by I–V curve. An s-curve fitting resulted in a sigma of 153 e{sup −} among which equivalent noise charge (ENC) contributed 113 e{sup −}. It's the first time that the crosstalk issue in SOI technology was solved and a counting type SOI pixel demonstrated the detection of low energy radiation quantitatively.

  18. Second Harmonic Generation characterization of SOI wafers: Impact of layer thickness and interface electric field

    Science.gov (United States)

    Damianos, D.; Vitrant, G.; Lei, M.; Changala, J.; Kaminski-Cachopo, A.; Blanc-Pelissier, D.; Cristoloveanu, S.; Ionica, I.

    2018-05-01

    In this work, we investigate Second Harmonic Generation (SHG) as a non-destructive characterization method for Silicon-On-Insulator (SOI) materials. For thick SOI stacks, the SHG signal is related to the thickness variations of the different layers. However, in thin SOI films, the comparison between measurements and optical modeling suggests a supplementary SHG contribution attributed to the electric fields at the SiO2/Si interfaces. The impact of the electric field at each interface of the SOI on the SHG is assessed. The SHG technique can be used to evaluate interfacial electric fields and consequently interface charge density in SOI materials.

  19. HARM processing techniques for MEMS and MOEMS devices using bonded SOI substrates and DRIE

    Science.gov (United States)

    Gormley, Colin; Boyle, Anne; Srigengan, Viji; Blackstone, Scott C.

    2000-08-01

    Silicon-on-Insulator (SOI) MEMS devices (1) are rapidly gaining popularity in realizing numerous solutions for MEMS, especially in the optical and inertia application fields. BCO recently developed a DRIE trench etch, utilizing the Bosch process, and refill process for high voltage dielectric isolation integrated circuits on thick SOI substrates. In this paper we present our most recently developed DRIE processes for MEMS and MOEMS devices. These advanced etch techniques are initially described and their integration with silicon bonding demonstrated. This has enabled process flows that are currently being utilized to develop optical router and filter products for fiber optics telecommunications and high precision accelerometers.

  20. Anomalous radiation effects in fully depleted SOI MOSFETs fabricated on SIMOX

    Science.gov (United States)

    Li, Ying; Niu, Guofu; Cressler, J. D.; Patel, J.; Marshall, C. J.; Marshall, P. W.; Kim, H. S.; Reed, R. A.; Palmer, M. J.

    2001-12-01

    We investigate the proton tolerance of fully depleted silicon-on-insulator (SOI) MOSFETs with H-gate and regular-gate structural configurations. For the front-gate characteristics, the H-gate does not show the edge leakage observed in the regular-gate transistor. An anomalous kink in the back-gate linear I/sub D/-V/sub GS/ characteristics of the fully depleted SOI nFETs has been observed at high radiation doses. This kink is attributed to charged traps generated in the bandgap at the buried oxide/silicon film interface during irradiation. Extensive two-dimensional simulations with MEDICI were used to understand the physical origin of this kink. We also report unusual self-annealing effects in the devices when they are cooled to liquid nitrogen temperature.

  1. A PD-SOI based DTI-LOCOS combined cross isolation technique for minimizing TID radiation induced leakage in high density memory

    International Nuclear Information System (INIS)

    Qiao Fengying; Pan Liyang; Wu Dong; Liu Lifang; Xu Jun

    2014-01-01

    In order to minimize leakage current increase under total ionizing dose (TID) radiation in high density memory circuits, a new isolation technique, combining deep trench isolation (DTI) between the wells, local oxidation of silicon (LOCOS) isolation between the devices within the well, and a P-diffused area in order to limit leakage at the isolation edge is implemented in partly-depleted silicon-on-insulator (PD-SOI) technology. This radiation hardening technique can minimize the layout area by more than 60%, and allows flexible placement of the body contact. Radiation hardened transistors and 256 Kb flash memory chips are designed and fabricated in a 0.6 μm PD-SOI process. Experiments show that no obvious increase in leakage current is observed for single transistors under 1 Mrad(Si) radiation, and that the 256 Kb memory chip still functions well after a TID of 100 krad(Si), with only 50% increase of the active power consumption in read mode. (semiconductor devices)

  2. Research on SOI-based micro-resonator devices

    Science.gov (United States)

    Xiao, Xi; Xu, Haihua; Hu, Yingtao; Zhou, Liang; Xiong, Kang; Li, Zhiyong; Li, Yuntao; Fan, Zhongchao; Han, Weihua; Yu, Yude; Yu, Jinzhong

    2010-10-01

    SOI (silicon-on-insulator)-based micro-resonator is the key building block of silicon photonics, which is considered as a promising solution to alleviate the bandwidth bottleneck of on-chip interconnects. Silicon-based sub-micron waveguide, microring and microdisk devices are investigated in Institute of Semiconductors, Chinese Academy of Sciences. The main progress in recent years is presented in this talk, such as high Q factor single mode microdisk filters, compact thirdorder microring filters with the through/drop port extinctions to be ~ 30/40 dB, fast microring electro-optical switches with the switch time of 10 Gbit/s high speed microring modulators.

  3. A MEMS SOI-based piezoresistive fluid flow sensor

    Science.gov (United States)

    Tian, B.; Li, H. F.; Yang, H.; Song, D. L.; Bai, X. W.; Zhao, Y. L.

    2018-02-01

    In this paper, a SOI (silicon-on-insulator)-based piezoresistive fluid flow sensor is presented; the presented flow sensor mainly consists of a nylon sensing head, stainless steel cantilever beam, SOI sensor chip, printed circuit board, half-cylinder gasket, and stainless steel shell. The working principle of the sensor and some detailed contrastive analysis about the sensor structure were introduced since the nylon sensing head and stainless steel cantilever beam have distinct influence on the sensor performance; the structure of nylon sensing head and stainless steel cantilever beam is also discussed. The SOI sensor chip was fabricated using micro-electromechanical systems technologies, such as reactive ion etching and low pressure chemical vapor deposition. The designed fluid sensor was packaged and tested; a calibration installation system was purposely designed for the sensor experiment. The testing results indicated that the output voltage of the sensor is proportional to the square of the fluid flow velocity, which is coincident with the theoretical derivation. The tested sensitivity of the sensor is 3.91 × 10-4 V ms2/kg.

  4. L’estime de soi : un cas particulier d’estime sociale ?

    OpenAIRE

    Santarelli, Matteo

    2016-01-01

    Un des traits plus originaux de la théorie intersubjective de la reconnaissance d’Axel Honneth, consiste dans la façon dont elle discute la relation entre estime sociale et estime de soi. En particulier, Honneth présente l’estime de soi comme un reflet de l’estime sociale au niveau individuel. Dans cet article, je discute cette conception, en posant la question suivante : l’estime de soi est-elle un cas particulier de l’estime sociale ? Pour ce faire, je me concentre sur deux problèmes crucia...

  5. Performance of an SOI Boot-Strapped Full-Bridge MOSFET Driver, Type CHT-FBDR, under Extreme Temperatures

    Science.gov (United States)

    Patterson, Richard; Hammoud, Ahmad

    2009-01-01

    Electronic systems designed for use in deep space and planetary exploration missions are expected to encounter extreme temperatures and wide thermal swings. Silicon-based devices are limited in their wide-temperature capability and usually require extra measures, such as cooling or heating mechanisms, to provide adequate ambient temperature for proper operation. Silicon-On-Insulator (SOI) technology, on the other hand, lately has been gaining wide spread use in applications where high temperatures are encountered. Due to their inherent design, SOI-based integrated circuit chips are able to operate at temperatures higher than those of the silicon devices by virtue of reducing leakage currents, eliminating parasitic junctions, and limiting internal heating. In addition, SOI devices provide faster switching, consume less power, and offer improved radiation-tolerance. Very little data, however, exist on the performance of such devices and circuits under cryogenic temperatures. In this work, the performance of an SOI bootstrapped, full-bridge driver integrated circuit was evaluated under extreme temperatures and thermal cycling. The investigations were carried out to establish a baseline on the functionality and to determine suitability of this device for use in space exploration missions under extreme temperature conditions.

  6. A new partial SOI-LDMOSFET with a modified buried oxide layer for improving self-heating and breakdown voltage

    International Nuclear Information System (INIS)

    Jamali Mahabadi, S E; Orouji, Ali A; Keshavarzi, P; Moghadam, Hamid Amini

    2011-01-01

    In this paper, for the first time, we propose a partial silicon-on-insulator (P-SOI) lateral double-diffused metal-oxide-semiconductor-field-effect-transistor (LDMOSFET) with a modified buried layer in order to improve breakdown voltage (BV) and self-heating effects (SHEs). The main idea of this work is to control the electric field by shaping the buried layer. With two steps introduced in the buried layer, the electric field distribution is modified. Also a P-type window introduced makes the substrate share the vertical voltage drop, leading to a high vertical BV. Moreover, four interface electric field peaks are introduced by the buried P-layer, the Si window and two steps, which modulate the electric field in the SOI layer and the substrate. Hence, a more uniform electric field is obtained; consequently, a high BV is achieved. Furthermore, the Si window creates a conduction path between the active layer and substrate and alleviates the SHE. Two-dimensional simulations show that the BV of double step partial silicon on insulator is nearly 69% higher and alleviates SHEs 17% in comparison with its single step partial SOI counterpart and nearly 265% higher and alleviate SHEs 18% in comparison with its conventional SOI counterpart

  7. Single-Event Upset and Scaling Trends in New Generation of the Commercial SOI PowerPC Microprocessors

    Science.gov (United States)

    Irom, Farokh; Farmanesh, Farhad; Kouba, Coy K.

    2006-01-01

    Single-event upset effects from heavy ions are measured for Motorola silicon-on-insulator (SOI) microprocessor with 90 nm feature sizes. The results are compared with previous results for SOI microprocessors with feature sizes of 130 and 180 nm. The cross section of the 90 nm SOI processors is smaller than results for 130 and 180 nm counterparts, but the threshold is about the same. The scaling of the cross section with reduction of feature size and core voltage for SOI microprocessors is discussed.

  8. Impact of underlap spacer region variation on electrostatic and analog performance of symmetrical high-k SOI FinFET at 20 nm channel length

    Science.gov (United States)

    Jain, Neeraj; Raj, Balwinder

    2017-12-01

    Continued scaling of CMOS technology to achieve high performance and low power consumption of semiconductor devices in the complex integrated circuits faces the degradation in terms of electrostatic integrity, short channel effects (SCEs), leakage currents, device variability and reliability etc. Nowadays, multigate structure has become the promising candidate to overcome these problems. SOI FinFET is one of the best multigate structures that has gained importance in all electronic design automation (EDA) industries due to its improved short channel effects (SCEs), because of its more effective gate-controlling capabilities. In this paper, our aim is to explore the sensitivity of underlap spacer region variation on the performance of SOI FinFET at 20 nm channel length. Electric field modulation is analyzed with spacer length variation and electrostatic performance is evaluated in terms of performance parameter like electron mobility, electric field, electric potential, sub-threshold slope (SS), ON current (I on), OFF current (I off) and I on/I off ratio. The potential benefits of SOI FinFET at drain-to-source voltage, V DS = 0.05 V and V DS = 0.7 V towards analog and RF design is also evaluated in terms of intrinsic gain (A V), output conductance (g d), trans-conductance (g m), gate capacitance (C gg), and cut-off frequency (f T = g m/2πC gg) with spacer region variations.

  9. Silicon-on-insulator (SOI) active pixel sensors with the photosite implemented in the substrate

    Science.gov (United States)

    Zheng, Xinyu (Inventor); Pain, Bedabrata (Inventor)

    2005-01-01

    Active pixel sensors for a high quality imager are fabricated using a silicon-on-insulator (SOI) process by integrating the photodetectors on the SOI substrate and forming pixel readout transistors on the SOI thin-film. The technique can include forming silicon islands on a buried insulator layer disposed on a silicon substrate and selectively etching away the buried insulator layer over a region of the substrate to define a photodetector area. Dopants of a first conductivity type are implanted to form a signal node in the photodetector area and to form simultaneously drain/source regions for a first transistor in at least a first one of the silicon islands. Dopants of a second conductivity type are implanted to form drain/source regions for a second transistor in at least a second one of the silicon islands. Isolation rings around the photodetector also can be formed when dopants of the second conductivity type are implanted. Interconnections among the transistors and the photodetector are provided to allow signals sensed by the photodetector to be read out via the transistors formed on the silicon islands.

  10. Total dose induced latch in short channel NMOS/SOI transistors

    International Nuclear Information System (INIS)

    Ferlet-Cavrois, V.; Quoizola, S.; Musseau, O.; Flament, O.; Leray, J.L.; Pelloie, J.L.; Raynaud, C.; Faynot, O.

    1998-01-01

    A latch effect induced by total dose irradiation is observed in short channel SOI transistors. This effect appears on NMOS transistors with either a fully or a partially depleted structure. It is characterized by a hysteresis behavior of the Id-Vg characteristics at high drain bias for a given critical dose. Above this dose, the authors still observe a limited leakage current at low drain bias (0.1 V), but a high conduction current at high drain bias (2 V) as the transistor should be in the off-state. The critical dose above which the latch appears strongly depends on gate length, transistor structure (fully or partially depleted), buried oxide thickness and supply voltage. Two-dimensional (2D) numerical simulations indicate that the parasitic condition is due to the latch of the back gate transistor triggered by charge trapping in the buried oxide. To avoid the latch induced by the floating body effect, different techniques can be used: doping engineering, body contacts, etc. The study of the main parameters influencing the latch (gate length, supply voltage) shows that the scaling of technologies does not necessarily imply an increased latch sensitivity. Some technological parameters like the buried oxide hardness and thickness can be used to avoid latch, even at high cumulated dose, on highly integrated SOI technologies

  11. Special Issue: Planar Fully-Depleted SOI technology

    Science.gov (United States)

    Allibert, F.; Hiramoto, T.; Nguyen, B. Y.

    2016-03-01

    We are in the era of mobile computing with smart handheld devices and remote data storage "in the cloud," with devices that are almost always on and driven by needs of high data transmission rate, instant access/connection and long battery life. With all the ambitious requirements for better performance with lower power consumption, the SoC solution must also be cost-effective in order to capture the large, highly-competitive consumer mobile and wearable markets. The Fully-Depleted SOI device/circuit is a unique option that can satisfy all these requirements and has made tremendous progress in development for various applications and adoption by foundries, integrated device manufacturers (IDM), and fabless companies in the last 3 years.

  12. Ultra-low power high temperature and radiation hard complementary metal-oxide-semiconductor (CMOS) silicon-on-insulator (SOI) voltage reference.

    Science.gov (United States)

    Boufouss, El Hafed; Francis, Laurent A; Kilchytska, Valeriya; Gérard, Pierre; Simon, Pascal; Flandre, Denis

    2013-12-13

    This paper presents an ultra-low power CMOS voltage reference circuit which is robust under biomedical extreme conditions, such as high temperature and high total ionized dose (TID) radiation. To achieve such performances, the voltage reference is designed in a suitable 130 nm Silicon-on-Insulator (SOI) industrial technology and is optimized to work in the subthreshold regime of the transistors. The design simulations have been performed over the temperature range of -40-200 °C and for different process corners. Robustness to radiation was simulated using custom model parameters including TID effects, such as mobilities and threshold voltages degradation. The proposed circuit has been tested up to high total radiation dose, i.e., 1 Mrad (Si) performed at three different temperatures (room temperature, 100 °C and 200 °C). The maximum drift of the reference voltage V(REF) depends on the considered temperature and on radiation dose; however, it remains lower than 10% of the mean value of 1.5 V. The typical power dissipation at 2.5 V supply voltage is about 20 μW at room temperature and only 75 μW at a high temperature of 200 °C. To understand the effects caused by the combination of high total ionizing dose and temperature on such voltage reference, the threshold voltages of the used SOI MOSFETs were extracted under different conditions. The evolution of V(REF) and power consumption with temperature and radiation dose can then be explained in terms of the different balance between fixed oxide charge and interface states build-up. The total occupied area including pad-ring is less than 0.09 mm2.

  13. SOI MESFETs for Extreme Environment Electronics, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — We are proposing a new extreme environment electronics (EEE) technology based on silicon-on-insulator (SOI) metal-semiconductor field-effect transistors (MESFETs)....

  14. Superconducting nanowire single-photon detectors (SNSPDs) on SOI for near-infrared range

    Energy Technology Data Exchange (ETDEWEB)

    Trojan, Philipp; Il' in, Konstantin; Henrich, Dagmar; Hofherr, Matthias; Doerner, Steffen; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie (KIT) (Germany); Semenov, Alexey [Institut fuer Planetenforschung, DLR, Berlin-Adlershof (Germany); Huebers, Heinz-Wilhelm [Institut fuer Planetenforschung, DLR, Berlin-Adlershof (Germany); Institut fuer Optik und Atomare Physik, Technische Universitaet Berlin (Germany)

    2013-07-01

    Superconducting nanowire single-photon detectors are promising devices for photon detectors with high count rates, low dark count rates and low dead times. At wavelengths beyond the visible range, the detection efficiency of today's SNSPDs drops significantly. Moreover, the low absorption in ultra-thin detector films is a limiting factor over the entire spectral range. Solving this problem requires approaches for an enhancement of the absorption range in feeding the light to the detector element. A possibility to obtain a better absorption is the use of multilayer substrate materials for photonic waveguide structures. We present results on development of superconducting nanowire single-photon detectors made from niobium nitride on silicon-on-insulator (SOI) multilayer substrates. Optical and superconducting properties of SNSPDs on SOI will be discussed and compared with the characteristics of detectors on common substrates.

  15. Novel technique of source and drain engineering for dual-material double-gate (DMDG) SOI MOSFETS

    Science.gov (United States)

    Yadav, Himanshu; Malviya, Abhishek Kumar; Chauhan, R. K.

    2018-04-01

    The dual-metal dual-gate (DMDG) SOI has been used with Dual Sided Source and Drain Engineered 50nm SOI MOSFET with various high-k gate oxide. It has been scrutinized in this work to enhance its electrical performance. The proposed structure is designed by creating Dual Sided Source and Drain Modification and its characteristics are evaluated on ATLAS device simulator. The consequence of this dual sided assorted doping on source and drain side of the DMDG transistor has better leakage current immunity and heightened ION current with higher ION to IOFF Ratio. Which thereby vesting the proposed device appropriate for low power digital applications.

  16. Design and optimization of different P-channel LUDMOS architectures on a 0.18 µm SOI-CMOS technology

    International Nuclear Information System (INIS)

    Cortés, I; Toulon, G; Morancho, F; Hugonnard-Bruyere, E; Villard, B; Toren, W J

    2011-01-01

    This paper focuses on the design and optimization of different power P-channel LDMOS transistors (V BR > 120 V) to be integrated in a new generation of smart-power technology based upon a 0.18 µm SOI-CMOS technology. Different drift architectures have been envisaged in this work with the purpose of optimizing the transistor static (R on-sp /V BR trade-off) and dynamic (R on × Q g ) characteristics to improve their switching performance. Conventional single-RESURF P-channel LUDMOS architectures on thin-SOI substrates show very poor R on-sp /V BR trade-off due to their low RESURF effectiveness. Alternative drift configurations such as the addition of an N-type buried layer deep inside the SOI layer or the application of the superjunction concept by alternatively placing stacked P- and N-type pillars could highly improve the RESURF effectiveness and the P-channel device switching performance

  17. Hybrid III-V/SOI resonant cavity enhanced photodetector

    DEFF Research Database (Denmark)

    Learkthanakhachon, Supannee; Taghizadeh, Alireza; Park, Gyeong Cheol

    2016-01-01

    A hybrid III–V/SOI resonant-cavity-enhanced photodetector (RCE-PD) structure comprising a high-contrast grating (HCG) reflector, a hybrid grating (HG) reflector, and an air cavity between them, has been proposed and investigated. In the proposed structure, a light absorbing material is integrated...... as part of the HG reflector, enabling a very compact vertical cavity. Numerical investigations show that a quantum efficiency close to 100 % and a detection linewidth of about 1 nm can be achieved, which are desirable for wavelength division multiplexing applications. Based on these results, a hybrid RCE...

  18. Simulation of dual-gate SOI MOSFET with different dielectric layers

    Science.gov (United States)

    Yadav, Jyoti; Chaudhary, R.; Mukhiya, R.; Sharma, R.; Khanna, V. K.

    2016-04-01

    The paper presents the process design and simulation of silicon-on-insulator (SOI)-based dual-gate metal oxide field-effect transistor (DG-MOSFET) stacked with different dielectric layers on the top of gate oxide. A detailed 2D process simulation of SOI-MOSFETs and its electrical characterization has been done using SILVACO® TCAD tool. A variation in transconductance was observed with different dielectric layers, AlN-gate MOSFET having the highest tranconductance value as compared to other three dielectric layers (SiO2, Si3N4 and Al2O3).

  19. Micromachined Thin-Film Sensors for SOI-CMOS Co-Integration

    Science.gov (United States)

    Laconte, Jean; Flandre, D.; Raskin, Jean-Pierre

    Co-integration of sensors with their associated electronics on a single silicon chip may provide many significant benefits regarding performance, reliability, miniaturization and process simplicity without significantly increasing the total cost. Micromachined Thin-Film Sensors for SOI-CMOS Co-integration covers the challenges and interests and demonstrates the successful co-integration of gas flow sensors on dielectric membrane, with their associated electronics, in CMOS-SOI technology. We firstly investigate the extraction of residual stress in thin layers and in their stacking and the release, in post-processing, of a 1 μm-thick robust and flat dielectric multilayered membrane using Tetramethyl Ammonium Hydroxide (TMAH) silicon micromachining solution.

  20. Total dose radiation effects of pressure sensors fabricated on uni-bond-SOI materials

    International Nuclear Information System (INIS)

    Zhu Shiyang; Huang Yiping; Wang Jin; Li Anzhen; Shen Shaoqun; Bao Minhang

    2001-01-01

    Piezoresistive pressure sensors with a twin-island structure were successfully fabricated using high quality Uni-bond-SOI (On Insulator) materials. Since the piezoresistors were structured by the single crystalline silicon overlayer of the SOI wafer and were totally isolated by the buried SiO 2 , the sensors are radiation-hard. The sensitivity and the linearity of the pressure sensors keep their original values after being irradiated by 60 Co γ-rays up to 2.3 x 10 4 Gy(H 2 O). However, the offset voltage of the sensor has a slight drift, increasing with the radiation dose. The absolute value of the offset voltage deviation depends on the pressure sensor itself. For comparison, corresponding polysilicon pressure sensors were fabricated using the similar process and irradiated at the same condition

  1. SOI silicon on glass for optical MEMS

    DEFF Research Database (Denmark)

    Larsen, Kristian Pontoppidan; Ravnkilde, Jan Tue; Hansen, Ole

    2003-01-01

    and a final sealing at the interconnects can be performed using a suitable polymer. Packaged MEMS on glass are advantageous within Optical MEMS and for sensitive capacitive devices. We report on experiences with bonding SOI to Pyrex. Uniform DRIE shallow and deep etching was achieved by a combination......A newly developed fabrication method for fabrication of single crystalline Si (SCS) components on glass, utilizing Deep Reactive Ion Etching (DRIE) of a Silicon On Insulator (SOI) wafer is presented. The devices are packaged at wafer level in a glass-silicon-glass (GSG) stack by anodic bonding...... of an optimized device layout and an optimized process recipe. The behavior of the buried oxide membrane when used as an etch stop for the through-hole etch is described. No harmful buckling or fracture of the membrane is observed for an oxide thickness below 1 μm, but larger and more fragile released structures...

  2. Development of a pixel sensor with fine space-time resolution based on SOI technology for the ILC vertex detector

    Energy Technology Data Exchange (ETDEWEB)

    Ono, Shun, E-mail: s-ono@champ.hep.sci.osaka-u.ac.jp [Osaka University, 1-1 Machikaneyama, Toyonaka (Japan); Togawa, Manabu; Tsuji, Ryoji; Mori, Teppei [Osaka University, 1-1 Machikaneyama, Toyonaka (Japan); Yamada, Miho; Arai, Yasuo; Tsuboyama, Toru; Hanagaki, Kazunori [Institute of Particle and Nuclear Studies, High Energy Accelerator Research Org. (KEK), 1-1 Oho, Tsukuba (Japan)

    2017-02-11

    We have been developing a new monolithic pixel sensor with silicon-on-insulator (SOI) technology for the International Linear Collider (ILC) vertex detector system. The SOI monolithic pixel detector is realized using standard CMOS circuits fabricated on a fully depleted sensor layer. The new SOI sensor SOFIST can store both the position and timing information of charged particles in each 20×20 μm{sup 2} pixel. The position resolution is further improved by the position weighted with the charges spread to multiple pixels. The pixel also records the hit timing with an embedded time-stamp circuit. The sensor chip has column-parallel analog-to-digital conversion (ADC) circuits and zero-suppression logic for high-speed data readout. We are designing and evaluating some prototype sensor chips for optimizing and minimizing the pixel circuit.

  3. Electrical properties and radiation hardness of SOI systems with multilayer buried dielectric

    International Nuclear Information System (INIS)

    Barchuk, I.P.; Kilchitskaya, V.I.; Lysenko, V.S.

    1997-01-01

    In this work SOI structures with buried SiO 2 -Si 3 N 4 -SiO 2 layers have been fabricated by the ZMR-technique with the aim of improving the total dose radiation hardness of the buried dielectric layer. To optimize the fabrication process, buried layers were investigated by secondary ion mass spectrometry before and after the ZMR process, and the obtained results were compared with electrical measurements. It is shown that optimization of the preparation processes of the initial buried dielectric layers provides ZMR SOI structures with multilayer buried isolation, which are of high quality for both Si film interfaces. Particular attention is paid to the investigation of radiation-induced charge trapping in buried insulators. Buried isolation structures with a nitride layer exhibit significant reduction of radiation-induced positive charge as compared to classical buried SiO 2 layers produced by either the ZMR or the SIMOX technique

  4. A silicon doped hafnium oxide ferroelectric p–n–p–n SOI tunneling field–effect transistor with steep subthreshold slope and high switching state current ratio

    Directory of Open Access Journals (Sweden)

    Saeid Marjani

    2016-09-01

    Full Text Available In this paper, a silicon–on–insulator (SOI p–n–p–n tunneling field–effect transistor (TFET with a silicon doped hafnium oxide (Si:HfO2 ferroelectric gate stack is proposed and investigated via 2D device simulation with a calibrated nonlocal band–to–band tunneling model. Utilization of Si:HfO2 instead of conventional perovskite ferroelectrics such as lead zirconium titanate (PbZrTiO3 and strontium bismuth tantalate (SrBi2Ta2O9 provides compatibility to the CMOS process as well as improved device scalability. By using Si:HfO2 ferroelectric gate stack, the applied gate voltage is effectively amplified that causes increased electric field at the tunneling junction and reduced tunneling barrier width. Compared with the conventional p–n–p–n SOI TFET, the on–state current and switching state current ratio are appreciably increased; and the average subthreshold slope (SS is effectively reduced. The simulation results of Si:HfO2 ferroelectric p–n–p–n SOI TFET show significant improvement in transconductance (∼9.8X enhancement at high overdrive voltage and average subthreshold slope (∼35% enhancement over nine decades of drain current at room temperature, indicating that this device is a promising candidate to strengthen the performance of p–n–p–n and conventional TFET for a switching performance.

  5. Electrical activation of solid-phase epitaxially regrown ultra-low energy boron implants in Ge preamorphised silicon and SOI

    International Nuclear Information System (INIS)

    Hamilton, J.J.; Collart, E.J.H.; Colombeau, B.; Jeynes, C.; Bersani, M.; Giubertoni, D.; Sharp, J.A.; Cowern, N.E.B.; Kirkby, K.J.

    2005-01-01

    The formation of highly activated ultra-shallow junctions (USJ) is one of the key requirements for the next generation of CMOS devices. One promising method for achieving this is the use of Ge preamorphising implants (PAI) prior to ultra-low energy B implantation. In future technology nodes, bulk silicon wafers may be supplanted by Silicon-on-Insulator (SOI), and an understanding of the Solid Phase Epitaxial (SPE) regrowth process and its correlation to dopant electrical activation in both bulk silicon and SOI is essential in order to understand the impact of this potential technology change. This kind of understanding will also enable tests of fundamental models for defect evolution and point-defect reactions at silicon/oxide interfaces. In the present work, B is implanted into Ge PAI silicon and SOI wafers with different PAI conditions and B doses, and resulting samples are annealed at various temperatures and times. Glancing-exit Rutherford Backscattering Spectrometry (RBS) is used to monitor the regrowth of the amorphous silicon, and the resulting redistribution and electrical activity of B are monitored by SIMS and Hall measurements. The results confirm the expected enhancement of regrowth velocity by B doping, and show that this velocity is otherwise independent of the substrate type and the Ge implant distribution within the amorphised layer. Hall measurements on isochronally annealed samples show that B deactivates less in SOI material than in bulk silicon, in cases where the Ge PAI end-of-range defects are close to the SOI back interface

  6. High temperature piezoresistive {beta}-SiC-on-SOI pressure sensor for combustion engines

    Energy Technology Data Exchange (ETDEWEB)

    Berg, J. von; Ziermann, R.; Reichert, W.; Obermeier, E. [Tech. Univ. Berlin (Germany). Microsensor and Actuator Technol. Center; Eickhoff, M.; Kroetz, G. [Daimler Benz AG, Munich (Germany); Thoma, U.; Boltshauser, T.; Cavalloni, C. [Kistler Instrumente AG, Winterthur (Switzerland); Nendza, J.P. [TRW Deutschland GmbH, Barsinghausen (Germany)

    1998-08-01

    For measuring the cylinder pressure in combustion engines of automobiles a high temperature pressure sensor has been developed. The sensor is made of a membrane based piezoresistive {beta}-SiC-on-SOI (SiCOI) sensor chip and a specially designed housing. The SiCOI sensor was characterized under static pressures of up to 200 bar in the temperature range between room temperature and 300 C. The sensitivity of the sensor at room temperature is approximately 0.19 mV/bar and decreases to about 0.12 mV/bar at 300 C. For monitoring the dynamic cylinder pressure the sensor was placed into the combustion chamber of a gasoline engine. The measurements were performed at 1500 rpm under different loads, and for comparison a quartz pressure transducer from Kistler AG was used as a reference. The maximum pressure at partial load operation amounts to about 15 bar. The difference between the calibrated SiCOI sensor and the reference sensor is significantly less than 1 bar during the whole operation. (orig.) 8 refs.

  7. Towards Polarization Diversity on the SOI Platform With Simple Fabrication Process

    DEFF Research Database (Denmark)

    Ding, Yunhong; Liu, Liu; Peucheret, Christophe

    2011-01-01

    We present a polarization diversity circuit built on the silicon-on-insulator (SOI) platform, which can be fabricated by a simple process. The polarization diversity is based on two identical air-clad asymmetrical directional couplers, which simultaneously play the roles of polarization splitter...... and rotator. A silicon polarization diversity circuit with a single microring resonator is fabricated on the SOI platform. Only ${1-dB polarization-dependent loss is demonstrated. A significant improvement of the polarization dependence is obtained for 20-Gb/s nonreturn-to-zero differential phase-shift keying...

  8. Characterization of ultrathin SOI film and application to short channel MOSFETs.

    Science.gov (United States)

    Tang, Xiaohui; Reckinger, Nicolas; Larrieu, Guilhem; Dubois, Emmanuel; Flandre, Denis; Raskin, Jean-Pierre; Nysten, Bernard; Jonas, Alain M; Bayot, Vincent

    2008-04-23

    In this study, a very dilute solution (NH(4)OH:H(2)O(2):H(2)O 1:8:64 mixture) was employed to reduce the thickness of commercially available SOI wafers down to 3 nm. The etch rate is precisely controlled at 0.11 Å s(-1) based on the self-limited etching speed of the solution. The thickness uniformity of the thin film, evaluated by spectroscopic ellipsometry and by high-resolution x-ray reflectivity, remains constant through the thinning process. Moreover, the film roughness, analyzed by atomic force microscopy, slightly improves during the thinning process. The residual stress in the thin film is much smaller than that obtained by sacrificial oxidation. Mobility, measured by means of a bridge-type Hall bar on 15 nm film, is not significantly reduced compared to the value of bulk silicon. Finally, the thinned SOI wafers were used to fabricate Schottky-barrier metal-oxide-semiconductor field-effect transistors with a gate length down to 30 nm, featuring state-of-the-art current drive performance.

  9. An analysis of radiation effects on electronics and soi-mos devices as an alternative

    International Nuclear Information System (INIS)

    Ikraiam, F. A.

    2013-01-01

    The effects of radiation on semiconductors and electronic components are analyzed. The performance of such circuitry depends upon the reliability of electronic devices where electronic components will be unavoidably exposed to radiation. This exposure can be detrimental or even fatal to the expected function of the devices. Single event effects (SEE), in particular, which lead to sudden device or system failure and total dose effects can reduce the lifetime of electronic devices in such systems are discussed. Silicon-on-insulator (SOI) technology is introduced as an alternative for radiation-hardened devices. I-V Characteristics Curves for SOI-MOS devices subjected to a different total radiation doses are illustrated. In addition, properties of some semiconductor materials such as diamond, diamond-like carbon films, SiC, GaP, and AlGaN/GaN are compared with those of SOI devices. The recognition of the potential usefulness of SOI-MOS semiconductor materials for harsh environments is discussed. A summary of radiation effects, impacts and mitigation techniques is also presented. (authors)

  10. Generation and confinement of mobile charges in buried oxide of SOI substrates; Generation et confinement de charges mobiles dans les oxydes enterres de substrats SOI

    Energy Technology Data Exchange (ETDEWEB)

    Gruber, O.; Krawiec, S.; Musseau, O.; Paillet, Ph.; Courtot-Descharles, A. [CEA Bruyeres-le-Chatel, DIF, 91 (France)

    1999-07-01

    We analyze the mechanisms of generation and confinement of mobile protons resulting from hydrogen annealing of SOI buried oxides. This study of the mechanisms of generation and confinement of mobile protons in the buried oxide of SOI wafers emphasizes the importance of H+ diffusion in the oxide in the formation of a mobile charge. Under specific electric field conditions the irradiation of these devices results in a pinning of this mobile charge at the bottom Si-SiO{sub 2} interface. Ab initio calculations are in progress to investigate the possible precursor defects in the oxide and detail the mechanism for mobile proton generation and confinement. (authors)

  11. Band to Band Tunneling (BBT) Induced Leakage Current Enhancement in Irradiated Fully Depleted SOI Devices

    Science.gov (United States)

    Adell, Phillipe C.; Barnaby, H. J.; Schrimpf, R. D.; Vermeire, B.

    2007-01-01

    We propose a model, validated with simulations, describing how band-to-band tunneling (BBT) affects the leakage current degradation in some irradiated fully-depleted SOI devices. The dependence of drain current on gate voltage, including the apparent transition to a high current regime is explained.

  12. Charge collection mechanisms in MOS/SOI transistors irradiated by energetic heavy ions

    International Nuclear Information System (INIS)

    Musseau, O.; Leray, J.L.; Ferlet, V.; Umbert, A.; Coic, Y.M.; Hesto, P.

    1991-01-01

    We have investigated with both experimental and numerical methods (Monte Carlo and drift-diffusion models) various charge collection mechanisms in NMOS/SOI transistors irradiated by single energetic heavy ions. Our physical interpretations of data emphasize the influence of various parasitic structures of the device. Two charge collection mechanisms are detailed: substrate funneling in buried MOS capacitor and latching of the parasitic bipolar transistor. Based on carrier transport and charge collection, the sensitivity of future scaled down CMOS/SOI technologies is finally discussed

  13. Ultra compact triplexing filters based on SOI nanowire AWGs

    Science.gov (United States)

    Jiashun, Zhang; Junming, An; Lei, Zhao; Shijiao, Song; Liangliang, Wang; Jianguang, Li; Hongjie, Wang; Yuanda, Wu; Xiongwei, Hu

    2011-04-01

    An ultra compact triplexing filter was designed based on a silicon on insulator (SOI) nanowire arrayed waveguide grating (AWG) for fiber-to-the-home FTTH. The simulation results revealed that the design performed well in the sense of having a good triplexing function. The designed SOI nanowire AWGs were fabricated using ultraviolet lithography and induced coupler plasma etching. The experimental results showed that the crosstalk was less than -15 dB, and the 3 dB-bandwidth was 11.04 nm. The peak wavelength output from ports a, c, and b were 1455, 1510 and 1300 nm, respectively, which deviated from our original expectations. The deviation of the wavelength is mainly caused by 45 nm width deviation of the arrayed waveguides during the course of the fabrication process and partly caused by material dispersion.

  14. Extra source implantation for suppression floating-body effect in partially depleted SOI MOSFETs

    International Nuclear Information System (INIS)

    Chen Jing; Luo Jiexin; Wu Qingqing; Chai Zhan; Huang Xiaolu; Wei Xing; Wang Xi

    2012-01-01

    Silicon-on-insulate (SOI) MOSFETs offer benefits over bulk competitors for fully isolation and smaller junction capacitance. The performance of partially depleted (PD) SOI MOSFETs, though, is not good enough. Since the body is floating, the extra holes (for nMOSFETs) in this region accumulate, causing body potential arise, which of course degrades the performance of the device. How to suppress the floating-body effect becomes critical. There are mainly two ways for the goal. One is to employ body-contact structures, and the other SiGe source/drain structures. However, the former consumes extra area, not welcomed in the state-of-the-art chips design. The latter is not compatible with the traditional CMOS technology. Finding a structure both saving area and compatible technology is the most urgent for PD SOI MOSFETs. Recently, we have developed a new structure with extra heavy boron implantation in the source region for PD SOI nMOSFETs. It consumes no extra area and is also compatible with CMOS technology. The device is found to be free of kink effect in simulation, which implies the floating-body effect is greatly suppressed. In addition, the mechanisms of the kink-free, as well as the impact of different implanting conditions are interpreted.

  15. Impact of back-gate bias on the hysteresis effect in partially depleted SOI MOSFETs

    International Nuclear Information System (INIS)

    Luo Jie-Xin; Chen Jing; Zhou Jian-Hua; Wu Qing-Qing; Chai Zhan; Yu Tao; Wang Xi

    2012-01-01

    The hysteresis effect in the output characteristics, originating from the floating body effect, has been measured in partially depleted (PD) silicon-on-insulator (SOI) MOSFETs at different back-gate biases. I D hysteresis has been developed to clarify the hysteresis characteristics. The fabricated devices show the positive and negative peaks in the I D hysteresis. The experimental results show that the I D hysteresis is sensitive to the back gate bias in 0.13-μm PD SOI MOSFETs and does not vary monotonously with the back-gate bias. Based on the steady-state Shockley-Read-Hall (SRH) recombination theory, we have successfully interpreted the impact of the back-gate bias on the hysteresis effect in PD SOI MOSFETs. (condensed matter: structural, mechanical, and thermal properties)

  16. Charge accumulation in the buried oxide of SOI structures with the bonded Si/SiO2 interface under γ-irradiation: effect of preliminary ion implantation

    International Nuclear Information System (INIS)

    Naumova, O V; Fomin, B I; Ilnitsky, M A; Popov, V P

    2012-01-01

    In this study, we examined the effect of preliminary boron or phosphorous implantation on charge accumulation in the buried oxide of SOI-MOSFETs irradiated with γ-rays in the total dose range (D) of 10 5 –5 × 10 7 rad. The buried oxide was obtained by high-temperature thermal oxidation of Si, and it was not subjected to any implantation during the fabrication process of SOI structures. It was found that implantation with boron or phosphorous ions, used in fabrication technologies of SOI-MOSFETs, increases the concentration of precursor traps in the buried oxide of SOI structures. Unlike in the case of boron implantation, phosphorous implantation leads to an increased density of states at the Si/buried SiO 2 interface during subsequent γ-irradiation. In the γ-irradiated SOI-MOSFETs, the accumulated charge density and the density of surface states in the Si/buried oxide layer systems both vary in proportion to k i ln D. The coefficients k i for as-fabricated and ion-implanted Si/buried SiO 2 systems were evaluated. From the data obtained, it was concluded that a low density of precursor hole traps was a factor limiting the positive charge accumulation in the buried oxide of as-fabricated (non-implanted) SOI structures with the bonded Si/buried SiO 2 interface. (paper)

  17. Ultra compact triplexing filters based on SOI nanowire AWGs

    Energy Technology Data Exchange (ETDEWEB)

    Zhang Jiashun; An Junming; Zhao Lei; Song Shijiao; Wang Liangliang; Li Jianguang; Wang Hongjie; Wu Yuanda; Hu Xiongwei, E-mail: junming@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2011-04-15

    An ultra compact triplexing filter was designed based on a silicon on insulator (SOI) nanowire arrayed waveguide grating (AWG) for fiber-to-the-home FTTH. The simulation results revealed that the design performed well in the sense of having a good triplexing function. The designed SOI nanowire AWGs were fabricated using ultraviolet lithography and induced coupler plasma etching. The experimental results showed that the crosstalk was less than -15 dB, and the 3 dB-bandwidth was 11.04 nm. The peak wavelength output from ports a, c, and b were 1455, 1510 and 1300 nm, respectively, which deviated from our original expectations. The deviation of the wavelength is mainly caused by 45 nm width deviation of the arrayed waveguides during the course of the fabrication process and partly caused by material dispersion. (semiconductor devices)

  18. Ultra compact triplexing filters based on SOI nanowire AWGs

    International Nuclear Information System (INIS)

    Zhang Jiashun; An Junming; Zhao Lei; Song Shijiao; Wang Liangliang; Li Jianguang; Wang Hongjie; Wu Yuanda; Hu Xiongwei

    2011-01-01

    An ultra compact triplexing filter was designed based on a silicon on insulator (SOI) nanowire arrayed waveguide grating (AWG) for fiber-to-the-home FTTH. The simulation results revealed that the design performed well in the sense of having a good triplexing function. The designed SOI nanowire AWGs were fabricated using ultraviolet lithography and induced coupler plasma etching. The experimental results showed that the crosstalk was less than -15 dB, and the 3 dB-bandwidth was 11.04 nm. The peak wavelength output from ports a, c, and b were 1455, 1510 and 1300 nm, respectively, which deviated from our original expectations. The deviation of the wavelength is mainly caused by 45 nm width deviation of the arrayed waveguides during the course of the fabrication process and partly caused by material dispersion. (semiconductor devices)

  19. SOI N-Channel Field Effect Transistors, CHT-NMOS80, for Extreme Temperatures

    Science.gov (United States)

    Patterson, Richard L.; Hammoud, Almad

    2009-01-01

    Extreme temperatures, both hot and cold, are anticipated in many of NASA space exploration missions as well as in terrestrial applications. One can seldom find electronics that are capable of operation under both regimes. Even for operation under one (hot or cold) temperature extreme, some thermal controls need to be introduced to provide appropriate ambient temperatures so that spacecraft on-board or field on-site electronic systems work properly. The inclusion of these controls, which comprise of heating elements and radiators along with their associated structures, adds to the complexity in the design of the system, increases cost and weight, and affects overall reliability. Thus, it would be highly desirable and very beneficial to eliminate these thermal measures in order to simplify system's design, improve efficiency, reduce development and launch costs, and improve reliability. These requirements can only be met through the development of electronic parts that are designed for proper and efficient operation under extreme temperature conditions. Silicon-on-insulator (SOI) based devices are finding more use in harsh environments due to the benefits that their inherent design offers in terms of reduced leakage currents, less power consumption, faster switching speeds, good radiation tolerance, and extreme temperature operability. Little is known, however, about their performance at cryogenic temperatures and under wide thermal swings. The objective of this work was to evaluate the performance of a new commercial-off-the-shelf (COTS) SOI parts over an extended temperature range and to determine the effects of thermal cycling on their performance. The results will establish a baseline on the suitability of such devices for use in space exploration missions under extreme temperatures, and will aid mission planners and circuit designers in the proper selection of electronic parts and circuits. The electronic part investigated in this work comprised of a CHT-NMOS80

  20. SOI Digital Accelerometer Based on Pull-in Time Configuration

    NARCIS (Netherlands)

    Pakula, L.S.; Rajaraman, V.; French, P.J.

    2009-01-01

    The operation principle, design, fabrication and measurement results of a quasi digital accelerometer fabricated on a thin silicon-on-insulator (SOI) substrate is presented. The accelerometer features quasi-digital output, therefore eliminating the need for analogue signal conditioning. The

  1. Fully-etched apodized fiber-to-chip grating coupler on the SOI platform with -0.78 dB coupling efficiency using photonic crystals and bonded Al mirror

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ou, Haiyan; Peucheret, Christophe

    2014-01-01

    We design and fabricate an ultra-high coupling efficiency fully-etched apodized grating coupler on the SOI platform using photonic crystals and bonded aluminum mirror. Ultra-high coupling efficiency of -0.78 dB with a 3 dB bandwidth of 74 nm are demonstrated.......We design and fabricate an ultra-high coupling efficiency fully-etched apodized grating coupler on the SOI platform using photonic crystals and bonded aluminum mirror. Ultra-high coupling efficiency of -0.78 dB with a 3 dB bandwidth of 74 nm are demonstrated....

  2. A technique for simultaneously improving the product of cutoff frequency–breakdown voltage and thermal stability of SOI SiGe HBT

    International Nuclear Information System (INIS)

    Fu Qiang; Zhang Wan-Rong; Jin Dong-Yue; Zhao Yan-Xiao; Wang Xiao

    2016-01-01

    The product of the cutoff frequency and breakdown voltage ( f T ×BV CEO ) is an important figure of merit (FOM) to characterize overall performance of heterojunction bipolar transistor (HBT). In this paper, an approach to introducing a thin N + -buried layer into N collector region in silicon-on-insulator (SOI) SiGe HBT to simultaneously improve the FOM of f T ×BV CEO and thermal stability is presented by using two-dimensional (2D) numerical simulation through SILVACO device simulator. Firstly, in order to show some disadvantages of the introduction of SOI structure, the effects of SOI insulation layer thickness ( T BOX ) on f T , BV CEO , and the FOM of f T ×BV CEO are presented. The introduction of SOI structure remarkably reduces the electron concentration in collector region near SOI substrate insulation layer, obviously reduces f T , slightly increases BV CEO to some extent, but ultimately degrades the FOM of f T ×BV CEO . Although the f T , BV CEO , and the FOM of f T ×BV CEO can be improved by increasing SOI insulator SiO 2 layer thickness T BOX in SOI structure, the device temperature and collector current are increased due to lower thermal conductivity of SiO 2 layer, as a result, the self-heating effect of the device is enhanced, and the thermal stability of the device is degraded. Secondly, in order to alleviate the foregoing problem of low electron concentration in collector region near SOI insulation layer and the thermal stability resulting from thick T BOX , a thin N + -buried layer is introduced into collector region to not only improve the FOM of f T ×BV CEO , but also weaken the self-heating effect of the device, thus improving the thermal stability of the device. Furthermore, the effect of the location of the thin N + -buried layer in collector region is investigated in detail. The result show that the FOM of f T ×BV CEO is improved and the device temperature decreases as the N + -buried layer shifts toward SOI substrate insulation layer

  3. Insulator photocurrents: Application to dose rate hardening of CMOS/SOI integrated circuits

    International Nuclear Information System (INIS)

    Dupont-Nivet, E.; Coiec, Y.M.; Flament, O.; Tinel, F.

    1998-01-01

    Irradiation of insulators with a pulse of high energy x-rays can induce photocurrents in the interconnections of integrated circuits. The authors present, here, a new method to measure and analyze this effect together with a simple model. They also demonstrate that these insulator photocurrents have to be taken into account to obtain high levels of dose-rate hardness with CMOS on SOI integrated circuits, especially flip-flops or memory blocks of ASICs. They show that it explains some of the upsets observed in a SRAM embedded in an ASIC

  4. A Lateral Differential Resonant Pressure Microsensor Based on SOI-Glass Wafer-Level Vacuum Packaging

    Directory of Open Access Journals (Sweden)

    Bo Xie

    2015-09-01

    Full Text Available This paper presents the fabrication and characterization of a resonant pressure microsensor based on SOI-glass wafer-level vacuum packaging. The SOI-based pressure microsensor consists of a pressure-sensitive diaphragm at the handle layer and two lateral resonators (electrostatic excitation and capacitive detection on the device layer as a differential setup. The resonators were vacuum packaged with a glass cap using anodic bonding and the wire interconnection was realized using a mask-free electrochemical etching approach by selectively patterning an Au film on highly topographic surfaces. The fabricated resonant pressure microsensor with dual resonators was characterized in a systematic manner, producing a quality factor higher than 10,000 (~6 months, a sensitivity of about 166 Hz/kPa and a reduced nonlinear error of 0.033% F.S. Based on the differential output, the sensitivity was increased to two times and the temperature-caused frequency drift was decreased to 25%.

  5. A graphene spin diode based on Rashba SOI

    International Nuclear Information System (INIS)

    Mohammadpour, Hakimeh

    2015-01-01

    In this paper a graphene-based two-terminal electronic device is modeled for application in spintronics. It is based on a gapped armchair graphene nanoribbon (GNR). The electron transport is considered through a scattering or channel region which is sandwiched between two lateral semi-infinite ferromagnetic leads. The two ferromagnetic leads, being half-metallic, are supposed to be in either parallel or anti-parallel magnetization. Meanwhile, the central channel region is a normal layer under the influence of the Rashba SOI, induced e.g., by the substrate. The device operation is based on modulating the (spin-) current by tuning the strength of the RSOI. The resultant current, being spin-polarized, is controlled by the RSOI in mutual interplay with the channel length. Inverting alternating bias voltage to a fully rectified spin-current is the main achievement of this paper. - Highlights: • Graphene-based electronic device is modeled with ferromagnetic leads. • The device operation is based on modulating the (spin-) current by Rashba SOI. • Inverting alternating bias voltage to rectified spin-current is the main achievement

  6. Generation and confinement of mobile charges in buried oxide of SOI substrates

    International Nuclear Information System (INIS)

    Gruber, O.; Krawiec, S.; Musseau, O.; Paillet, Ph.; Courtot-Descharles, A.

    1999-01-01

    We analyze the mechanisms of generation and confinement of mobile protons resulting from hydrogen annealing of SOI buried oxides. This study of the mechanisms of generation and confinement of mobile protons in the buried oxide of SOI wafers emphasizes the importance of H+ diffusion in the oxide in the formation of a mobile charge. Under specific electric field conditions the irradiation of these devices results in a pinning of this mobile charge at the bottom Si-SiO 2 interface. Ab initio calculations are in progress to investigate the possible precursor defects in the oxide and detail the mechanism for mobile proton generation and confinement. (authors)

  7. A technique for simultaneously improving the product of cutoff frequency-breakdown voltage and thermal stability of SOI SiGe HBT

    Science.gov (United States)

    Fu, Qiang; Zhang, Wan-Rong; Jin, Dong-Yue; Zhao, Yan-Xiao; Wang, Xiao

    2016-12-01

    The product of the cutoff frequency and breakdown voltage (fT×BVCEO) is an important figure of merit (FOM) to characterize overall performance of heterojunction bipolar transistor (HBT). In this paper, an approach to introducing a thin N+-buried layer into N collector region in silicon-on-insulator (SOI) SiGe HBT to simultaneously improve the FOM of fT×BVCEO and thermal stability is presented by using two-dimensional (2D) numerical simulation through SILVACO device simulator. Firstly, in order to show some disadvantages of the introduction of SOI structure, the effects of SOI insulation layer thickness (TBOX) on fT, BVCEO, and the FOM of fT×BVCEO are presented. The introduction of SOI structure remarkably reduces the electron concentration in collector region near SOI substrate insulation layer, obviously reduces fT, slightly increases BVCEO to some extent, but ultimately degrades the FOM of fT×BVCEO. Although the fT, BVCEO, and the FOM of fT×BVCEO can be improved by increasing SOI insulator SiO2 layer thickness TBOX in SOI structure, the device temperature and collector current are increased due to lower thermal conductivity of SiO2 layer, as a result, the self-heating effect of the device is enhanced, and the thermal stability of the device is degraded. Secondly, in order to alleviate the foregoing problem of low electron concentration in collector region near SOI insulation layer and the thermal stability resulting from thick TBOX, a thin N+-buried layer is introduced into collector region to not only improve the FOM of fT×BVCEO, but also weaken the self-heating effect of the device, thus improving the thermal stability of the device. Furthermore, the effect of the location of the thin N+-buried layer in collector region is investigated in detail. The result show that the FOM of fT×BVCEO is improved and the device temperature decreases as the N+-buried layer shifts toward SOI substrate insulation layer. The approach to introducing a thin N+-buried layer

  8. Jean-Pierre Famose et Jean Bertsch, L’estime de soi : une controverse éducative, Paris, PUF, 2009, 192 p

    OpenAIRE

    Benamar, Aïcha

    2015-01-01

    L’ouvrage porte sur l’estime de soi, dans la sphère sociale en général et le monde éducatif en particulier. L’estime de soi est au cœur du comportement individuel, apportant confiance et assurance, permettant de progresser et in fine de réussir. Une faible estime de soi est fréquemment à l’origine de difficultés pour un individu : doutes, hésitations, ou à l’inverse vanité et arrogance. Un bon niveau d’estime de soi confère à la personnalité : capacité à s’affirmer et respect des autres. Cent...

  9. Evaluation of COTS SiGe, SOI, and Mixed Signal Electronic Parts for Extreme Temperature Use in NASA Missions

    Science.gov (United States)

    Patterson, Richard L.; Hammoud, Ahmad

    2010-01-01

    The NASA Electronic Parts and Packaging (NEPP) Program sponsors a task at the NASA Glenn Research Center titled "Reliability of SiGe, SOI, and Advanced Mixed Signal Devices for Cryogenic Space Missions." In this task COTS parts and flight-like are evaluated by determining their performance under extreme temperatures and thermal cycling. The results from the evaluations are published on the NEPP website and at professional conferences in order to disseminate information to mission planners and system designers. This presentation discusses the task and the 2010 highlights and technical results. Topics include extreme temperature operation of SiGe and SOI devices, all-silicon oscillators, a floating gate voltage reference, a MEMS oscillator, extreme temperature resistors and capacitors, and a high temperature silicon operational amplifier.

  10. SOI Transistor measurement techniques using body contacted transistors

    International Nuclear Information System (INIS)

    Worley, E.R.; Williams, R.

    1989-01-01

    Measurements of body contacted SOI transistors are used to isolate parameters of the back channel and island edge transistor. Properties of the edge and back channel transistor have been measured before and after X-ray irradiation (ARACOR). The unique properties of the edge transistor are shown to be a result of edge geometry as confirmed by a two dimensional transistor simulator

  11. Split-Capacitance and Conductance-Frequency Characteristics of SOI Wafers in Pseudo-MOSFET Configuration

    KAUST Repository

    Pirro, Luca

    2015-09-01

    Recent experimental results have demonstrated the possibility of characterizing silicon-on-insulator (SOI) wafers through split C-V measurements in the pseudo-MOSFET configuration. This paper analyzes the capacitance and conductance versus frequency characteristics. We discuss the conditions under which it is possible to extract interface trap density in bare SOI wafers. The results indicate, through both measurements and simulations, that the signature due to interface trap density is present in small-area samples, but is masked by the RC response of the channel in regular, large-area ones, making the extraction in standard samples problematic. © 1963-2012 IEEE.

  12. Split-Capacitance and Conductance-Frequency Characteristics of SOI Wafers in Pseudo-MOSFET Configuration

    KAUST Repository

    Pirro, Luca; Diab, Amer El Hajj; Ionica, Irina; Ghibaudo, Gerard; Faraone, Lorenzo; Cristoloveanu, Sorin

    2015-01-01

    Recent experimental results have demonstrated the possibility of characterizing silicon-on-insulator (SOI) wafers through split C-V measurements in the pseudo-MOSFET configuration. This paper analyzes the capacitance and conductance versus frequency characteristics. We discuss the conditions under which it is possible to extract interface trap density in bare SOI wafers. The results indicate, through both measurements and simulations, that the signature due to interface trap density is present in small-area samples, but is masked by the RC response of the channel in regular, large-area ones, making the extraction in standard samples problematic. © 1963-2012 IEEE.

  13. Low-Power RF SOI-CMOS Technology for Distributed Sensor Networks

    Science.gov (United States)

    Dogan, Numan S.

    2003-01-01

    The objective of this work is to design and develop Low-Power RF SOI-CMOS Technology for Distributed Sensor Networks. We briefly report on the accomplishments in this work. We also list the impact of this work on graduate student research training/involvement.

  14. Fully etched apodized grating coupler on the SOI platform with −058 dB coupling efficiency

    DEFF Research Database (Denmark)

    Ding, Yunhong; Peucheret, Christophe; Ou, Haiyan

    2014-01-01

    We design and fabricate an ultrahigh coupling efficiency (CE) fully etched apodized grating coupler on the silicon- on-insulator (SOI) platform using subwavelength photonic crystals and bonded aluminum mirror. Fabrication error sensitivity andcoupling angle dependence are experimentally investiga......We design and fabricate an ultrahigh coupling efficiency (CE) fully etched apodized grating coupler on the silicon- on-insulator (SOI) platform using subwavelength photonic crystals and bonded aluminum mirror. Fabrication error sensitivity andcoupling angle dependence are experimentally...

  15. A monolithic pixel sensor (TRAPPISTe-2) for particle physics instrumentation in OKI 0.2μm SOI technology

    Science.gov (United States)

    Soung Yee, L.; Alvarez, P.; Martin, E.; Cortina, E.; Ferrer, C.

    2012-12-01

    A monolithic active pixel sensor for charged particle tracking has been developed within the frame of a research and development project called TRAPPISTe (Tracking Particles for Physics Instrumentation in SOI Technology). TRAPPISTe aims to study the feasibility of developing a monolithic pixel sensor with SOI technology. TRAPPISTe-2 is the second prototype in this series and was fabricated with an OKI 0.20μm fully depleted (FD-SOI) CMOS process. This device contains test transistors and amplifiers, as well as two pixel matrices with integrated 3-transistor and amplifier readout electronics. The results presented are based on the first electrical measurements performed on the test structures and laser measurements on the pixel matrices.

  16. Influence of edge effects on single event upset susceptibility of SOI SRAMs

    International Nuclear Information System (INIS)

    Gu, Song; Liu, Jie; Zhao, Fazhan; Zhang, Zhangang; Bi, Jinshun; Geng, Chao; Hou, Mingdong; Liu, Gang; Liu, Tianqi; Xi, Kai

    2015-01-01

    An experimental investigation of the single event upset (SEU) susceptibility for heavy ions at tilted incidence was performed. The differences of SEU cross-sections between tilted incidence and normal incidence at equivalent effective linear energy transfer were 21% and 57% for the silicon-on-insulator (SOI) static random access memories (SRAMs) of 0.5 μm and 0.18 μm feature size, respectively. The difference of SEU cross-section raised dramatically with increasing tilt angle for SOI SRAM of deep-submicron technology. The result of CRÈME-MC simulation for tilted irradiation of the sensitive volume indicates that the energy deposition spectrum has a substantial tail extending into the low energy region. The experimental results show that the influence of edge effects on SEU susceptibility cannot be ignored in particular with device scaling down

  17. An SOI CMOS-Based Multi-Sensor MEMS Chip for Fluidic Applications.

    Science.gov (United States)

    Mansoor, Mohtashim; Haneef, Ibraheem; Akhtar, Suhail; Rafiq, Muhammad Aftab; De Luca, Andrea; Ali, Syed Zeeshan; Udrea, Florin

    2016-11-04

    An SOI CMOS multi-sensor MEMS chip, which can simultaneously measure temperature, pressure and flow rate, has been reported. The multi-sensor chip has been designed keeping in view the requirements of researchers interested in experimental fluid dynamics. The chip contains ten thermodiodes (temperature sensors), a piezoresistive-type pressure sensor and nine hot film-based flow rate sensors fabricated within the oxide layer of the SOI wafers. The silicon dioxide layers with embedded sensors are relieved from the substrate as membranes with the help of a single DRIE step after chip fabrication from a commercial CMOS foundry. Very dense sensor packing per unit area of the chip has been enabled by using technologies/processes like SOI, CMOS and DRIE. Independent apparatuses were used for the characterization of each sensor. With a drive current of 10 µA-0.1 µA, the thermodiodes exhibited sensitivities of 1.41 mV/°C-1.79 mV/°C in the range 20-300 °C. The sensitivity of the pressure sensor was 0.0686 mV/(V excit kPa) with a non-linearity of 0.25% between 0 and 69 kPa above ambient pressure. Packaged in a micro-channel, the flow rate sensor has a linearized sensitivity of 17.3 mV/(L/min) -0.1 in the tested range of 0-4.7 L/min. The multi-sensor chip can be used for simultaneous measurement of fluid pressure, temperature and flow rate in fluidic experiments and aerospace/automotive/biomedical/process industries.

  18. Dimensional effects and scalability of Meta-Stable Dip (MSD) memory effect for 1T-DRAM SOI MOSFETs

    Science.gov (United States)

    Hubert, A.; Bawedin, M.; Cristoloveanu, S.; Ernst, T.

    2009-12-01

    The difficult scaling of bulk Dynamic Random Access Memories (DRAMs) has led to various concepts of capacitor-less single-transistor (1T) architectures based on SOI transistor floating-body effects. Amongst them, the Meta-Stable Dip RAM (MSDRAM), which is a double-gate Fully Depleted SOI transistor, exhibits attractive performances. The Meta-Stable Dip effect results from the reduced junction leakage current and the long carrier generation lifetime in thin silicon film transistors. In this study, various devices with different gate lengths, widths and silicon film thicknesses have been systematically explored, revealing the impact of transistor dimensions on the MSD effect. These experimental results are discussed and validated by two-dimensional numerical simulations. It is found that MSD is maintained for small dimensions even in standard SOI MOSFETs, although specific optimizations are expected to enhance MSDRAM performances.

  19. Analyses of the radiation-caused characteristics change in SOI MOSFETs using field shield isolation

    International Nuclear Information System (INIS)

    Hirano, Yuuichi; Maeda, Shigeru; Fernandez, Warren; Iwamatsu, Toshiaki; Yamaguchi, Yasuo; Maegawa, Shigeto; Nishimura, Tadashi

    1999-01-01

    Reliability against radiation ia an important issue in silicon on insulator metal oxide semiconductor field effect transistors (SOI MOSFETs) used in satellites and nuclear power plants and so forth which are severely exposed to radiation. Radiation-caused characteristic change related to the isolation-edge in an irradiated environment was analyzed on SOI MOSFETs. Moreover short channel effects for an irradiated environment were investigated by simulations. It was revealed that the leakage current which was observed in local oxidation of silicon (LOCOS) isolated SOI MOSFETs was successfully suppressed by using field shield isolation. Simulated potential indicated that the potential rise at the LOCOS edge can not be seen in the case of field shield isolation edge which does not have physical isolation. Also it was found that the threshold voltage shift caused by radiation in short channel regime is severer than that in long regime channel. In transistors with a channel length of 0.18μm, a potential rise of the body region by radiation-induced trapped holes can be seen in comparison with that of 1.0μm. As a result, we must consider these effects for designing deep submicron devices used in an irradiated environment. (author)

  20. Density dependence of electron mobility in the accumulation mode for fully depleted SOI films

    Energy Technology Data Exchange (ETDEWEB)

    Naumova, O. V., E-mail: naumova@isp.nsc.ru; Zaitseva, E. G.; Fomin, B. I.; Ilnitsky, M. A.; Popov, V. P. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics, Siberian Branch (Russian Federation)

    2015-10-15

    The electron mobility µ{sub eff} in the accumulation mode is investigated for undepleted and fully depleted double-gate n{sup +}–n–n{sup +} silicon-on-insulator (SOI) metal–oxide–semiconductor field-effect transistors (MOSFET). To determine the range of possible values of the mobility and the dominant scattering mechanisms in thin-film structures, it is proposed that the field dependence of the mobility µ{sub eff} be replaced with the dependence on the density N{sub e} of induced charge carriers. It is shown that the dependences µ{sub eff}(N{sub e}) can be approximated by the power functions µ{sub eff}(N{sub e}) ∝ N{sub e}{sup -n}, where the exponent n is determined by the chargecarrier scattering mechanism as in the mobility field dependence. The values of the exponent n in the dependences µ{sub eff}(N{sub e}) are determined when the SOI-film mode near one of its surfaces varies from inversion to accumulation. The obtained results are explained from the viewpoint of the electron-density redistribution over the SOI-film thickness and changes in the scattering mechanisms.

  1. New Insights into Fully-Depleted SOI Transistor Response During Total Dose Irradiation

    International Nuclear Information System (INIS)

    Burns, J.A.; Dodd, P.E.; Keast, C.L.; Schwank, J.R.; Shaneyfelt, M.R.; Wyatt, P.W.

    1999-01-01

    Worst-case bias configuration for total-dose testing fully-depleted SOI transistors was found to be process dependent. No evidence was found for total-dose induced snap back. These results have implications for hardness assurance testing

  2. A rugged 650 V SOI-based high-voltage half-bridge IGBT gate driver IC for motor drive applications

    Science.gov (United States)

    Hua, Qing; Li, Zehong; Zhang, Bo; Chen, Weizhong; Huang, Xiangjun; Feng, Yuxiang

    2015-05-01

    This paper proposes a rugged high-voltage N-channel insulated gate bipolar transistor (IGBT) gate driver integrated circuit. The device integrates a high-side and a low-side output stages on a single chip, which is designed specifically for motor drive applications. High-voltage level shift technology enables the high-side stage of this device to operate up to 650 V. The logic inputs are complementary metal oxide semiconductor (CMOS)/transistor transistor logic compatible down to 3.3 V. Undervoltage protection functionality with hysteresis characteristic has also been integrated to enhance the device reliability. The device is fabricated in a 1.0 μm, 650 V high-voltage bipolar CMOS double-diffused metal oxide semiconductor (BCD) on silicon-on-insulator (SOI) process. Deep trench dielectric isolation technology is employed to provide complete electrical isolation with advantages such as reduced parasitic effects, excellent noise immunity and low leakage current. Experimental results show that the isolation voltage of this device can be up to approximately 779 V at 25°C, and the leakage current is only 5 nA at 650 V, which is 15% higher and 67% lower than the conventional ones. In addition, it delivers an excellent thermal stability and needs very low quiescent current and offers a high gate driver capability which is needed to adequately drive IGBTs that have large input capacitances.

  3. Effects of ultra-thin Si-fin body widths upon SOI PMOS FinFETs

    Science.gov (United States)

    Liaw, Yue-Gie; Chen, Chii-Wen; Liao, Wen-Shiang; Wang, Mu-Chun; Zou, Xuecheng

    2018-05-01

    Nano-node tri-gate FinFET devices have been developed after integrating a 14 Å nitrided gate oxide upon the silicon-on-insulator (SOI) wafers established on an advanced CMOS logic platform. These vertical double gate (FinFET) devices with ultra-thin silicon fin (Si-fin) widths ranging from 27 nm to 17 nm and gate length down to 30 nm have been successfully developed with a 193 nm scanner lithography tool. Combining the cobalt fully silicidation and the CESL strain technology beneficial for PMOS FinFETs was incorporated into this work. Detailed analyses of Id-Vg characteristics, threshold voltage (Vt), and drain-induced barrier lowering (DIBL) illustrate that the thinnest 17 nm Si-fin width FinFET exhibits the best gate controllability due to its better suppression of short channel effect (SCE). However, higher source/drain resistance (RSD), channel mobility degradation due to dry etch steps, or “current crowding effect” will slightly limit its transconductance (Gm) and drive current.

  4. The effect of gate length on SOI-MOSFETS operation | Baedi ...

    African Journals Online (AJOL)

    The effect of gate length on the operation of silicon-on-insulator (SOI) MOSFET structure with a layer of buried silicon oxide added to isolate the device body has been simulated. Three transistors with gate lengths of 100, 200 and 500 nm were simulated. Simulations showed that with a fixed channel length, when the gate ...

  5. New insights into fully-depleted SOI transistor response during total-dose irradiation

    International Nuclear Information System (INIS)

    Schwank, J.R.; Shaneyfelt, M.R.; Dodd, P.E.; Burns, J.A.; Keast, C.L.; Wyatt, P.W.

    1999-01-01

    In this paper, we present irradiation results on 2-fully depleted processes (HYSOI6, RKSOI) that show SOI (silicon on insulator) device response can be more complicated than originally suggested by others. The major difference between the 2 process versions is that the RKSOI process incorporates special techniques to minimize pre-irradiation parasitic leakage current from trench sidewalls. Transistors were irradiated at room temperature using 10 keV X-ray source. Worst-case bias configuration for total-dose testing fully-depleted SOI transistors was found to be process dependent. It appears that the worst-case bias for HYPOI6 process is the bias that causes the largest increase in sidewall leakage. The RKSOI process shows a different response during irradiation, the transition response appears to be dominated by charge trapping in the buried oxide. These results have implications for hardness assurance testing. (A.C.)

  6. An SOI CMOS-Based Multi-Sensor MEMS Chip for Fluidic Applications †

    Science.gov (United States)

    Mansoor, Mohtashim; Haneef, Ibraheem; Akhtar, Suhail; Rafiq, Muhammad Aftab; De Luca, Andrea; Ali, Syed Zeeshan; Udrea, Florin

    2016-01-01

    An SOI CMOS multi-sensor MEMS chip, which can simultaneously measure temperature, pressure and flow rate, has been reported. The multi-sensor chip has been designed keeping in view the requirements of researchers interested in experimental fluid dynamics. The chip contains ten thermodiodes (temperature sensors), a piezoresistive-type pressure sensor and nine hot film-based flow rate sensors fabricated within the oxide layer of the SOI wafers. The silicon dioxide layers with embedded sensors are relieved from the substrate as membranes with the help of a single DRIE step after chip fabrication from a commercial CMOS foundry. Very dense sensor packing per unit area of the chip has been enabled by using technologies/processes like SOI, CMOS and DRIE. Independent apparatuses were used for the characterization of each sensor. With a drive current of 10 µA–0.1 µA, the thermodiodes exhibited sensitivities of 1.41 mV/°C–1.79 mV/°C in the range 20–300 °C. The sensitivity of the pressure sensor was 0.0686 mV/(Vexcit kPa) with a non-linearity of 0.25% between 0 and 69 kPa above ambient pressure. Packaged in a micro-channel, the flow rate sensor has a linearized sensitivity of 17.3 mV/(L/min)−0.1 in the tested range of 0–4.7 L/min. The multi-sensor chip can be used for simultaneous measurement of fluid pressure, temperature and flow rate in fluidic experiments and aerospace/automotive/biomedical/process industries. PMID:27827904

  7. Food security among individuals experiencing homelessness and mental illness in the At Home/Chez Soi Trial.

    Science.gov (United States)

    O'Campo, Patricia; Hwang, Stephen W; Gozdzik, Agnes; Schuler, Andrée; Kaufman-Shriqui, Vered; Poremski, Daniel; Lazgare, Luis Ivan Palma; Distasio, Jino; Belbraouet, Slimane; Addorisio, Sindi

    2017-08-01

    Individuals experiencing homelessness are particularly vulnerable to food insecurity. The At Home/Chez Soi study provides a unique opportunity to first examine baseline levels of food security among homeless individuals with mental illness and second to evaluate the effect of a Housing First (HF) intervention on food security in this population. At Home/Chez Soi was a 2-year randomized controlled trial comparing the effectiveness of HF compared with usual care among homeless adults with mental illness, stratified by level of need for mental health services (high or moderate). Logistic regressions tested baseline associations between food security (US Food Security Survey Module), study site, sociodemographic variables, duration of homelessness, alcohol/substance use, physical health and service utilization. Negative binomial regression determined the impact of the HF intervention on achieving levels of high or marginal food security over an 18-month follow-up period (6 to 24 months). Community settings at five Canadian sites (Moncton, Montreal, Toronto, Winnipeg and Vancouver). Homeless adults with mental illness (n 2148). Approximately 41 % of our sample reported high or marginal food security at baseline, but this figure varied with gender, age, mental health issues and substance use problems. High need participants who received HF were more likely to achieve marginal or high food security than those receiving usual care, but only at the Toronto and Moncton sites. Our large multi-site study demonstrated low levels of food security among homeless experiencing mental illness. HF showed promise for improving food security among participants with high levels of need for mental health services, with notable site differences.

  8. Méditation et pratique de soi chez Malebranche.

    Directory of Open Access Journals (Sweden)

    Éric Dubreucq

    2004-04-01

    Full Text Available Une étude des Méditations pour se disposer à l’Humilité et à la pénitence qui les replace dans le cadre des pratiques de son époque, par exemple, chez François de Sales, celles de l’oraison, de la méditation et de la contemplation, permet d’apercevoir que l’une des thèses majeures du malebranchisme, la vision en Dieu, est un effet instauré dans le destinataire par un dispositif textuel. Celui-ci tire sa puissance prescriptive de l’a priori pratique où il s’inscrit. C’est à une opération de production de soi que l’exercice spirituel donne lieu : l’analyse des quatre premières Méditations chrétiennes et métaphysiques, en particulier, montre que c’est une organisation de la substance personnelle que provoque le travail spirituel sur soi. Celui-ci consiste à déterminer le rapport à soi comme relation d’une vision attentive à une activité illuminante, par un décentrement textuel du « je » vers le « tu ».One of the major Malebranche’s assertion, that we see truth in God, is not a mere theoretical thesis. I study first the Méditations pour se disposer à l’Humilité et à la pénitence and compare them with François de Sales’ spiritual exercitations, and show that prayer, meditation and contemplation constitute the practical frameworks of this period. The text of the Méditations is an apparatus which is fit to cause an effect in its target – the self of the reader : the vision in God. The practical a priori of the meditation provides the text with prescriptive power to transform the self. Then I study the Méditations chrétiennes et métaphysiques i-iv : we see that Malebranche set his textual apparatus so that it prescribes its receiver a form of « work-on-one’s-self ». The self is here produced by the organisation of relationship between attentive vision and lighting action, and this structure is built in the self by a movement, induced by the text, which leads the self from

  9. Analysis of silicon on insulator (SOI) optical microring add-drop filter based on waveguide intersections

    Science.gov (United States)

    Kaźmierczak, Andrzej; Bogaerts, Wim; Van Thourhout, Dries; Drouard, Emmanuel; Rojo-Romeo, Pedro; Giannone, Domenico; Gaffiot, Frederic

    2008-04-01

    We present a compact passive optical add-drop filter which incorporates two microring resonators and a waveguide intersection in silicon-on-insulator (SOI) technology. Such a filter is a key element for designing simple layouts of highly integrated complex optical networks-on-chip. The filter occupies an area smaller than 10μm×10μm and exhibits relatively high quality factors (up to 4000) and efficient signal dropping capabilities. In the present work, the influence of filter parameters such as the microring-resonators radii and the coupling section shape are analyzed theoretically and experimentally

  10. Effect of the Ion Mass and Energy on the Response of 70-nm SOI Transistors to the Ion Deposited Charge by Direct Ionization

    International Nuclear Information System (INIS)

    Raine, M.; Gaillardin, M.; Sauvestre, J.E.; Flament, O.; Bournel, A.; Aubry-Fortuna, V.

    2010-01-01

    The response of SOI transistors under heavy ion irradiation is analyzed using Geant4 and Synopsys Sentaurus device simulations. The ion mass and energy have a significant impact on the radial ionization profile of the ion deposited charge. For example, for an identical LET, the higher the ion energy per nucleon, the wider the radial ionization track. For a 70-nm SOI technology, the track radius of high energy ions (≥ 10 MeV/a) is larger than the transistor sensitive volume; part of the ion charge recombines in the highly doped source or drain regions and does not participate to the transistor electric response. At lower energy (≤ 10 MeV/a), as often used for ground testing, the track radius is smaller than the transistor sensitive volume, and the entire charge is used for the transistor response. The collected charge is then higher, corresponding to a worst-case response of the transistor. Implications for the hardness assurance of highly-scaled generations are discussed. (authors)

  11. One-dimensional breakdown voltage model of SOI RESURF lateral power device based on lateral linearly graded approximation

    International Nuclear Information System (INIS)

    Zhang Jun; Guo Yu-Feng; Xu Yue; Lin Hong; Yang Hui; Hong Yang; Yao Jia-Fei

    2015-01-01

    A novel one-dimensional (1D) analytical model is proposed for quantifying the breakdown voltage of a reduced surface field (RESURF) lateral power device fabricated on silicon on an insulator (SOI) substrate. We assume that the charges in the depletion region contribute to the lateral PN junctions along the diagonal of the area shared by the lateral and vertical depletion regions. Based on the assumption, the lateral PN junction behaves as a linearly graded junction, thus resulting in a reduced surface electric field and high breakdown voltage. Using the proposed model, the breakdown voltage as a function of device parameters is investigated and compared with the numerical simulation by the TCAD tools. The analytical results are shown to be in fair agreement with the numerical results. Finally, a new RESURF criterion is derived which offers a useful scheme to optimize the structure parameters. This simple 1D model provides a clear physical insight into the RESURF effect and a new explanation on the improvement in breakdown voltage in an SOI RESURF device. (paper)

  12. Photonic bandpass filter characteristics of multimode SOI waveguides integrated with submicron gratings.

    Science.gov (United States)

    Sah, Parimal; Das, Bijoy Krishna

    2018-03-20

    It has been shown that a fundamental mode adiabatically launched into a multimode SOI waveguide with submicron grating offers well-defined flat-top bandpass filter characteristics in transmission. The transmitted spectral bandwidth is controlled by adjusting both waveguide and grating design parameters. The bandwidth is further narrowed down by cascading two gratings with detuned parameters. A semi-analytical model is used to analyze the filter characteristics (1500  nm≤λ≤1650  nm) of the device operating in transverse-electric polarization. The proposed devices were fabricated with an optimized set of design parameters in a SOI substrate with a device layer thickness of 250 nm. The pass bandwidth of waveguide devices integrated with single-stage gratings are measured to be ∼24  nm, whereas the device with two cascaded gratings with slightly detuned periods (ΔΛ=2  nm) exhibits a pass bandwidth down to ∼10  nm.

  13. A 2D simulation study and characterization of a novel vertical SOI MOSFET with a smart source/body tie

    International Nuclear Information System (INIS)

    Lin, Jyi-Tsong; Lee, Tai-Yi; Lin, Kao-Cheng

    2008-01-01

    A novel vertical silicon-on-insulator (SOI) metal-oxide-semiconductor field-effect transistor (MOSFET) with a smart source/body contact, SSBVMOS, is presented here for the first time. 2D simulations reveal that the SSBVMOS reduces self-heating effects, with the lattice temperature reduced by 14% and the hole temperature reduced by 25%. The SSBVMOS also eliminates the floating body effect, something that other SOI vertical MOSFETs are unable to accomplish, regardless of the thickness of the thin film. The SSBVMOS is further found to have a better drain-induced barrier lowering and subthreshold swing than either a conventional vertical MOSFET or an SOI vertical MOSFET. Moreover, these results are achieved using typical pillar heights and buried oxide thicknesses. Should future technological advances allow for lower pillars or thinner buried oxides, the SSBVMOS performance would further increase

  14. A Temperature Sensor using a Silicon-on-Insulator (SOI) Timer for Very Wide Temperature Measurement

    Science.gov (United States)

    Patterson, Richard L.; Hammoud, Ahmad; Elbuluk, Malik; Culley, Dennis E.

    2008-01-01

    A temperature sensor based on a commercial-off-the-shelf (COTS) Silicon-on-Insulator (SOI) Timer was designed for extreme temperature applications. The sensor can operate under a wide temperature range from hot jet engine compartments to cryogenic space exploration missions. For example, in Jet Engine Distributed Control Architecture, the sensor must be able to operate at temperatures exceeding 150 C. For space missions, extremely low cryogenic temperatures need to be measured. The output of the sensor, which consisted of a stream of digitized pulses whose period was proportional to the sensed temperature, can be interfaced with a controller or a computer. The data acquisition system would then give a direct readout of the temperature through the use of a look-up table, a built-in algorithm, or a mathematical model. Because of the wide range of temperature measurement and because the sensor is made of carefully selected COTS parts, this work is directly applicable to the NASA Fundamental Aeronautics/Subsonic Fixed Wing Program--Jet Engine Distributed Engine Control Task and to the NASA Electronic Parts and Packaging (NEPP) Program. In the past, a temperature sensor was designed and built using an SOI operational amplifier, and a report was issued. This work used an SOI 555 timer as its core and is completely new work.

  15. Anomalous DIBL Effect in Fully Depleted SOI MOSFETs Using Nanoscale Gate-Recessed Channel Process

    Directory of Open Access Journals (Sweden)

    Avi Karsenty

    2015-01-01

    Full Text Available Nanoscale Gate-Recessed Channel (GRC Fully Depleted- (FD- SOI MOSFET device with a silicon channel thickness (tSi as low as 2.2 nm was first tested at room temperature for functionality check and then tested at low temperature (77 K for I-V characterizations. In spite of its FD-SOI nanoscale thickness and long channel feature, the device has surprisingly exhibited a Drain-Induced Barrier Lowering (DIBL effect at RT. However, this effect was suppressed at 77 K. If the apparition of such anomalous effect can be explained by a parasitic short channel transistor located at the edges of the channel, its suppression is explained by the decrease of the potential barrier between the drain and the channel when lowering the temperature.

  16. Structural Make-up, Biopolymer Conformation, and Biodegradation Characteristics of Newly Developed Super Genotype of Oats (CDC SO-I vs. Conventional Varieties): Novel Approach

    International Nuclear Information System (INIS)

    Damiran, D.; Yu, P.

    2010-01-01

    Recently, a new 'super' genotype of oats (CDC SO-I or SO-I) has been developed. The objectives of this study were to determine structural makeup (features) of oat grain in endosperm and pericarp regions and to reveal and identify differences in protein amide I and II and carbohydrate structural makeup (conformation) between SO-I and two conventional oats (CDC Dancer and Derby) grown in western Canada in 2006, using advanced synchrotron radiation based Fourier transform infrared microspectroscopy (SRFTIRM). The SRFTIRM experiments were conducted at National Synchrotron Light Sources, Brookhaven National Laboratory (NSLS, BNL, U.S. Department of Energy). From the results, it was observed that comparison between the new genotype oats and conventional oats showed (1) differences in basic chemical and protein subfraction profiles and energy values with the new SO-I oats containing lower lignin (21 g/kg of DM) and higher soluble crude protein (530 g/kg CP), crude fat (59 g/kg of DM), and energy values (TDN, 820 g/kg of DM; NE L3x , 7.8 MJ/kg of DM); (2) significant differences in rumen biodegradation kinetics of dry matter, starch, and protein with the new SO-I oats containing lower EDDM (638 g/kg of DM) and higher EDCP (103 g/kg of DM); (3) significant differences in nutrient supply with highest truly absorbed rumen undegraded protein (ARUP, 23 g/kg of DM) and total metabolizable protein supply (MP, 81 g/kg of DM) from the new SO-I oats; and (4) significant differences in structural makeup in terms of protein amide I in the endosperm region (with amide I peak height from 0.13 to 0.22 IR absorbance unit) and cellulosic compounds to carbohydrate ratio in the pericarp region (ratio from 0.02 to 0.06). The results suggest that with the SRFTIRM technique, the structural makeup differences between the new genotype oats (SO-I) and two conventional oats (Dancer and Derby) could be revealed.

  17. Improving breakdown voltage performance of SOI power device with folded drift region

    Science.gov (United States)

    Qi, Li; Hai-Ou, Li; Ping-Jiang, Huang; Gong-Li, Xiao; Nian-Jiong, Yang

    2016-07-01

    A novel silicon-on-insulator (SOI) high breakdown voltage (BV) power device with interlaced dielectric trenches (IDT) and N/P pillars is proposed. In the studied structure, the drift region is folded by IDT embedded in the active layer, which results in an increase of length of ionization integral remarkably. The crowding phenomenon of electric field in the corner of IDT is relieved by the N/P pillars. Both traits improve two key factors of BV, the ionization integral length and electric field magnitude, and thus BV is significantly enhanced. The electric field in the dielectric layer is enhanced and a major portion of bias is borne by the oxide layer due to the accumulation of inverse charges (holes) at the corner of IDT. The average value of the lateral electric field of the proposed device reaches 60 V/μm with a 10 μm drift length, which increases by 200% in comparison to the conventional SOI LDMOS, resulting in a breakdown voltage of 607 V. Project supported by the Guangxi Natural Science Foundation of China (Grant Nos. 2013GXNSFAA019335 and 2015GXNSFAA139300), Guangxi Experiment Center of Information Science of China (Grant No. YB1406), Guangxi Key Laboratory of Wireless Wideband Communication and Signal Processing of China, Key Laboratory of Cognitive Radio and Information Processing (Grant No. GXKL061505), Guangxi Key Laboratory of Automobile Components and Vehicle Technology of China (Grant No. 2014KFMS04), and the National Natural Science Foundation of China (Grant Nos. 61361011, 61274077, and 61464003).

  18. The founder of the Friends Foundation--Tessie Soi.

    Science.gov (United States)

    Topurua, Ore

    2013-01-01

    Tessie Soi is well known in Papua New Guinea and beyond for her work with HIV/AIDS (human immunodeficiency virus/acquired immune deficiency syndrome) patients, including through the Friends Foundation, an organization that focuses on helping families affected by HIV and AIDS. This article explores Tessie's early life and childhood, providing insight into some of the values she learned from her parents. Providing details about the Friends Foundation and the Orphan Buddy Systems program, a program Tessie established to support AIDS orphans, the article offers insight into Tessie's beliefs and compassion, simultaneously highlighting the value she places on her family.

  19. Operation of SOI P-Channel Field Effect Transistors, CHT-PMOS30, under Extreme Temperatures

    Science.gov (United States)

    Patterson, Richard; Hammoud, Ahmad

    2009-01-01

    Electronic systems are required to operate under extreme temperatures in NASA planetary exploration and deep space missions. Electronics on-board spacecraft must also tolerate thermal cycling between extreme temperatures. Thermal management means are usually included in today s spacecraft systems to provide adequate temperature for proper operation of the electronics. These measures, which may include heating elements, heat pipes, radiators, etc., however add to the complexity in the design of the system, increases its cost and weight, and affects its performance and reliability. Electronic parts and circuits capable of withstanding and operating under extreme temperatures would reflect in improvement in system s efficiency, reducing cost, and improving overall reliability. Semiconductor chips based on silicon-on-insulator (SOI) technology are designed mainly for high temperature applications and find extensive use in terrestrial well-logging fields. Their inherent design offers advantages over silicon devices in terms of reduced leakage currents, less power consumption, faster switching speeds, and good radiation tolerance. Little is known, however, about their performance at cryogenic temperatures and under wide thermal swings. Experimental investigation on the operation of SOI, N-channel field effect transistors under wide temperature range was reported earlier [1]. This work examines the performance of P-channel devices of these SOI transistors. The electronic part investigated in this work comprised of a Cissoid s CHT-PMOS30, high temperature P-channel MOSFET (metal-oxide semiconductor field-effect transistor) device [2]. This high voltage, medium-power transistor is designed for geothermal well logging applications, aerospace and avionics, and automotive industry, and is specified for operation in the temperature range of -55 C to +225 C. Table I shows some specifications of this transistor [2]. The CHT-PMOS30 device was characterized at various temperatures

  20. Development of an X-ray imaging system with SOI pixel detectors

    Energy Technology Data Exchange (ETDEWEB)

    Nishimura, Ryutaro, E-mail: ryunishi@post.kek.jp [School of High Energy Accelerator Science, SOKENDAI (The Graduate University for Advanced Studies), Oho 1-1, Tsukuba, Ibaraki 305-0801 (Japan); Arai, Yasuo; Miyoshi, Toshinobu [Institute of Particle and Nuclear Studies, High Energy Accelerator Research Organization (KEK-IPNS), Oho 1-1, Tsukuba, Ibaraki 305-0801 (Japan); Hirano, Keiichi; Kishimoto, Shunji; Hashimoto, Ryo [Institute of Materials Structure Science, High Energy Accelerator Research Organization (KEK-IMSS), Oho 1-1, Tsukuba, Ibaraki 305-0801 (Japan)

    2016-09-21

    An X-ray imaging system employing pixel sensors in silicon-on-insulator technology is currently under development. The system consists of an SOI pixel detector (INTPIX4) and a DAQ system based on a multi-purpose readout board (SEABAS2). To correct a bottleneck in the total throughput of the DAQ of the first prototype, parallel processing of the data taking and storing processes and a FIFO buffer were implemented for the new DAQ release. Due to these upgrades, the DAQ throughput was improved from 6 Hz (41 Mbps) to 90 Hz (613 Mbps). The first X-ray imaging system with the new DAQ software release was tested using 33.3 keV and 9.5 keV mono X-rays for three-dimensional computerized tomography. The results of these tests are presented. - Highlights: • The X-ray imaging system employing the SOI pixel sensor is currently under development. • The DAQ of the first prototype has the bottleneck in the total throughput. • The new DAQ release solve the bottleneck by parallel processing and FIFO buffer. • The new DAQ release was tested using 33.3 keV and 9.5 keV mono X-rays.

  1. Comparison of short-circuit characteristics of trench gate and planar gate U-shaped channel SOI-LIGBTs

    Science.gov (United States)

    Zhang, Long; Zhu, Jing; Sun, Weifeng; Zhao, Minna; Huang, Xuequan; Chen, Jiajun; Shi, Longxing; Chen, Jian; Ding, Desheng

    2017-09-01

    Comparison of short-circuit (SC) characteristics of 500 V rated trench gate U-shaped channel (TGU) SOI-LIGBT and planar gate U-shaped channel (PGU) SOI-LIGBT is made for the first time in this paper. The on-state carrier profile of the TGU structure is reshaped by the dual trenches (a gate trench G1 and a hole barrier trench G2), which leads to a different conduction behavior from that of the PGU structure. The TGU structure exhibits a higher latchup immunity but a severer self-heating effect. At current density (JC) 640 A/cm2. Comparison of layouts and fabrication processes are also made between the two types of devices.

  2. Structural makeup, biopolymer conformation, and biodegradation characteristics of a newly developed super genotype of oats (CDC SO-I versus conventional varieties): a novel approach.

    Science.gov (United States)

    Damiran, Daalkhaijav; Yu, Peiqiang

    2010-02-24

    Recently, a new "super" genotype of oats (CDC SO-I or SO-I) has been developed. The objectives of this study were to determine structural makeup (features) of oat grain in endosperm and pericarp regions and to reveal and identify differences in protein amide I and II and carbohydrate structural makeup (conformation) between SO-I and two conventional oats (CDC Dancer and Derby) grown in western Canada in 2006, using advanced synchrotron radiation based Fourier transform infrared microspectroscopy (SRFTIRM). The SRFTIRM experiments were conducted at National Synchrotron Light Sources, Brookhaven National Laboratory (NSLS, BNL, U.S. Department of Energy). From the results, it was observed that comparison between the new genotype oats and conventional oats showed (1) differences in basic chemical and protein subfraction profiles and energy values with the new SO-I oats containing lower lignin (21 g/kg of DM) and higher soluble crude protein (530 g/kg CP), crude fat (59 g/kg of DM), and energy values (TDN, 820 g/kg of DM; NE(L3x), 7.8 MJ/kg of DM); (2) significant differences in rumen biodegradation kinetics of dry matter, starch, and protein with the new SO-I oats containing lower EDDM (638 g/kg of DM) and higher EDCP (103 g/kg of DM); (3) significant differences in nutrient supply with highest truly absorbed rumen undegraded protein (ARUP, 23 g/kg of DM) and total metabolizable protein supply (MP, 81 g/kg of DM) from the new SO-I oats; and (4) significant differences in structural makeup in terms of protein amide I in the endosperm region (with amide I peak height from 0.13 to 0.22 IR absorbance unit) and cellulosic compounds to carbohydrate ratio in the pericarp region (ratio from 0.02 to 0.06). The results suggest that with the SRFTIRM technique, the structural makeup differences between the new genotype oats (SO-I) and two conventional oats (Dancer and Derby) could be revealed.

  3. Inverse Design of a SOI T-junction Polarization Beamsplitter

    Science.gov (United States)

    Ye, Zi; Qiu, Jifang; Meng, Chong; Zheng, Li; Dong, Zhenli; Wu, Jian

    2017-06-01

    A SOI T-junction polarization beamsplitter with an ultra-compact footprint of 2.8×2.8μm2 is designed based on the method of inverse design. Simulated results show that the conversion efficiencies for TE and TM lights are 73.34% (simulated insertion loss of 2dB) and 80.4% (simulated insertion loss of 1.7dB) at 1550nm, respectively; the simulated extinction ratios for TE and TM lights are 19.3dB and 13.99dB at 1558nm, respectively.

  4. Juan Goytisolo: Le soi, le monde et la création littéraire

    Directory of Open Access Journals (Sweden)

    Pablo Romero Alegría

    2010-01-01

    Full Text Available Reseña de la obra: Yannick Llored. Le soi, le monde et la création littéraire. Presses Universitaires du Septentrion. Villeneuve d’Ascq (Francia. 2009. 421 págs. ISBN: 978-2-75740-0089-0

  5. A two dimensional analytical modeling of surface potential in triple metal gate (TMG) fully-depleted Recessed-Source/Drain (Re-S/D) SOI MOSFET

    Science.gov (United States)

    Priya, Anjali; Mishra, Ram Awadh

    2016-04-01

    In this paper, analytical modeling of surface potential is proposed for new Triple Metal Gate (TMG) fully depleted Recessed-Source/Dain Silicon On Insulator (SOI) Metal Oxide Semiconductor Field Effect Transistor (MOSFET). The metal with the highest work function is arranged near the source region and the lowest one near the drain. Since Recessed-Source/Drain SOI MOSFET has higher drain current as compared to conventional SOI MOSFET due to large source and drain region. The surface potential model developed by 2D Poisson's equation is verified by comparison to the simulation result of 2-dimensional ATLAS simulator. The model is compared with DMG and SMG devices and analysed for different device parameters. The ratio of metal gate length is varied to optimize the result.

  6. 300 nm bandwidth adiabatic SOI polarization splitter-rotators exploiting continuous symmetry breaking.

    Science.gov (United States)

    Socci, Luciano; Sorianello, Vito; Romagnoli, Marco

    2015-07-27

    Adiabatic polarization splitter-rotators are investigated exploiting continuous symmetry breaking thereby achieving significant device size and losses reduction in a single mask fabrication process for both SOI channel and ridge waveguides. A crosstalk lower than -25 dB is expected over 300nm bandwidth, making the device suitable for full grid CWDM and diplexer/triplexer FTTH applications at 1310, 1490 and 1550nm.

  7. Intrinsic Nonlinearities and Layout Impacts of 100 V Integrated Power MOSFETs in Partial SOI Process

    DEFF Research Database (Denmark)

    Fan, Lin; Knott, Arnold; Jørgensen, Ivan Harald Holger

    Parasitic capacitances of power semiconductors are a part of the key design parameters of state-of-the-art very high frequency (VHF) power supplies. In this poster, four 100 V integrated power MOSFETs with different layout structures are designed, implemented, and analyzed in a 0.18 ȝm partial...... Silicon-on-Insulator (SOI) process with a die area 2.31 mm2.  A small-signal model of power MOSFETs is proposed to systematically analyze the nonlinear parasitic capacitances in different transistor states: off-state, sub-threshold region, and on-state in the linear region. 3D plots are used to summarize...

  8. A CMOS/SOI Single-input PWM Discriminator for Low-voltage Body-implanted Applications

    Directory of Open Access Journals (Sweden)

    Jader A. De Lima

    2002-01-01

    Full Text Available A CMOS/SOI circuit to decode Pulse-Width Modulation (PWM signals is presented as part of a body-implanted neurostimulator for visual prosthesis. Since encoded data is the sole input to the circuit, the decoding technique is based on a novel double-integration concept and does not require low-pass filtering. Non-overlapping control phases are internally derived from the incoming pulses and a fast-settling comparator ensures good discrimination accuracy in the megahertz range. The circuit was integrated on a 2 μm single-metal thin-film CMOS/SOI fabrication process and has an effective area of 2 mm2. Measured resolution of encoding parameter α is better than 10% at 6 MHz and VDD = 3.3 V. Idle-mode consumption is 340 μW. Pulses of frequencies up to15 MHz and α =10% can be discriminated for 2.3 V ≤ VDD ≤ 3.3 V. Such an excellent immunity to VDD deviations meets a design specification with respect to inherent coupling losses on transmitting data and power by means of a transcutaneous link.

  9. Study of CMOS-SOI Integrated Temperature Sensing Circuits for On-Chip Temperature Monitoring.

    Science.gov (United States)

    Malits, Maria; Brouk, Igor; Nemirovsky, Yael

    2018-05-19

    This paper investigates the concepts, performance and limitations of temperature sensing circuits realized in complementary metal-oxide-semiconductor (CMOS) silicon on insulator (SOI) technology. It is shown that the MOSFET threshold voltage ( V t ) can be used to accurately measure the chip local temperature by using a V t extractor circuit. Furthermore, the circuit's performance is compared to standard circuits used to generate an accurate output current or voltage proportional to the absolute temperature, i.e., proportional-to-absolute temperature (PTAT), in terms of linearity, sensitivity, power consumption, speed, accuracy and calibration needs. It is shown that the V t extractor circuit is a better solution to determine the temperature of low power, analog and mixed-signal designs due to its accuracy, low power consumption and no need for calibration. The circuit has been designed using 1 µm partially depleted (PD) CMOS-SOI technology, and demonstrates a measurement inaccuracy of ±1.5 K across 300 K⁻500 K temperature range while consuming only 30 µW during operation.

  10. Thin NbN film structures on SOI for SNSPD

    Energy Technology Data Exchange (ETDEWEB)

    Il' in, Konstantin; Kurz, Stephan; Henrich, Dagmar; Hofherr, Matthias; Siegel, Michael [IMS, KIT, Karlsruhe (Germany); Semenov, Alexei; Huebers, Heinz-Wilhelm [DLR, Berlin (Germany)

    2012-07-01

    Superconducting Nanowire Single-Photon Detectors (SNSPD) made from ultra-thin NbN films on sapphire demonstrate almost 100% intrinsic detection efficiency (DE). However the system DE values is less than 10% mostly limited by a very low absorptance of NbN films thinner than 5 nm. Integration of SNSPD in Si photonic circuit is a promising way to overcome this problem. We present results on optimization of technology of thin NbN film nanostructures on SOI (Silicon on Insulator) substrate used in Si photonics technology. Superconducting and normal state properties of these structures important for SNSPD development are presented and discussed.

  11. A study of process-related electrical defects in SOI lateral bipolar transistors fabricated by ion implantation

    Science.gov (United States)

    Yau, J.-B.; Cai, J.; Hashemi, P.; Balakrishnan, K.; D'Emic, C.; Ning, T. H.

    2018-04-01

    We report a systematic study of process-related electrical defects in symmetric lateral NPN transistors on silicon-on-insulator (SOI) fabricated using ion implantation for all the doped regions. A primary objective of this study is to see if pipe defects (emitter-collector shorts caused by locally enhanced dopant diffusion) are a show stopper for such bipolar technology. Measurements of IC-VCE and Gummel currents in parallel-connected transistor chains as a function of post-fabrication rapid thermal anneal cycles allow several process-related electrical defects to be identified. They include defective emitter-base and collector-base diodes, pipe defects, and defects associated with a dopant-deficient region in an extrinsic base adjacent its intrinsic base. There is no evidence of pipe defects being a major concern in SOI lateral bipolar transistors.

  12. A study on heat resistance of high temperature resistant coating

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Liping; Wang, Xueying; Zhang, Qibin; Qin, Yanlong; Lin, Zhu [Research Institute of Engineering Technology of CNPC, Tianjin (China)

    2005-04-15

    A high temperature resistant coating has been developed, which is mainly for heavy oil production pipes deserved the serious corrosion. The coating has excellent physical and mechanical performance and corrosion resistance at room and high temperature. In order to simulate the underground working condition of heavy oil pipes,the heat resistance of the high temperature resistant coating has been studied. The development and a study on the heat resistance of the DHT high temperature resistance coating have been introduced in this paper

  13. A study on heat resistance of high temperature resistant coating

    International Nuclear Information System (INIS)

    Zhang, Liping; Wang, Xueying; Zhang, Qibin; Qin, Yanlong; Lin, Zhu

    2005-01-01

    A high temperature resistant coating has been developed, which is mainly for heavy oil production pipes deserved the serious corrosion. The coating has excellent physical and mechanical performance and corrosion resistance at room and high temperature. In order to simulate the underground working condition of heavy oil pipes,the heat resistance of the high temperature resistant coating has been studied. The development and a study on the heat resistance of the DHT high temperature resistance coating have been introduced in this paper

  14. Mixed logic style adder circuit designed and fabricated using SOI substrate for irradiation-hardened experiment

    Science.gov (United States)

    Yuan, Shoucai; Liu, Yamei

    2016-08-01

    This paper proposed a rail to rail swing, mixed logic style 28-transistor 1-bit full adder circuit which is designed and fabricated using silicon-on-insulator (SOI) substrate with 90 nm gate length technology. The main goal of our design is space application where circuits may be damaged by outer space radiation; so the irradiation-hardened technique such as SOI structure should be used. The circuit's delay, power and power-delay product (PDP) of our proposed gate diffusion input (GDI)-based adder are HSPICE simulated and compared with other reported high-performance 1-bit adder. The GDI-based 1-bit adder has 21.61% improvement in delay and 18.85% improvement in PDP, over the reported 1-bit adder. However, its power dissipation is larger than that reported with 3.56% increased but is still comparable. The worst case performance of proposed 1-bit adder circuit is also seen to be less sensitive to variations in power supply voltage (VDD) and capacitance load (CL), over a wide range from 0.6 to 1.8 V and 0 to 200 fF, respectively. The proposed and reported 1-bit full adders are all layout designed and wafer fabricated with other circuits/systems together on one chip. The chip measurement and analysis has been done at VDD = 1.2 V, CL = 20 fF, and 200 MHz maximum input signal frequency with temperature of 300 K.

  15. DOUBLE BOSS SCULPTURED DIAPHRAGM EMPLOYED PIEZORESISTIVE MEMS PRESSURE SENSOR WITH SILICON-ON-INSULATOR (SOI

    Directory of Open Access Journals (Sweden)

    D. SINDHANAISELVI

    2017-07-01

    Full Text Available This paper presents the detailed study on the measurement of low pressure sensor using double boss sculptured diaphragm of piezoresistive type with MEMS technology in flash flood level measurement. The MEMS based very thin diaphragms to sense the low pressure is analyzed by introducing supports to achieve linearity. The simulation results obtained from Intellisuite MEMS CAD design tool show that very thin diaphragms with rigid centre or boss give acceptable linearity. Further investigations on very thin diaphragms embedded with piezoresistor for low pressure measurement show that it is essential to analyse the piezoresistor placement and size of piezoresistor to achieve good sensitivity. A modified analytical modelling developed in this study for double boss sculptured diaphragm results were compared with simulated results. Further the enhancement of sensitivity is analyzed using non uniform thickness diaphragm and Silicon-On-Insulator (SOI technique. The simulation results indicate that the double boss square sculptured diaphragm with SOI layer using 0.85μm thickness yields the higher voltage sensitivity, acceptable linearity with Small Scale Deflection.

  16. Impact of technology scaling in SOI back-channel total dose tolerance. A 2-D numerical study using a self-consistent oxide code; Effet du facteur d'echelle sur la tolerance en dose de rayonnement dans le cas du courant de fuite arriere des transistors MOS/SOI. Une etude d'un oxyde utilise un code auto coherent en deux dimensions

    Energy Technology Data Exchange (ETDEWEB)

    Leray, J.L.; Paillet, Ph.; Ferlet-Cavrois, V. [CEA Bruyeres le Chatel DRIF, 91 (France); Tavernier, C.; Belhaddad, K. [ISE Integrated System Engineering AG (Switzerland); Penzin, O. [ISE Integrated System Engineering Inc., San Jose (United States)

    1999-07-01

    A new 2-D and 3-D self-consistent code has been developed and is applied to understanding the charge trapping in SOI buried oxide causing back-channel MOS leakage in SOI transistors. Clear indications on scaling trends are obtained with respect to supply voltage and oxide thickness. (authors)

  17. Extreme group index measured and calculated in 2D SOI-based photonic crystal waveguides

    DEFF Research Database (Denmark)

    Lavrinenko, Andrei; Jacobsen, Rune Shim; Fage-Pedersen, Jacob

    2005-01-01

    lattice of air-holes in the 216-nm thick silicon layer in an SOI material. Experimental transmission spectra show a mode cut-off around 1562.5 nm for the fundamental photonic bandgap mode. In order to measure and model the group index of modes in the PCW, a time-of-flight (ToF) method is applied....

  18. Temperature characteristics research of SOI pressure sensor based on asymmetric base region transistor

    Science.gov (United States)

    Zhao, Xiaofeng; Li, Dandan; Yu, Yang; Wen, Dianzhong

    2017-07-01

    Based on the asymmetric base region transistor, a pressure sensor with temperature compensation circuit is proposed in this paper. The pressure sensitive structure of the proposed sensor is constructed by a C-type silicon cup and a Wheatstone bridge with four piezoresistors ({R}1, {R}2, {R}3 and {R}4) locating on the edge of a square silicon membrane. The chip was designed and fabricated on a silicon on insulator (SOI) wafer by micro electromechanical system (MEMS) technology and bipolar transistor process. When the supply voltage is 5.0 V, the corresponding temperature coefficient of the sensitivity (TCS) for the sensor before and after temperature compensation are -1862 and -1067 ppm/°C, respectively. Through varying the ratio of the base region resistances {r}1 and {r}2, the TCS for the sensor with the compensation circuit is -127 ppm/°C. It is possible to use this compensation circuit to improve the temperature characteristics of the pressure sensor. Project supported by the National Natural Science Foundation of China (No. 61471159), the Natural Science Foundation of Heilongjiang Province (No. F201433), the University Nursing Program for Young Scholars with Creative Talents in Heilongjiang Province (No. 2015018), and the Special Funds for Science and Technology Innovation Talents of Harbin in China (No. 2016RAXXJ016).

  19. Quantification, modelling and design for signal history dependent effects in mixed-signal SOI/SOS circuits; Quantification, modelisation et conception prenant en compte les etats anterieurs des signaux dans les circuits mixtes SOI/SOS

    Energy Technology Data Exchange (ETDEWEB)

    Edwards, C.F.; Redman-White, W.; Bracey, M.; Tenbroek, B.M.; Lee, M.S. [Southampton Univ., Dept. of Electronics and Computer Sciences (United Kingdom); Uren, M.J.; Brunson, K.M. [DERA Farnborough, GU, Hants (United Kingdom)

    1999-07-01

    This paper deals with how the radiation hardness of mixed signal SOI/SOS CMOS circuits is taken into account at both architectural terms as well as the the transistor level cell designs. The primary issue is to deal with divergent transistor threshold shifts, and to understand the effects of large amplitude non stationary signals on analogue cell behaviour. (authors)

  20. Directly Modulated and ER Enhanced Hybrid III-V/SOI DFB Laser Operating up to 20 Gb/s for Extended Reach Applications in PONs

    DEFF Research Database (Denmark)

    Cristofori, Valentina; Da Ros, Francesco; Chaibi, Mohamed E.

    2017-01-01

    We demonstrate error-free performance of an MRR filtered DML on the SOI platform over 40- and 81-km of SSW. The device operates up to 17.5 Gb/s over 81 km and 20 Gb/s over 40 km.......We demonstrate error-free performance of an MRR filtered DML on the SOI platform over 40- and 81-km of SSW. The device operates up to 17.5 Gb/s over 81 km and 20 Gb/s over 40 km....

  1. Investigation of AWG demultiplexer based SOI for CWDM application

    Directory of Open Access Journals (Sweden)

    Juhari Nurjuliana

    2017-01-01

    Full Text Available 9-channel Arrayed Waveguide Grating (AWG demultiplexer for conventional and tapered structure were simulated using beam propagation method (BPM with channel spacing of 20 nm. The AWG demultiplexer was design using high refractive index (n~3.47 material namely silicon-on-insulator (SOI with rib waveguide structure. The characteristics of insertion loss, adjacent crosstalk and output spectrum response at central wavelength of 1.55 μm for both designs were compared and analyzed. The conventional AWG produced a minimum insertion loss of 6.64 dB whereas the tapered AWG design reduced the insertion loss by 2.66 dB. The lowest adjacent crosstalk value of -16.96 dB was obtained in the conventional AWG design and this was much smaller compared to the tapered AWG design where the lowest crosstalk value is -17.23 dB. Hence, a tapered AWG design significantly reduces the insertion loss but has a slightly higher adjacent crosstalk compared to the conventional AWG design. On the other hand, the output spectrum responses that are obtained from both designs were close to the Coarse Wavelength Division Multiplexing (CWDM wavelength grid.

  2. New insights on SOI Tunnel FETs with low-temperature process flow for CoolCube™ integration

    Science.gov (United States)

    Diaz Llorente, C.; Le Royer, C.; Batude, P.; Fenouillet-Beranger, C.; Martinie, S.; Lu, C.-M. V.; Allain, F.; Colinge, J.-P.; Cristoloveanu, S.; Ghibaudo, G.; Vinet, M.

    2018-06-01

    This paper reports the fabrication and electrical characterization of planar SOI Tunnel FETs (TFETs) made using a Low-Temperature (LT) process designed for 3D sequential integration. These proof-of-concept TFETs feature junctions obtained by Solid Phase Epitaxy Regrowth (SPER). Their electrical behavior is analyzed and compared to reference samples (regular process using High-Temperature junction formation, HT). Dual ID-VDS measurements verify that the TFET structures present Band-to-Band tunnelling (BTBT) carrier injection and not Schottky Barrier tunnelling. P-mode operating LT TFETs deliver an ON state current similar to that of the HT reference, opening the door towards optimized devices operating with very low threshold voltage VTH and low supply voltage VDD.

  3. Spacer engineered Trigate SOI TFET: An investigation towards harsh temperature environment applications

    Science.gov (United States)

    Mallikarjunarao; Ranjan, Rajeev; Pradhan, K. P.; Artola, L.; Sahu, P. K.

    2016-09-01

    In this paper, a novel N-channel Tunnel Field Effect Transistor (TFET) i.e., Trigate Silicon-ON-Insulator (SOI) N-TFET with high-k spacer is proposed for better Sub-threshold swing (SS) and OFF-state current (IOFF) by keeping in mind the sensitivity towards temperature. The proposed model can achieve a Sub-threshold swing less than 35 mV/decade at various temperatures, which is desirable for designing low power CTFET for digital circuit applications. In N-TFET source doping has a significant effect on the ON-state current (ION) level; therefore more electrons will tunnel from source to channel region. High-k Spacer i.e., HfO2 is used to enhance the device performance and also it avoids overlapping of transistors in an integrated circuits (IC's). We have designed a reliable device by performing the temperature analysis on Transfer characteristics, Drain characteristics and also on various performance metrics like ON-state current (ION), OFF-state current (IOFF), ION/IOFF, Trans-conductance (gm), Trans-conductance Generation Factor (TGF), Sub-threshold Swing (SS) to observe the applications towards harsh temperature environment.

  4. Single Grain TFTs for High Speed Flexible Electronics

    NARCIS (Netherlands)

    Baiano, A.

    2009-01-01

    SG-TFTs fabricated by the ?-Czochralski process have already reached a performance as high as that of SOI MOSFET devices. However, one of the most important and challenging goals is extending SG-TFT technology to reach a higher level of performance than that achieved with SOI technology. This thesis

  5. Investigation of the Low-Temperature Behavior of FD-SOI MOSFETs in the Saturation Regime Using Y and Z Functions

    Directory of Open Access Journals (Sweden)

    A. Karsenty

    2014-01-01

    Full Text Available The saturation regime of two types of fully depleted (FD SOI MOSFET devices was studied. Ultrathin body (UTB and gate recessed channel (GRC devices were fabricated simultaneously on the same silicon wafer through a selective “gate recessed” process. They share the same W/L ratio but have a channel film thickness of 46 nm and 2.2 nm, respectively. Their standard characteristics (IDS-VDS and IDS-VGS of the devices were measured at room temperature before cooling down to 77 K. Surprisingly, their respective temperature dependence is found to be opposite. In this paper, we focus our comparative analysis on the devices' conduction using a Y-function applied to the saturation domain. The influence of the temperature in this domain is presented for the first time. We point out the limits of the Y-function analysis and show that a new function called Z can be used to extract the series resistance in the saturation regime.

  6. Quantification, modelling and design for signal history dependent effects in mixed-signal SOI/SOS circuits

    International Nuclear Information System (INIS)

    Edwards, C.F.; Redman-White, W.; Bracey, M.; Tenbroek, B.M.; Lee, M.S.; Uren, M.J.; Brunson, K.M.

    1999-01-01

    This paper deals with how the radiation hardness of mixed signal SOI/SOS CMOS circuits is taken into account at both architectural terms as well as the the transistor level cell designs. The primary issue is to deal with divergent transistor threshold shifts, and to understand the effects of large amplitude non stationary signals on analogue cell behaviour. (authors)

  7. Modulation of the SSTA decadal variation on ENSO events and relationships of SSTA With LOD,SOI, etc

    Science.gov (United States)

    Liao, D. C.; Zhou, Y. H.; Liao, X. H.

    2007-01-01

    Interannual and decadal components of the length of day (LOD), Southern Oscillation Index (SOI) and Sea Surface Temperature anomaly (SSTA) in Nino regions are extracted by band-pass filtering, and used for research of the modulation of the SSTA on the ENSO events. Results show that besides the interannual components, the decadal components in SSTA have strong impacts on monitoring and representing of the ENSO events. When the ENSO events are strong, the modulation of the decadal components of the SSTA tends to prolong the life-time of the events and enlarge the extreme anomalies of the SST, while the ENSO events, which are so weak that they can not be detected by the interannual components of the SSTA, can also be detected with the help of the modulation of the SSTA decadal components. The study further draws attention to the relationships of the SSTA interannual and decadal components with those of LOD, SOI, both of the sea level pressure anomalies (SLPA) and the trade wind anomalies (TWA) in tropic Pacific, and also with those of the axial components of the atmospheric angular momentum (AAM) and oceanic angular momentum (OAM). Results of the squared coherence and coherent phases among them reveal close connections with the SSTA and almost all of the parameters mentioned above on the interannual time scales, while on the decadal time scale significant connections are among the SSTA and SOI, SLPA, TWA, ?3w and ?3w+v as well, and slight weaker connections between the SSTA and LOD, ?3pib and ?3bp

  8. Boron impurity at the Si/SiO2 interface in SOI wafers and consequences for piezoresistive MEMS devices

    International Nuclear Information System (INIS)

    Nafari, A; Karlen, D; Enoksson, P; Rusu, C; Svensson, K

    2009-01-01

    In this work, the electrical performance of piezoresistive devices fabricated on thinned SOI wafers has been investigated. Specifically, SOI wafers manufactured with the standard bond-and-etch back method (BESOI), commonly used for MEMS fabrication, have been studied. Results from electrical measurements and SIMS characterization show the presence of a boron impurity close to the buried oxide, even on unprocessed wafers. If the boron impurity overlaps with the piezoresistors on the device, it can create non-defined pn-junctions and thus allow conduction through the substrate, leading to stray connections and excessive noise. The thickness of the boron impurity can extend up to several µm, thus setting a thickness limit for the thinnest parts of a MEMS device. This work shows how this impurity can fundamentally affect the functionality of piezoresistive devices. Design rules of how to avoid this are presented

  9. Heterojunction fully depleted SOI-TFET with oxide/source overlap

    Science.gov (United States)

    Chander, Sweta; Bhowmick, B.; Baishya, S.

    2015-10-01

    In this work, a hetero-junction fully depleted (FD) Silicon-on-Insulator (SOI) Tunnel Field Effect Transistor (TFET) nanostructure with oxide overlap on the Germanium-source region is proposed. Investigations using Synopsys Technology Computer Aided Design (TCAD) simulation tools reveal that the simple oxide overlap on the Germanium-source region increases the tunneling area as well as the tunneling current without degrading the band-to-band tunneling (BTBT) and improves the device performance. More importantly, the improvement is independent of gate overlap. Simulation study shows improvement in ON current, subthreshold swing (SS), OFF current, ION/IOFF ration, threshold voltage and transconductance. The proposed device with hafnium oxide (HfO2)/Aluminium Nitride (AlN) stack dielectric material offers an average subthreshold swing of 22 mV/decade and high ION/IOFF ratio (∼1010) at VDS = 0.4 V. Compared to conventional TFET, the Miller capacitance of the device shows the enhanced performance. The impact of the drain voltage variation on different parameters such as threshold voltage, subthreshold swing, transconductance, and ION/IOFF ration are also found to be satisfactory. From fabrication point of view also it is easy to utilize the existing CMOS process flows to fabricate the proposed device.

  10. Monolithic integration of InGaAs/InP multiple quantum wells on SOI substrates for photonic devices

    Science.gov (United States)

    Li, Zhibo; Wang, Mengqi; Fang, Xin; Li, Yajie; Zhou, Xuliang; Yu, Hongyan; Wang, Pengfei; Wang, Wei; Pan, Jiaoqing

    2018-02-01

    A direct epitaxy of III-V nanowires with InGaAs/InP multiple quantum wells on v-shaped trenches patterned silicon on insulator (SOI) substrates was realized by combining the standard semiconductor fabrication process with the aspect ratio trapping growth technique. Silicon thickness as well as the width and gap of each nanowire were carefully designed to accommodate essential optical properties and appropriate growth conditions. The III-V element ingredient, crystalline quality, and surface topography of the grown nanowires were characterized by X-ray diffraction spectroscopy, photoluminescence, and scanning electron microscope. Geometrical details and chemical information of multiple quantum wells were revealed by transmission electron microscopy and energy dispersive spectroscopy. Numerical simulations confirmed that the optical guided mode supported by one single nanowire was able to propagate 50 μm with ˜30% optical loss. This proposed integration scheme opens up an alternative pathway for future photonic integrations of III-V devices on the SOI platform at nanoscale.

  11. LORINE: Neutron emission Locator by SOI detectors

    Energy Technology Data Exchange (ETDEWEB)

    Hamrita, H.; Kondrasovs, V.; Borbotte, J. M.; Normand, S. [CEA, LIST, Laboratoire Capteurs et Architectures Electronique, F-91191 Gif-sur-Yvette Cedex (France); Saurel, N. [CEA, DAM, VALDUC, F-21120 Is sur Tille (France)

    2009-07-01

    The aim of this work is to develop a fast Neutron Emission Locator based on silicon on Insulator detector (LORINE). This locator can be used in the presence of significant flux of gamma radiation. LORINE was developed to locate areas containing a significant amount of actinide during the dismantling operations of equipment. From the results obtained in laboratory, we have proposed the prototype of neutron emission locator as follows: the developed design consists of 5 SOI (Silicon-on-insulator) detectors (1*1 cm{sup 2}) with their charge preamplifiers and their respective converters. All are installed on 5 faces of a boron polyethylene cube (5*5*5 cm{sup 3}). This cube plays the role of neutron shielding between the several detectors. The design must be so compact for use in glove boxes. An electronic card based on micro-controller has been made to control sensors and to send the necessary information to the computer. Location of fast neutron sources does not yet exist in a so compact design and it can be operated in the presence of very important gamma radiation flux

  12. A High-Voltage SOI CMOS Exciter Chip for a Programmable Fluidic Processor System.

    Science.gov (United States)

    Current, K W; Yuk, K; McConaghy, C; Gascoyne, P R C; Schwartz, J A; Vykoukal, J V; Andrews, C

    2007-06-01

    A high-voltage (HV) integrated circuit has been demonstrated to transport fluidic droplet samples on programmable paths across the array of driving electrodes on its hydrophobically coated surface. This exciter chip is the engine for dielectrophoresis (DEP)-based micro-fluidic lab-on-a-chip systems, creating field excitations that inject and move fluidic droplets onto and about the manipulation surface. The architecture of this chip is expandable to arrays of N X N identical HV electrode driver circuits and electrodes. The exciter chip is programmable in several senses. The routes of multiple droplets may be set arbitrarily within the bounds of the electrode array. The electrode excitation waveform voltage amplitude, phase, and frequency may be adjusted based on the system configuration and the signal required to manipulate a particular fluid droplet composition. The voltage amplitude of the electrode excitation waveform can be set from the minimum logic level up to the maximum limit of the breakdown voltage of the fabrication technology. The frequency of the electrode excitation waveform can also be set independently of its voltage, up to a maximum depending upon the type of droplets that must be driven. The exciter chip can be coated and its oxide surface used as the droplet manipulation surface or it can be used with a top-mounted, enclosed fluidic chamber consisting of a variety of materials. The HV capability of the exciter chip allows the generated DEP forces to penetrate into the enclosed chamber region and an adjustable voltage amplitude can accommodate a variety of chamber floor thicknesses. This demonstration exciter chip has a 32 x 32 array of nominally 100 V electrode drivers that are individually programmable at each time point in the procedure to either of two phases: 0deg and 180deg with respect to the reference clock. For this demonstration chip, while operating the electrodes with a 100-V peak-to-peak periodic waveform, the maximum HV electrode

  13. On-chip grating coupler array on the SOI platform for fan-in/fan-out of multi-core fibers with low insertion loss and crosstalk

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ye, Feihong; Peucheret, Christophe

    2014-01-01

    We design and fabricate a compact multi-core fiber fan-in/fan-out using a fully-etched grating coupler array on the SOI platform. Lowest coupling loss of 6.8 dB with 3 dB bandwidth of 48 nm and crosstalk lower than ×32 dB are demonstrated.......We design and fabricate a compact multi-core fiber fan-in/fan-out using a fully-etched grating coupler array on the SOI platform. Lowest coupling loss of 6.8 dB with 3 dB bandwidth of 48 nm and crosstalk lower than ×32 dB are demonstrated....

  14. Si-nanowire-based multistage delayed Mach-Zehnder interferometer optical MUX/DeMUX fabricated by an ArF-immersion lithography process on a 300 mm SOI wafer.

    Science.gov (United States)

    Jeong, Seok-Hwan; Shimura, Daisuke; Simoyama, Takasi; Horikawa, Tsuyoshi; Tanaka, Yu; Morito, Ken

    2014-07-01

    We report good phase controllability and high production yield in Si-nanowire-based multistage delayed Mach-Zehnder interferometer-type optical multiplexers/demultiplexers (MUX/DeMUX) fabricated by an ArF-immersion lithography process on a 300 mm silicon-on-insulator (SOI) wafer. Three kinds of devices fabricated in this work exhibit clear 1×4 Ch wavelength filtering operations for various optical frequency spacing. These results are promising for their applications in high-density wavelength division multiplexing-based optical interconnects.

  15. Sensitivity enhancement of polysilicon piezo-resistive pressure sensors with phosphorous diffused resistors

    International Nuclear Information System (INIS)

    Sivakumar, K; Dasgupta, N; Bhat, K N; Natarajan, K

    2006-01-01

    It is generally accepted that the piezo-resistive coefficient in single crystal silicon is higher when P-type impurities such as boron are used for doping the resistors. In this paper we demonstrate that the sensitivity of polycrystalline silicon piezo-resistive pressure sensors can be enhanced considerably when phosphorus diffusion source is used instead of boron dopant for realizing the piezo-resistors. Pressure sensors have been designed and fabricated with the polycrystalline piezo-resistors connected in the form of a Wheatstone bridge and laid out on thermal oxide grown on membranes obtained with a Silicon On Insulator (SOI) approach. The SOI wafers required for this purpose have been realized in-house by Silicon Fusion Bonding (SFB) and etch back technique in our laboratory. This approach provides excellent isolation between the resistors and enables zero temperature coefficient of the polysilicon resistor. The results obtained in our laboratory have clearly demonstrated that by optimizing the phosphorus diffusion temperature and duration, it is possible to achieve sensitivities in excess of 20mV /Bar for bridge input voltage of 10V, with linearity within 1% over a differential pressure range up to 10Bar (10 6 Pascal), and burst pressure in excess of 50 Bar as compared to the 10mV /Bar sensitivity obtained with boron doped polysilicon piezo-resistors. This enhancement is attributed to grain boundary passivation by phosphorous atoms

  16. Development of radiation hard CMOS active pixel sensors for HL-LHC

    International Nuclear Information System (INIS)

    Pernegger, Heinz

    2016-01-01

    New pixel detectors, based on commercial high voltage and/or high resistivity full CMOS processes, hold promise as next-generation active pixel sensors for inner and intermediate layers of the upgraded ATLAS tracker. The use of commercial CMOS processes allow cost-effective detector construction and simpler hybridisation techniques. The paper gives an overview of the results obtained on AMS-produced CMOS sensors coupled to the ATLAS Pixel FE-I4 readout chips. The SOI (silicon-on-insulator) produced sensors by XFAB hold great promise as radiation hard SOI-CMOS sensors due to their combination of partially depleted SOI transistors reducing back-gate effects. The test results include pre-/post-irradiation comparison, measurements of charge collection regions as well as test beam results.

  17. Why is the South Orkney Island shelf (the world's first high seas marine protected area) a carbon immobilization hotspot?

    Science.gov (United States)

    Barnes, David K A; Ireland, Louise; Hogg, Oliver T; Morley, Simon; Enderlein, Peter; Sands, Chester J

    2016-03-01

    The Southern Ocean archipelago, the South Orkney Islands (SOI), became the world's first entirely high seas marine protected area (MPA) in 2010. The SOI continental shelf (~44 000 km(2) ), was less than half covered by grounded ice sheet during glaciations, is biologically rich and a key area of both sea surface warming and sea-ice losses. Little was known of the carbon cycle there, but recent work showed it was a very important site of carbon immobilization (net annual carbon accumulation) by benthos, one of the few demonstrable negative feedbacks to climate change. Carbon immobilization by SOI bryozoans was higher, per species, unit area and ice-free day, than anywhere-else polar. Here, we investigate why carbon immobilization has been so high at SOI, and whether this is due to high density, longevity or high annual production in six study species of bryozoans (benthic suspension feeders). We compared benthic carbon immobilization across major regions around West Antarctica with sea-ice and primary production, from remotely sensed and directly sampled sources. Lowest carbon immobilization was at the northernmost study regions (South Georgia) and southernmost Amundsen Sea. However, data standardized for age and density showed that only SOI was anomalous (high). High immobilization at SOI was due to very high annual production of bryozoans (rather than high densities or longevity), which were 2x, 3x and 5x higher than on the Bellingshausen, South Georgia and Amundsen shelves, respectively. We found that carbon immobilization correlated to the duration (but not peak or integrated biomass) of phytoplankton blooms, both in directly sampled, local scale data and across regions using remote-sensed data. The long bloom at SOI seems to drive considerable carbon immobilization, but sea-ice losses across West Antarctica mean that significant carbon sinks and negative feedbacks to climate change could also develop in the Bellingshausen and Amundsen seas. © 2015 John Wiley

  18. Mapping the broadband polarization properties of linear 2D SOI photonic crystal waveguides

    DEFF Research Database (Denmark)

    Canning, John; Skivesen, Nina; Kristensen, Martin

    2007-01-01

    Both quasi-TE and TM polarisation spectra for a silicon- on-insulator (SOI) waveguide are recorded over (1100-1700) nm using a broadband supercontinuum source. By studying both the input and output polarisation eigenstates we observe narrowband resonant cross coupling near the lowest quasi-TE mode...... cut-off. We also observe relatively broadband mixing between the two eigenstates to generate a complete photonic bandgap. By careful analysis of the output polarisation state we report on an inherent non-reciprocity between quasi TE and TM fundamental mode cross coupling. The nature of polarisation...

  19. A high-temperature silicon-on-insulator stress sensor

    International Nuclear Information System (INIS)

    Wang Zheyao; Tian Kuo; Zhou Youzheng; Pan Liyang; Liu Litian; Hu Chaohong

    2008-01-01

    A piezoresistive stress sensor is developed using silicon-on-insulator (SOI) wafers and calibrated for stress measurement for high-temperature applications. The stress sensor consists of 'silicon-island-like' piezoresistor rosettes that are etched on the SOI layer. This eliminates leakage current and enables excellent electrical insulation at high temperature. To compensate for the measurement errors caused by the misalignment of the piezoresistor rosettes with respect to the crystallographic axes, an anisotropic micromachining technique, tetramethylammonium hydroxide etching, is employed to alleviate the misalignment issue. To realize temperature-compensated stress measurement, a planar diode is fabricated as a temperature sensor to decouple the temperature information from the piezoresistors, which are sensitive to both stress and temperature. Design, fabrication and calibration of the piezoresistors are given. SOI-related characteristics such as piezoresistive coefficients and temperature coefficients as well as the influence of the buried oxide layer are discussed in detail

  20. High temperature resistant cermet and ceramic compositions

    Science.gov (United States)

    Phillips, W. M. (Inventor)

    1978-01-01

    Cermet compositions having high temperature oxidation resistance, high hardness and high abrasion and wear resistance, and particularly adapted for production of high temperature resistant cermet insulator bodies are presented. The compositions are comprised of a sintered body of particles of a high temperature resistant metal or metal alloy, preferably molybdenum or tungsten particles, dispersed in and bonded to a solid solution formed of aluminum oxide and silicon nitride, and particularly a ternary solid solution formed of a mixture of aluminum oxide, silicon nitride and aluminum nitride. Also disclosed are novel ceramic compositions comprising a sintered solid solution of aluminum oxide, silicon nitride and aluminum nitride.

  1. arXiv Charge collection properties in an irradiated pixel sensor built in a thick-film HV-SOI process

    CERN Document Server

    INSPIRE-00541780; Cindro, V.; Gorišek, A.; Hemperek, T.; Kishishita, T.; Kramberger, G.; Krüger, H.; Mandić, I.; Mikuž, M.; Wermes, N.; Zavrtanik, M.

    2017-10-25

    Investigation of HV-CMOS sensors for use as a tracking detector in the ATLAS experiment at the upgraded LHC (HL-LHC) has recently been an active field of research. A potential candidate for a pixel detector built in Silicon-On-Insulator (SOI) technology has already been characterized in terms of radiation hardness to TID (Total Ionizing Dose) and charge collection after a moderate neutron irradiation. In this article we present results of an extensive irradiation hardness study with neutrons up to a fluence of 1x10e16 neq/cm2. Charge collection in a passive pixelated structure was measured by Edge Transient Current Technique (E-TCT). The evolution of the effective space charge concentration was found to be compliant with the acceptor removal model, with the minimum of the space charge concentration being reached after 5x10e14 neq/cm2. An investigation of the in-pixel uniformity of the detector response revealed parasitic charge collection by the epitaxial silicon layer characteristic for the SOI design. The r...

  2. Integrated circuits of silicon on insulator S.O.I. technologies: State of the art and perspectives

    International Nuclear Information System (INIS)

    Leray, J.L.; Dupont-Nivet, E.; Raffaelli, M.; Coic, Y.M.; Musseau, O.; Pere, J.F.; Lalande, P.; Bredy, J.; Auberton-Herve, A.J.; Bruel, M.; Giffard, B.

    1989-01-01

    Silicon On Insulator technologies have been proposed to increase the integrated circuits performances in radiation operation. Active researches are conducted, in France and abroad. This paper reviews briefly radiation effects phenomenology in that particular type of structure S.O.I. New results are presented that show very good radiation behaviour in term of speed, dose (10 to 100 megarad (Si)), dose rate and S.E.U. performances [fr

  3. Optimal Design of an Ultrasmall SOI-Based 1 × 8 Flat-Top AWG by Using an MMI

    Directory of Open Access Journals (Sweden)

    Hongqiang Li

    2013-01-01

    Full Text Available Four methods based on a multimode interference (MMI structure are optimally designed to flatten the spectral response of silicon-on-insulator- (SOI- based arrayed-waveguide grating (AWG applied in a demodulation integration microsystem. In the design for each method, SOI is selected as the material, the beam propagation method is used, and the performances (including the 3 dB passband width, the crosstalk, and the insertion loss of the flat-top AWG are studied. Moreover, the output spectrum responses of AWGs with or without a flattened structure are compared. The results show that low insertion loss, crosstalk, and a flat and efficient spectral response are simultaneously achieved for each kind of structure. By comparing the four designs, the design that combines a tapered MMI with tapered input/output waveguides, which has not been previously reported, was shown to yield better results than others. The optimized design reduced crosstalk to approximately −21.9 dB and had an insertion loss of −4.36 dB and a 3 dB passband width, that is, approximately 65% of the channel spacing.

  4. Development of high-performance concrete having high resistance to chloride penetration

    International Nuclear Information System (INIS)

    Oh, Byung Hwan; Cha, Soo Won; Jang, Bong Seok; Jang, Seung Yup

    2002-01-01

    The resistance to chloride penetration is one of the simplest measures to determine the durability of concrete, e.g. resistance to freezing and thawing, corrosion of steel in concrete and other chemical attacks. Thus, high-performance concrete may be defined as the concrete having high resistance to chloride penetration as well as high strength. The purpose of this paper is to investigate the resistance to chloride penetration of different types of concrete and to develop high-performance concrete that has very high resistance to chloride penetration, and thus, can guarantee high durability. A large number of concrete specimens have been tested by the rapid chloride permeability test method as designated in AASHTO T 277 and ASTM C 1202. The major test variables include water-to-binder ratios, type of cement, type and amount of mineral admixtures (silica fume, fly ash and blast-furnace slag), maximum size of aggregates and air-entrainment. Test results show that concrete containing optimal amount of silica fume shows very high resistance to chloride penetration, and high-performance concrete developed in this study can be efficiently employed to enhance the durability of concrete structures in severe environments such as nuclear power plants, water-retaining structures and other offshore structures

  5. A novel partial SOI LDMOSFET with periodic buried oxide for breakdown voltage and self heating effect enhancement

    Science.gov (United States)

    Jamali Mahabadi, S. E.; Rajabi, Saba; Loiacono, Julian

    2015-09-01

    In this paper a partial silicon on insulator (PSOI) lateral double diffused metal oxide semiconductor field effect transistor (LDMOSFET) with periodic buried oxide layer (PBO) for enhancing breakdown voltage (BV) and self-heating effects (SHEs) is proposed for the first time. This new structure is called periodic buried oxide partial silicon on insulator (PBO-PSOI). In this structure, periodic small pieces of SiO2 were used as the buried oxide (BOX) layer in PSOI to modulate the electric field in the structure. It was demonstrated that the electric field is distributed more evenly by producing additional electric field peaks, which decrease the common peaks near the drain and gate junctions in the PBO-PSOI structure. Hence, the area underneath the electric field curve increases which leads to higher breakdown voltage. Also a p-type Si window was introduced in the source side to force the substrate to share the vertical voltage drop, leading to a higher vertical BV. Furthermore, the Si window under the source and those between periodic pieces of SiO2 create parallel conduction paths between the active layer and substrate thereby alleviating the SHEs. Simulations with the two dimensional ATLAS device simulator from the Silvaco suite of simulation tools show that the BV of PBO-PSOI is 100% higher than that of the conventional partial SOI (C-PSOI) structure. Furthermore the PBO-PSOI structure alleviates SHEs to a greater extent than its C-PSOI counterpart. The achieved drain current for the PBO-PSOI structure (100 μA), at drain-source voltage of VDS = 100 V and gate-source voltage of VGS = 25 V, is shown to be significantly larger than that in C-PSOI and fully depleted SOI (FD-SOI) structures (87 μA and 51 μA respectively). Drain current can be further improved at the expense of BV by increasing the doping of the drift region.

  6. Growth and characterization of InP/GaAs on SOI by MOCVD

    International Nuclear Information System (INIS)

    Karam, N.H.; Haven, V.; Vernon, S.M.; Namavar, F.; El-Masry, N.; Haegel, N.; Al-Jassin, M.M.

    1990-01-01

    This paper reports that epitaxial InP films have been successfully deposited on GaAs coated silicon wafers with a buried oxide for the first time by MOCVD. The SOI wafers were prepared using the Separation by Implantation of Oxygen (SIMOX) process. The quality of InP on SIMOX is comparable to the best of InP on Si deposited in the same reactor. Preliminary results on defect reduction techniques such as Thermal Cycle Growth (TCG) show an order of magnitude increase in the photoluminescence intensity and a factor of five reduction in the defect density. TCG has been found more effective than Thermal Cycle Annealing (TCA) in improving the crystalline perfection and optical properties of the deposited films

  7. High figure-of-merit SOI power LDMOS for power integrated circuits

    Directory of Open Access Journals (Sweden)

    Yashvir Singh

    2015-06-01

    Full Text Available The structural modifications in the conventional power laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOS are carried out to improve the breakdown voltage, on-resistance, gate-charge and figure-of-merits of the device with reduced cell pitch. The modified device has planer structure implemented on silicon-on-insulator which is suitable for low to medium voltage power integrated circuits. The proposed LDMOS consists of two gate electrodes placed vertically in two separate trenches build in the drift region and single source and drain contacts are taken on the top. The trench structure reduces the electric field inside the drift region and allow increased drift layer doping concentration leading to higher breakdown voltage, lower specific on-resistance, reduced gate-drain charge, and substantial improvement in the figure-of-merits. Using two-dimensional simulations, the performance of the proposed LDMOS is optimized and results are compared with the conventional LDMOS. Our simulation results show that the proposed device exhibits 110% higher breakdown voltage, 40% reduction in cell pitch, 19% lower specific on-resistance, 30% lower gate-to-drain charge leading to 5.5 times improvement in Baliga's figure-of-merit and 43% reduction in dynamic figure-of-merit over the conventional device.

  8. High temperature resistant cermet and ceramic compositions. [for thermal resistant insulators and refractory coatings

    Science.gov (United States)

    Phillips, W. M. (Inventor)

    1978-01-01

    High temperature oxidation resistance, high hardness and high abrasion and wear resistance are properties of cermet compositions particularly to provide high temperature resistant refractory coatings on metal substrates, for use as electrical insulation seals for thermionic converters. The compositions comprise a sintered body of particles of a high temperature resistant metal or metal alloy, preferably molybdenum or tungsten particles, dispersed in and bonded to a solid solution formed of aluminum oxide and silicon nitride, and particularly a ternary solid solution formed of a mixture of aluminum oxide, silicon nitride and aluminum nitride. Ceramic compositions comprising a sintered solid solution of aluminum oxide, silicon nitride and aluminum nitride are also described.

  9. Concretes with high mechanical resistance

    International Nuclear Information System (INIS)

    Mauny, Pierre.

    1973-01-01

    Description is given of a method for manufacturing concretes with high mechanical resistance in compression, obtained by mixing gravels highly resistant to compression, sand and cement in an aqueous medium. Use is made of sands of porous ceramics, such as terra-cotta, of a grain size from 0,1 to 5mm, the pore diameter of which is from 0.5 to 15 microns, chosen so as to be slighty bigger than the crystals of the cement used. This can be applied to the pre-stressed structures used in the nuclear field [fr

  10. Error-free Dispersion-uncompensated Transmission at 20 Gb/s over SSMF using a Hybrid III-V/SOI DML with MRR Filtering

    DEFF Research Database (Denmark)

    Cristofori, Valentina; Kamchevska, Valerija; Ding, Yunhong

    2016-01-01

    Error-free 20-Gb/s directly-modulated transmission is achieved by enhancing the dispersion tolerance of a III-V/SOI DFB laser with a silicon micro-ring resonator. Low (∼0.4 dB) penalty compared to back-to-back without ring is demonstrated after 5-km SSMF....

  11. High temperature resistant nanofiber by bubbfil-spinning

    Directory of Open Access Journals (Sweden)

    Li Ya

    2015-01-01

    Full Text Available Heat-resisting nanofibers have many potential applications in various industries, and the bubbfil spinning is the best candidate for mass-production of such materials. Polyether sulfone/zirconia solution with a bi-solvent system is used in the experiment. Experimental result reveals that polyether sulfone/zirconia nanofibers have higher resistance to high temperature than pure polyether sulfone fibers, and can be used as high-temperature-resistant filtration materials.

  12. High counting rate resistive-plate chamber

    International Nuclear Information System (INIS)

    Peskov, V.; Anderson, D.F.; Kwan, S.

    1993-05-01

    Parallel-plate avalanche chambers (PPAC) are widely used in physics experiments because they are fast ( 5 counts/mm 2 . A resistive-plate chamber (RPC) is similar to the PPAC in construction except that one or both of the electrodes are made from high resistivity (≥10 10 Ω·cm) materials. In practice RPCs are usually used in the spark mode. Resistive electrodes are charged by sparks, locally reducing the actual electric field in the gap. The size of the charged surface is about 10 mm 2 , leaving the rest of the detector unaffected. Therefore, the rate capability of such detectors in the spark mode is considerably higher than conventional spark counters. Among the different glasses tested the best results were obtained with electron type conductive glasses, which obey Ohm's law. Most of the work with such glasses was done with high pressure parallel-plate chambers (10 atm) for time-of-flight measurements. Resistive glasses have been expensive and produced only in small quantities. Now resistive glasses are commercially available, although they are still expensive in small scale production. From the positive experience of different groups working with the resistive glasses, it was decided to review the old idea to use this glass for the RPC. This work has investigated the possibility of using the RPC at 1 atm and in the avalanche mode. This has several advantages: simplicity of construction, high rate capability, low voltage operation, and the ability to work with non-flammable gases

  13. Fabrication and simulation of single crystal p-type Si nanowire using SOI technology

    International Nuclear Information System (INIS)

    Dehzangi, Arash; Larki, Farhad; Naseri, Mahmud G.; Navasery, Manizheh; Majlis, Burhanuddin Y.; Razip Wee, Mohd F.; Halimah, M.K.; Islam, Md. Shabiul; Md Ali, Sawal H.; Saion, Elias

    2015-01-01

    Highlights: • Single crystal silicon nanowire is fabricated on Si on insulator substrate, using atomic force microscope (AFM) nanolithography and KOH + IPA chemical wet etching. • Some of major parameters in fabrication process, such as writing speed and applied voltage along with KOH etching depth are investigated, and then the I–V characteristic of Si nanowires is measured. • For better understanding of the charge transmission through the nanowire, 3D-TCAD simulation is performed to simulate the Si nanowires with the same size of the fabricated ones, and variation of majority and minority carriers, hole quasi-Fermi level and generation/recombination rate are investigated. - Abstract: Si nanowires (SiNWs) as building blocks for nanostructured materials and nanoelectronics have attracted much attention due to their major role in device fabrication. In the present work a top-down fabrication approach as atomic force microscope (AFM) nanolithography was performed on Si on insulator (SOI) substrate to fabricate a single crystal p-type SiNW. To draw oxide patterns on top of the SOI substrate local anodic oxidation was carried out by AFM in contact mode. After the oxidation procedure, an optimized solution of 30 wt.% KOH with 10 vol.% IPA for wet etching at 63 °C was applied to extract the nanostructure. The fabricated SiNW had 70–85 nm full width at half maximum width, 90 nm thickness and 4 μm length. The SiNW was simulated using Sentaurus 3D software with the exact same size of the fabricated device. I–V characterization of the SiNW was measured and compared with simulation results. Using simulation results variation of carrier's concentrations, valence band edge energy and recombination generation rate for different applied voltage were investigated

  14. Fabrication and simulation of single crystal p-type Si nanowire using SOI technology

    Energy Technology Data Exchange (ETDEWEB)

    Dehzangi, Arash, E-mail: arashd53@hotmail.com [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Larki, Farhad [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Naseri, Mahmud G. [Department of Physics, Faculty of Science, Malayer University, Malayer, Hamedan (Iran, Islamic Republic of); Navasery, Manizheh [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Majlis, Burhanuddin Y.; Razip Wee, Mohd F. [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Halimah, M.K. [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Islam, Md. Shabiul; Md Ali, Sawal H. [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Saion, Elias [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia)

    2015-04-15

    Highlights: • Single crystal silicon nanowire is fabricated on Si on insulator substrate, using atomic force microscope (AFM) nanolithography and KOH + IPA chemical wet etching. • Some of major parameters in fabrication process, such as writing speed and applied voltage along with KOH etching depth are investigated, and then the I–V characteristic of Si nanowires is measured. • For better understanding of the charge transmission through the nanowire, 3D-TCAD simulation is performed to simulate the Si nanowires with the same size of the fabricated ones, and variation of majority and minority carriers, hole quasi-Fermi level and generation/recombination rate are investigated. - Abstract: Si nanowires (SiNWs) as building blocks for nanostructured materials and nanoelectronics have attracted much attention due to their major role in device fabrication. In the present work a top-down fabrication approach as atomic force microscope (AFM) nanolithography was performed on Si on insulator (SOI) substrate to fabricate a single crystal p-type SiNW. To draw oxide patterns on top of the SOI substrate local anodic oxidation was carried out by AFM in contact mode. After the oxidation procedure, an optimized solution of 30 wt.% KOH with 10 vol.% IPA for wet etching at 63 °C was applied to extract the nanostructure. The fabricated SiNW had 70–85 nm full width at half maximum width, 90 nm thickness and 4 μm length. The SiNW was simulated using Sentaurus 3D software with the exact same size of the fabricated device. I–V characterization of the SiNW was measured and compared with simulation results. Using simulation results variation of carrier's concentrations, valence band edge energy and recombination generation rate for different applied voltage were investigated.

  15. Athermal and wavelength-trimmable photonic filters based on TiO₂-cladded amorphous-SOI.

    Science.gov (United States)

    Lipka, Timo; Moldenhauer, Lennart; Müller, Jörg; Trieu, Hoc Khiem

    2015-07-27

    Large-scale integrated silicon photonic circuits suffer from two inevitable issues that boost the overall power consumption. First, fabrication imperfections even on sub-nm scale result in spectral device non-uniformity that require fine-tuning during device operation. Second, the photonic devices need to be actively corrected to compensate thermal drifts. As a result significant amount of power is wasted if no athermal and wavelength-trimmable solutions are utilized. Consequently, in order to minimize the total power requirement of photonic circuits in a passive way, trimming methods are required to correct the device inhomogeneities from manufacturing and athermal solutions are essential to oppose temperature fluctuations of the passive/active components during run-time. We present an approach to fabricate CMOS backend-compatible and athermal passive photonic filters that can be corrected for fabrication inhomogeneities by UV-trimming based on low-loss amorphous-SOI waveguides with TiO2 cladding. The trimming of highly confined 10 μm ring resonators is proven over a free spectral range retaining athermal operation. The athermal functionality of 2nd-order 5 μm add/drop microrings is demonstrated over 40°C covering a broad wavelength interval of 60 nm.

  16. Compact Si-based asymmetric MZI waveguide on SOI as a thermo-optical switch

    Science.gov (United States)

    Rizal, C. S.; Niraula, B.

    2018-03-01

    A compact low power consuming asymmetric MZI based optical modulator with fast response time has been proposed on SOI platform. The geometrical and performance characteristics were analyzed in depth and optimized using coupled mode analysis and FDTD simulation tools, respectively. It was tested with and without implementation of thermo-optic (TO) effect. The device showed good frequency modulating characteristics when tested without the implementation of the TO effect. The fabricated device showed quality factor, Q ≈ 10,000, and this value is comparable to the Q of the device simulated with 25% transmission loss, showing FSR of 0.195 nm, FWHM ≈ 0.16 nm, and ER of 13 dB. With TO effect, it showed temperature sensitivity of 0.01 nm/°C and FSR of 0.19 nm. With the heater length of 4.18 mm, the device required 0.26 mW per π shift power with a switching voltage of 0.309 V, response time of 10 μ, and figure-of-merit of 2.6 mW μs. All of these characteristics make this device highly attractive for use in integrated Si photonics network as optical switch and wavelength modulator.

  17. Corrosion-Resistant High-Entropy Alloys: A Review

    Directory of Open Access Journals (Sweden)

    Yunzhu Shi

    2017-02-01

    Full Text Available Corrosion destroys more than three percent of the world’s gross domestic product. Therefore, the design of highly corrosion-resistant materials is urgently needed. By breaking the classical alloy-design philosophy, high-entropy alloys (HEAs possess unique microstructures, which are solid solutions with random arrangements of multiple elements. The particular locally-disordered chemical environment is expected to lead to unique corrosion-resistant properties. In this review, the studies of the corrosion-resistant HEAs during the last decade are summarized. The corrosion-resistant properties of HEAs in various aqueous environments and the corrosion behavior of HEA coatings are presented. The effects of environments, alloying elements, and processing methods on the corrosion resistance are analyzed in detail. Furthermore, the possible directions of future work regarding the corrosion behavior of HEAs are suggested.

  18. Mechanism of high-temperature resistant water-base mud

    Energy Technology Data Exchange (ETDEWEB)

    Luo, P

    1981-01-01

    Based on experiments, the causes and laws governing the changes in the performance of water-base mud under high temperature are analyzed, and the requisites and mechanism of treating agents resisting high temperature are discussed. Ways and means are sought for inhibiting, delaying and making use of the effect of high temperature on the performance of mud, while new ideas and systematic views have been expressed on the preparation of treating agents and set-up of a high temperature resistant water-base mud system. High temperature dispersion and high temperature surface inactivation of clay in the mud, as well as their effect and method of utilization are reviewed. Subjects also touched upon include degradation and cross-linking of the high-temperature resistant treating agents, their use and effect. Based on the above, the preparation of a water-base and system capable of resisting 180 to 250/sup 0/C is recommended.

  19. L’empathie comme outil herméneutique du soi: Note sur Paul Ricœur et Heinz Kohut

    Directory of Open Access Journals (Sweden)

    Michel Dupuis

    2011-01-01

    Full Text Available Le bref texte que Paul Ricœur consacre en 1986 à la psychanalyse développée par Heinz Kohut révèle une réinterprétation phénoménologique à la fois du contenu et des fonctions de l'empathie, au total considérée comme un véritable outil à l'œuvre dans l'herméneutique du soi. La vision kohutienne de la constitution du soi et du processus thérapeutique analytique produit une espèce de “dé-sentimentalisation” de l'empathie, en soulignant le rôle crucial du transfert intersubjectif, fort à distance de la théorie (freudienne solipsiste de l'ego.The short text published in 1986 by Paul Ricoeur about Heinz Kohut's psychoanalysis of the self reveals a phenomenological reinterpretation of the content and the functions of empathy, finally considered as an effective tool of the hermeneutics of the self. Kohut's model of constitution of the self and of the therapeutic analytical process produces a kind of “de-sentimentalization” of empathy, pointing to the crucial role of intersubjective transfer, far from a (Freudian solipsistic theory of the ego.

  20. A three-dimensional breakdown model of SOI lateral power transistors with a circular layout

    International Nuclear Information System (INIS)

    Guo Yufeng; Wang Zhigong; Sheu Gene

    2009-01-01

    This paper presents an analytical three-dimensional breakdown model of SOI lateral power devices with a circular layout. The Poisson equation is solved in cylindrical coordinates to obtain the radial surface potential and electric field distributions for both fully- and partially-depleted drift regions. The breakdown voltages for N + N and P + N junctions are derived and employed to investigate the impact of cathode region curvature. A modified RESURF criterion is proposed to provide a design guideline for optimizing the breakdown voltage and doping concentration in the drift region in three dimensional space. The analytical results agree well with MEDICI simulation results and experimental data from earlier publications. (semiconductor devices)

  1. Global pictures of the ozone field from high altitudes from DE-I

    Science.gov (United States)

    Keating, G. M.; Frank, L.; Craven, J.; Shapiro, M.; Young, D.; Bhartia, P.

    1982-01-01

    Detailed synoptic views of the column ozone field can be obtained by the Spin-Scan Ozone Imager (SOI) (Keating et al., 1981) aboard the Dynamics Explorer I satellite. The eccentric polar orbit with an apogee altitude of 23,000 km allows high resolution global-scale images to be obtained within 12 minutes, and allows regions to be viewed for long periods of time. At perigee, a pixel size of nadir measurements of 3 km is possible, and measurements are determined using the backscattered ultraviolet technique. A wavelength measurement of 317.5 nm is used as there are limitations in filter locations and it allows comparison with Nimbus 7 SBUV/TOMS data. Consideration of the reflectivities of this data aids in checking the SOI data reduction algorithm. SOI data show short-term (less than one day) variations in the observed ozone field, and a negative correlation (greater than 0.9) between ozone and tropopause heights. It is expected, due to this correlation, that SOI data will aid in understanding the time evolution of dynamics near the tropopause.

  2. High corrosion-resistant fuel spacers

    International Nuclear Information System (INIS)

    Yoshida, Toshimi; Takase, Iwao; Ikeda, Shinzo; Masaoka, Isao; Nakajima, Junjiro.

    1986-01-01

    Purpose: To enable manufacturing BWR fuel spacers by prior-art production process, using a zirconium-base alloy having very excellent corrosion resistance. Method: A highly improved nodular-resistant, corrosion-resistant zirconium alloy is devised by adding a slight amount of niobium, titanium and vanadium to zircaloy, of which fuel spacers are produced. That is, there can be obtained an alloy having much more excellent nodular resistance than conventional zircaloy, and free from a large change in plasticity, workability, and weldability, by adding to zirconium about 1.5 % of tin, about 0.15 % of iron, about 0.05 % of chromium, about 0.05 % of nickel, and 0.05 to 0.5 % of at least one or two kinds of niobium, titanium and vanadium. Using this zirconium-base alloy can manufacture fuel spacers by the same manufacturing process, thus improving economy and reliability. (Kamimura, M.)

  3. An analytical threshold voltage model for a short-channel dual-metal-gate (DMG) recessed-source/drain (Re-S/D) SOI MOSFET

    Science.gov (United States)

    Saramekala, G. K.; Santra, Abirmoya; Dubey, Sarvesh; Jit, Satyabrata; Tiwari, Pramod Kumar

    2013-08-01

    In this paper, an analytical short-channel threshold voltage model is presented for a dual-metal-gate (DMG) fully depleted recessed source/drain (Re-S/D) SOI MOSFET. For the first time, the advantages of recessed source/drain (Re-S/D) and of dual-metal-gate structure are incorporated simultaneously in a fully depleted SOI MOSFET. The analytical surface potential model at Si-channel/SiO2 interface and Si-channel/buried-oxide (BOX) interface have been developed by solving the 2-D Poisson’s equation in the channel region with appropriate boundary conditions assuming parabolic potential profile in the transverse direction of the channel. Thereupon, a threshold voltage model is derived from the minimum surface potential in the channel. The developed model is analyzed extensively for a variety of device parameters like the oxide and silicon channel thicknesses, thickness of source/drain extension in the BOX, control and screen gate length ratio. The validity of the present 2D analytical model is verified with ATLAS™, a 2D device simulator from SILVACO Inc.

  4. Fabrication of SGOI material by oxidation of an epitaxial SiGe layer on an SOI wafer with H ions implantation

    International Nuclear Information System (INIS)

    Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang

    2005-01-01

    SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI

  5. Mechanisms of Low-Energy Operation of XCT-SOI CMOS Devices—Prospect of Sub-20-nm Regime

    Directory of Open Access Journals (Sweden)

    Yasuhisa Omura

    2014-01-01

    Full Text Available This paper describes the performance prospect of scaled cross-current tetrode (XCT CMOS devices and demonstrates the outstanding low-energy aspects of sub-30-nm-long gate XCT-SOI CMOS by analyzing device operations. The energy efficiency improvement of such scaled XCT CMOS circuits (two orders higher stems from the “source potential floating effect”, which offers the dynamic reduction of effective gate capacitance. It is expected that this feature will be very important in many medical implant applications that demand a long device lifetime without recharging the battery.

  6. Physiological and genetics studies of highly radiation-resistant bacteria

    International Nuclear Information System (INIS)

    Keller, L.C.

    1981-01-01

    The phenomenon of radiation resistance was studied using micrococci and Moraxella-Acinetobacter capable of surviving very high doses of gamma radiation which were isolated from foods. Physiological age, or growth phase, was found to be an important factor in making comparisons of radiation-resistance among different bacteria and their mutants. Radiation-resistant bacteria were highly resistant to the lethal effect of nitrosoguanidine used for mutagenesis. Studies of relative resistance of radiation-resistant bacteria, radiation-sensitive mutants, and nonradiation-resistant bacteria to killing by different chemical mutagens did not reveal a correlation between the traits of radiation resistance and mutagen resistance among different strains. Comparisons of plasmid profiles of radiation-resistant bacteria and selected radiation-sensitive mutants suggested the possibility that plasmids may carry genes involved in radiation resistance

  7. High temperature oxidation resistant cermet compositions

    Science.gov (United States)

    Phillips, W. M. (Inventor)

    1976-01-01

    Cermet compositions are designed to provide high temperature resistant refractory coatings on stainless steel or molybdenum substrates. A ceramic mixture of chromium oxide and aluminum oxide form a coating of chromium oxide as an oxidation barrier around the metal particles, to provide oxidation resistance for the metal particles.

  8. Corrosion resistance of high-performance materials titanium, tantalum, zirconium

    CERN Document Server

    2012-01-01

    Corrosion resistance is the property of a material to resist corrosion attack in a particular aggressive environment. Although titanium, tantalum and zirconium are not noble metals, they are the best choice whenever high corrosion resistance is required. The exceptionally good corrosion resistance of these high–performance metals and their alloys results from the formation of a very stable, dense, highly adherent, and self–healing protective oxide film on the metal surface. This naturally occurring oxide layer prevents chemical attack of the underlying metal surface. This behavior also means, however, that high corrosion resistance can be expected only under neutral or oxidizing conditions. Under reducing conditions, a lower resistance must be reckoned with. Only very few inorganic and organic substances are able to attack titanium, tantalum or zirconium at ambient temperature. As the extraordinary corrosion resistance is coupled with an excellent formability and weldability these materials are very valua...

  9. The effect of interface trapped charges in DMG-S-SOI MOSFET: a perspective study

    International Nuclear Information System (INIS)

    Mohapatra, S K; Pradhan, K P; Sahu, P K; Pati, G S; Kumar, M R

    2014-01-01

    In this paper, the existing two-dimensional (2D) threshold voltage model for a dual material gate fully depleted strained silicon on insulator (DMG-FD-S-SOI) metal-oxide-semiconductor field effect transistor (MOSFET) is modified by considering the interface trapped charge effects. The interface trapped charge is a common phenomenon, and this charge cannot be neglected in nanoscale devices. For finding out the surface potential, parabolic approximation has been utilized and the virtual cathode potential method is used to formulate the threshold voltage. The developed threshold voltage model incorporates both positive as well as negative interface charges. Finally, validity of the presented model is verified with 2D device simulator Sentaurus™. (paper)

  10. The effect of interface trapped charges in DMG-S-SOI MOSFET: a perspective study

    Science.gov (United States)

    Mohapatra, S. K.; Pradhan, K. P.; Sahu, P. K.; Pati, G. S.; Kumar, M. R.

    2014-12-01

    In this paper, the existing two-dimensional (2D) threshold voltage model for a dual material gate fully depleted strained silicon on insulator (DMG-FD-S-SOI) metal-oxide-semiconductor field effect transistor (MOSFET) is modified by considering the interface trapped charge effects. The interface trapped charge is a common phenomenon, and this charge cannot be neglected in nanoscale devices. For finding out the surface potential, parabolic approximation has been utilized and the virtual cathode potential method is used to formulate the threshold voltage. The developed threshold voltage model incorporates both positive as well as negative interface charges. Finally, validity of the presented model is verified with 2D device simulator Sentaurus™.

  11. Approaches of multilayer overlay process control for 28nm FD-SOI derivative applications

    Science.gov (United States)

    Duclaux, Benjamin; De Caunes, Jean; Perrier, Robin; Gatefait, Maxime; Le Gratiet, Bertrand; Chapon, Jean-Damien; Monget, Cédric

    2018-03-01

    Derivative technology like embedded Non-Volatile Memories (eNVM) is raising new types of challenges on the "more than Moore" path. By its construction: overlay is critical across multiple layers, by its running mode: usage of high voltage are stressing leakages and breakdown, and finally with its targeted market: Automotive, Industry automation, secure transactions… which are all requesting high device reliability (typically below 1ppm level). As a consequence, overlay specifications are tights, not only between one layer and its reference, but also among the critical layers sharing the same reference. This work describes a broad picture of the key points for multilayer overlay process control in the case of a 28nm FD-SOI technology and its derivative flows. First, the alignment trees of the different flow options have been optimized using a realistic process assumptions calculation for indirect overlay. Then, in the case of a complex alignment tree involving heterogeneous scanner toolset, criticality of tool matching between reference layer and critical layers of the flow has been highlighted. Improving the APC control loops of these multilayer dependencies has been studied with simulations of feed-forward as well as implementing new rework algorithm based on multi-measures. Finally, the management of these measurement steps raises some issues for inline support and using calculations or "virtual overlay" could help to gain some tool capability. A first step towards multilayer overlay process control has been taken.

  12. Oxidation resistant high creep strength austenitic stainless steel

    Science.gov (United States)

    Brady, Michael P.; Pint, Bruce A.; Liu, Chain-Tsuan; Maziasz, Philip J.; Yamamoto, Yukinori; Lu, Zhao P.

    2010-06-29

    An austenitic stainless steel displaying high temperature oxidation and creep resistance has a composition that includes in weight percent 15 to 21 Ni, 10 to 15 Cr, 2 to 3.5 Al, 0.1 to 1 Nb, and 0.05 to 0.15 C, and that is free of or has very low levels of N, Ti and V. The alloy forms an external continuous alumina protective scale to provide a high oxidation resistance at temperatures of 700 to 800.degree. C. and forms NbC nanocarbides and a stable essentially single phase fcc austenitic matrix microstructure to give high strength and high creep resistance at these temperatures.

  13. Design of novel SOI 1 × 4 optical power splitter using seven horizontally slotted waveguides

    Science.gov (United States)

    Katz, Oded; Malka, Dror

    2017-07-01

    In this paper, we demonstrate a compact silicon on insulator (SOI) 1 × 4 optical power splitter using seven horizontal slotted waveguides. Aluminum nitride (AIN) surrounded by silicon (Si) was used to confine the optical field in the slot region. All of the power analysis has been done in transverse magnetic (TM) polarization mode and a compact optical power splitter as short as 14.5 μm was demonstrated. The splitter was designed by using full vectorial beam propagation method (FV-BPM) simulations. Numerical investigations show that this device can work across the whole C-band (1530-1565 nm) with excess loss better than 0.23 dB.

  14. Une dialectique de la pudeur : les pratiques de mise en visibilité de soi sur Facebook

    OpenAIRE

    Mell , Laurent

    2017-01-01

    L’amplification des usages des technologies de l’information et de la communication (TIC), et plus particulièrement des réseaux socionumériques, ont induit des évolutions significatives dans le rapport des individus aux normes relatives à la pudeur. Dans cet article, nous proposons de discuter des pratiques de mise en visibilité de soi sur le réseau socionumérique Facebook. Tout d’abord, nous montrons que l’augmentation de la considération pour la vie privée amène à une sélection des informat...

  15. Production of low-affinity penicillin-binding protein by low- and high-resistance groups of methicillin-resistant Staphylococcus aureus.

    Science.gov (United States)

    Murakami, K; Nomura, K; Doi, M; Yoshida, T

    1987-01-01

    Methicillin- and cephem-resistant Staphylococcus aureus (137 strains) for which the cefazolin MICs are at least 25 micrograms/ml could be classified into low-resistance (83% of strains) and high-resistance (the remaining 17%) groups by the MIC of flomoxef (6315-S), a 1-oxacephalosporin. The MICs were less than 6.3 micrograms/ml and more than 12.5 micrograms/ml in the low- and high-resistance groups, respectively. All strains produced penicillin-binding protein 2' (PBP 2'), which has been associated with methicillin resistance and which has very low affinity for beta-lactam antibiotics. Production of PBP 2' was regulated differently in low- and high-resistance strains. With penicillinase-producing strains of the low-resistance group, cefazolin, cefamandole, and cefmetazole induced PBP 2' production about 5-fold, while flomoxef induced production 2.4-fold or less. In contrast, penicillinase-negative variants of low-resistance strains produced PBP 2' constitutively in large amounts and induction did not occur. With high-resistance strains, flomoxef induced PBP 2' to an extent similar to that of cefazolin in both penicillinase-producing and -negative strains, except for one strain in which the induction did not occur. The amount of PBP 2' induced by beta-lactam antibiotics in penicillinase-producing strains of the low-resistance group correlated well with resistance to each antibiotic. Large amounts of PBP 2' in penicillinase-negative variants of the low-resistance group did not raise the MICs of beta-lactam compounds, although these strains were more resistant when challenged with flomoxef for 2 h. Different regulation of PBP 2' production was demonstrated in the high- and low-resistance groups, and factor(s) other than PBP 2' were suggested to be involved in the methicillin resistance of high-resistance strains. Images PMID:3499861

  16. Blog : un journal intime comme mémoire de soi

    Directory of Open Access Journals (Sweden)

    Nolwenn Hénaff

    2011-08-01

    Full Text Available Tenir un journal est devenu, pour un individu, une manière possible de vivre, ou d’accompagner un moment de sa vie (Lejeune, 2006. Les usages sont donc multiples : construction d’une identité narrative, fixation du temps, libération du moi, introspection, outil de contrôle, de soutien, méthode d’organisation de la pensée, plaisir d’écrire. Si l’écriture papier reste la forme la plus courante du récit biographique, d’autres supports médiatiques comme la télévision ou la radio sont venus offrir de nouveaux terrains d’expérimentation de ces récits de soi. Plus récemment, l’avènement d’Internet et de ses outils simplifiés de publication ont fait émerger des formes biographiques innovantes. Pourtant, qu’il s’agisse de traverser une crise, de garder la mémoire d’une expérience forte, ou, plus ordinairement, de relater ses vacances et ses voyages, le journal se positionne avant tout, et résolument, comme un espace de liberté : on écrit quand on veut, comme on veut. Le « Souci de soi » comme dirait Foucault, l’espace dominé par les sensations, et la temporalité marquée par la notion d’instants, de moments ayant une connotation expressément personnelle sont autant d’indices révélant la pratique de l’écriture intime en ligne. Le blog apparaît à des moments de vie et accompagne souvent des tournants biographiques (ruptures, questionnement mais aussi nouveaux apprentissages, nouvelles rencontres, etc.. Nous proposons dans cet article d’analyser le blog en tant que support de mémoire personnelle et d’étudier à travers des exemples concrets les stratégies développées par les blogueurs pour se créer via ce dispositif communicationnel innovant un « espace de conserverie de soi » en ligne.Keeping a journal has become a way of live, or to moment a moment in one’s life (Lejeune, 2006. It has multiple uses: construction of a narrative identity, marking time, liberating the

  17. InP on SOI devices for optical communication and optical network on chip

    Science.gov (United States)

    Fedeli, J.-M.; Ben Bakir, B.; Olivier, N.; Grosse, Ph.; Grenouillet, L.; Augendre, E.; Phillippe, P.; Gilbert, K.; Bordel, D.; Harduin, J.

    2011-01-01

    For about ten years, we have been developing InP on Si devices under different projects focusing first on μlasers then on semicompact lasers. For aiming the integration on a CMOS circuit and for thermal issue, we relied on SiO2 direct bonding of InP unpatterned materials. After the chemical removal of the InP substrate, the heterostructures lie on top of silicon waveguides of an SOI wafer with a separation of about 100nm. Different lasers or photodetectors have been achieved for off-chip optical communication and for intra-chip optical communication within an optical network. For high performance computing with high speed communication between cores, we developed InP microdisk lasers that are coupled to silicon waveguide and produced 100μW of optical power and that can be directly modulated up to 5G at different wavelengths. The optical network is based on wavelength selective circuits with ring resonators. InGaAs photodetectors are evanescently coupled to the silicon waveguide with an efficiency of 0.8A/W. The fabrication has been demonstrated at 200mm wafer scale in a microelectronics clean room for CMOS compatibility. For off-chip communication, silicon on InP evanescent laser have been realized with an innovative design where the cavity is defined in silicon and the gain localized in the QW of bonded InP hererostructure. The investigated devices operate at continuous wave regime with room temperature threshold current below 100 mA, the side mode suppression ratio is as high as 20dB, and the fibercoupled output power is {7mW. Direct modulation can be achieved with already 6G operation.

  18. Resistance Exercise Attenuates High-Fructose, High-Fat-Induced Postprandial Lipemia

    Directory of Open Access Journals (Sweden)

    Jessie R. Wilburn

    2015-01-01

    Full Text Available Introduction Meals rich in both fructose and fat are commonly consumed by many Americans, especially young men, which can produce a significant postprandial lipemic response. Increasing evidence suggests that aerobic exercise can attenuate the postprandial increase in plasma triacylglycerols (TAGs in response to a high-fat or a high-fructose meal. However, it is unknown if resistance exercise can dampen the postprandial lipemic response to a meal rich in both fructose and fat. Methods Eight apparently healthy men (Mean ± SEM; age = 27 ± 2 years participated in a crossover study to examine the effects of acute resistance exercise on next-day postprandial lipemia resulting from a high-fructose, high-fat meal. Participants completed three separate two-day conditions in a random order: (1 EX-COMP: a full-body weightlifting workout with the provision of additional kilocalories to compensate for the estimated net energy cost of exercise on day 1, followed by the consumption of a high-fructose, high-fat liquid test meal the next morning (day 2 (~600 kcal and the determination of the plasma glucose, lactate, insulin, and TAG responses during a six-hour postprandial period; (2 EX-DEF: same condition as EX-COMP but without exercise energy compensation on day 1; and (3 CON: no exercise control. Results The six-hour postprandial plasma insulin and lactate responses did not differ between conditions. However, the postprandial plasma TAG concentrations were 16.5% and 24.4% lower for EX-COMP (551.0 ± 80.5 mg/dL x 360 minutes and EX-DEF (499.4 ± 73.5 mg/dL x 360 minutes, respectively, compared to CON (660.2 ± 95.0 mg/dL x 360 minutes ( P < 0.05. Conclusions A single resistance exercise bout, performed ~15 hours prior to a high-fructose, high-fat meal, attenuated the postprandial TAG response, as compared to a no-exercise control condition, in healthy, resistance-trained men.

  19. Resistance Exercise Attenuates High-Fructose, High-Fat-Induced Postprandial Lipemia.

    Science.gov (United States)

    Wilburn, Jessie R; Bourquin, Jeffrey; Wysong, Andrea; Melby, Christopher L

    2015-01-01

    Meals rich in both fructose and fat are commonly consumed by many Americans, especially young men, which can produce a significant postprandial lipemic response. Increasing evidence suggests that aerobic exercise can attenuate the postprandial increase in plasma triacylglycerols (TAGs) in response to a high-fat or a high-fructose meal. However, it is unknown if resistance exercise can dampen the postprandial lipemic response to a meal rich in both fructose and fat. Eight apparently healthy men (Mean ± SEM; age = 27 ± 2 years) participated in a crossover study to examine the effects of acute resistance exercise on next-day postprandial lipemia resulting from a high-fructose, high-fat meal. Participants completed three separate two-day conditions in a random order: (1) EX-COMP: a full-body weightlifting workout with the provision of additional kilocalories to compensate for the estimated net energy cost of exercise on day 1, followed by the consumption of a high-fructose, high-fat liquid test meal the next morning (day 2) (~600 kcal) and the determination of the plasma glucose, lactate, insulin, and TAG responses during a six-hour postprandial period; (2) EX-DEF: same condition as EX-COMP but without exercise energy compensation on day 1; and (3) CON: no exercise control. The six-hour postprandial plasma insulin and lactate responses did not differ between conditions. However, the postprandial plasma TAG concentrations were 16.5% and 24.4% lower for EX-COMP (551.0 ± 80.5 mg/dL × 360 minutes) and EX-DEF (499.4 ± 73.5 mg/dL × 360 minutes), respectively, compared to CON (660.2 ± 95.0 mg/dL × 360 minutes) (P < 0.05). A single resistance exercise bout, performed ~15 hours prior to a high-fructose, high-fat meal, attenuated the postprandial TAG response, as compared to a no-exercise control condition, in healthy, resistance-trained men.

  20. Factors Influencing Self-Regulation in E-learning 2.0: Confirmatory Factor Model | Facteurs qui influencent la maîtrise de soi en cyberapprentissage 2.0 : modèle de facteur confirmative

    Directory of Open Access Journals (Sweden)

    Hong Zhao

    2016-04-01

    Full Text Available The importance of self-regulation in e-learning has been well noted in research. Relevant studies have shown a consistent positive correlation between learners’ self-regulation and their success rate in e-learning. Increasing attention has been paid to developing learners’ self-regulated abilities in e-learning. For students, what and how to learn are largely predetermined by the learning environment provided by their institutions. Environmental determinants play a key role in shaping self-regulation in the learning process. This paper reports a study on the influences of the e-learning 2.0 environment on self-regulation. The study identified the factors that influence self-regulation in such an environment and determine the relationships between the factors and self-regulation. A theoretical model to categorize the success factors for self-regulated learning was proposed for this kind of environment. Based on the model, a questionnaire was designed and administered to more than two hundred and fifty distance learning students in Beijing and Hong Kong. Through structural equation modeling (SEM technique, relationships between environmental factors and self-regulation were analyzed. Statistical results showed that several factors affect self-regulation in the e-learning 2.0 environment. They include system quality, information quality, service quality, and user satisfaction. L’importance de la maîtrise de soi en cyberapprentissage a été bien étudiée. Les études pertinentes ont démontré une corrélation positive uniforme entre la maîtrise de soi des apprenants et leurs taux de réussite en apprentissage en ligne. Une attention croissante a été portée au développement des aptitudes de maîtrise de soi des élèves en cyberapprentissage. Pour les élèves, quoi apprendre et comment sont des questions principalement prédéterminées par l’environnement d’apprentissage qu’offrent leurs établissements. Les d

  1. Creep resistant high temperature martensitic steel

    Energy Technology Data Exchange (ETDEWEB)

    Hawk, Jeffrey A.; Jablonski, Paul D.; Cowen, Christopher J.

    2017-01-31

    The disclosure provides a creep resistant alloy having an overall composition comprised of iron, chromium, molybdenum, carbon, manganese, silicon, nickel, vanadium, niobium, nitrogen, tungsten, cobalt, tantalum, boron, copper, and potentially additional elements. In an embodiment, the creep resistant alloy has a molybdenum equivalent Mo(eq) from 1.475 to 1.700 wt. % and a quantity (C+N) from 0.145 to 0.205. The overall composition ameliorates sources of microstructural instability such as coarsening of M.sub.23C.sub.6carbides and MX precipitates, and mitigates or eliminates Laves and Z-phase formation. A creep resistant martensitic steel may be fabricated by preparing a melt comprised of the overall composition followed by at least austenizing and tempering. The creep resistant alloy exhibits improved high-temperature creep strength in the temperature environment of around 650.degree. C.

  2. Creep resistant high temperature martensitic steel

    Science.gov (United States)

    Hawk, Jeffrey A.; Jablonski, Paul D.; Cowen, Christopher J.

    2015-11-13

    The disclosure provides a creep resistant alloy having an overall composition comprised of iron, chromium, molybdenum, carbon, manganese, silicon, nickel, vanadium, niobium, nitrogen, tungsten, cobalt, tantalum, boron, and potentially additional elements. In an embodiment, the creep resistant alloy has a molybdenum equivalent Mo(eq) from 1.475 to 1.700 wt. % and a quantity (C+N) from 0.145 to 0.205. The overall composition ameliorates sources of microstructural instability such as coarsening of M.sub.23C.sub.6 carbides and MX precipitates, and mitigates or eliminates Laves and Z-phase formation. A creep resistant martensitic steel may be fabricated by preparing a melt comprised of the overall composition followed by at least austenizing and tempering. The creep resistant alloy exhibits improved high-temperature creep strength in the temperature environment of around 650.degree. C.

  3. High chlorpyrifos resistance in Culex pipiens mosquitoes: strong synergy between resistance genes

    Science.gov (United States)

    Alout, H; Labbé, P; Berthomieu, A; Makoundou, P; Fort, P; Pasteur, N; Weill, M

    2016-01-01

    We investigated the genetic determinism of high chlorpyrifos resistance (HCR), a phenotype first described in 1999 in Culex pipiens mosquitoes surviving chlorpyrifos doses ⩾1 mg l−1 and more recently found in field samples from Tunisia, Israel or Indian Ocean islands. Through chlorpyrifos selection, we selected several HCR strains that displayed over 10 000-fold resistance. All strains were homozygous for resistant alleles at two main loci: the ace-1 gene, with the resistant ace-1R allele expressing the insensitive G119S acetylcholinesterase, and a resistant allele of an unknown gene (named T) linked to the sex and ace-2 genes. We constructed a strain carrying only the T-resistant allele and studied its resistance characteristics. By crossing this strain with strains harboring different alleles at the ace-1 locus, we showed that the resistant ace-1R and the T alleles act in strong synergy, as they elicited a resistance 100 times higher than expected from a simple multiplicative effect. This effect was specific to chlorpyrifos and parathion and was not affected by synergists. We also examined how HCR was expressed in strains carrying other ace-1-resistant alleles, such as ace-1V or the duplicated ace-1D allele, currently spreading worldwide. We identified two major parameters that influenced the level of resistance: the number and the nature of the ace-1-resistant alleles and the number of T alleles. Our data fit a model that predicts that the T allele acts by decreasing chlorpyrifos concentration in the compartment targeted in insects. PMID:26463842

  4. Novel high-voltage power lateral MOSFET with adaptive buried electrodes

    International Nuclear Information System (INIS)

    Zhang Wen-Tong; Wu Li-Juan; Qiao Ming; Luo Xiao-Rong; Zhang Bo; Li Zhao-Ji

    2012-01-01

    A new high-voltage and low-specific on-resistance (R on,sp ) adaptive buried electrode (ABE) silicon-on-insulator (SOI) power lateral MOSFET and its analytical model of the electric fields are proposed. The MOSFET features are that the electrodes are in the buried oxide (BOX) layer, the negative drain voltage V d is divided into many partial voltages and the output to the electrodes is in the buried oxide layer and the potentials on the electrodes change linearly from the drain to the source. Because the interface silicon layer potentials are lower than the neighboring electrode potentials, the electronic potential wells are formed above the electrode regions, and the hole potential wells are formed in the spacing of two neighbouring electrode regions. The interface hole concentration is much higher than the electron concentration through designing the buried layer electrode potentials. Based on the interface charge enhanced dielectric layer field theory, the electric field strength in the buried layer is enhanced. The vertical electric field E I and the breakdown voltage (BV) of ABE SOI are 545 V/μm and −587 V in the 50 μm long drift region and the 1 μm thick dielectric layer, and a low R on,sp is obtained. Furthermore, the structure also alleviates the self-heating effect (SHE). The analytical model matches the simulation results. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Modeling and analysis of surface potential of single gate fully depleted SOI MOSFET using 2D-Poisson's equation

    Science.gov (United States)

    Mani, Prashant; Tyagi, Chandra Shekhar; Srivastav, Nishant

    2016-03-01

    In this paper the analytical solution of the 2D Poisson's equation for single gate Fully Depleted SOI (FDSOI) MOSFET's is derived by using a Green's function solution technique. The surface potential is calculated and the threshold voltage of the device is minimized for the low power consumption. Due to minimization of threshold voltage the short channel effect of device is suppressed and after observation we obtain the device is kink free. The structure and characteristics of SingleGate FDSOI MOSFET were matched by using MathCAD and silvaco respectively.

  6. A linear 180 nm SOI CMOS antenna switch module using integrated passive device filters for cellular applications

    Science.gov (United States)

    Jie, Cui; Lei, Chen; Peng, Zhao; Xu, Niu; Yi, Liu

    2014-06-01

    A broadband monolithic linear single pole, eight throw (SP8T) switch has been fabricated in 180 nm thin film silicon-on-insulator (SOI) CMOS technology with a quad-band GSM harmonic filter in integrated passive devices (IPD) technology, which is developed for cellular applications. The antenna switch module (ASM) features 1.2 dB insertion loss with filter on 2G bands and 0.4 dB insertion loss in 3G bands, less than -45 dB isolation and maximum -103 dB intermodulation distortion for mobile front ends by applying distributed architecture and adaptive supply voltage generator.

  7. Method of separate determination of high-ohmic sample resistance and contact resistance

    Directory of Open Access Journals (Sweden)

    Vadim A. Golubiatnikov

    2015-09-01

    Full Text Available A method of separate determination of two-pole sample volume resistance and contact resistance is suggested. The method is applicable to high-ohmic semiconductor samples: semi-insulating gallium arsenide, detector cadmium-zinc telluride (CZT, etc. The method is based on near-contact region illumination by monochromatic radiation of variable intensity from light emitting diodes with quantum energies exceeding the band gap of the material. It is necessary to obtain sample photo-current dependence upon light emitting diode current and to find the linear portion of this dependence. Extrapolation of this linear portion to the Y-axis gives the cut-off current. As the bias voltage is known, it is easy to calculate sample volume resistance. Then, using dark current value, one can determine the total contact resistance. The method was tested for n-type semi-insulating GaAs. The contact resistance value was shown to be approximately equal to the sample volume resistance. Thus, the influence of contacts must be taken into account when electrophysical data are analyzed.

  8. Deep Trek High Temperature Electronics Project

    Energy Technology Data Exchange (ETDEWEB)

    Bruce Ohme

    2007-07-31

    This report summarizes technical progress achieved during the cooperative research agreement between Honeywell and U.S. Department of Energy to develop high-temperature electronics. Objects of this development included Silicon-on-Insulator (SOI) wafer process development for high temperature, supporting design tools and libraries, and high temperature integrated circuit component development including FPGA, EEPROM, high-resolution A-to-D converter, and a precision amplifier.

  9. Stability of High Temperature Standard Platinum Resistance Thermometers at High Temperatures

    OpenAIRE

    Y. A. ABDELAZIZ; F. M. MEGAHED

    2010-01-01

    An investigation of the stability of high temperature standard platinum resistance thermometers HTSPRTs has been carried out for two different designs thermometers (with nominal resistance 0.25 Ω and 2.5 Ω) from two different suppliers. The thermometers were heated for more than 160 hours at temperatures above 960 0C using a vertical furnace with a ceramic block. A study was made of the influence of the heat treatment on the stability of the resistance at the triple point of water, and on the...

  10. Genetic Determinants of High-Level Oxacillin Resistance in Methicillin-Resistant Staphylococcus aureus.

    Science.gov (United States)

    Pardos de la Gandara, Maria; Borges, Vitor; Chung, Marilyn; Milheiriço, Catarina; Gomes, João Paulo; de Lencastre, Herminia; Tomasz, Alexander

    2018-06-01

    Methicillin-resistant Staphylococcus aureus (MRSA) strains carry either a mecA - or a mecC -mediated mechanism of resistance to beta-lactam antibiotics, and the phenotypic expression of resistance shows extensive strain-to-strain variation. In recent communications, we identified the genetic determinants associated with the stringent stress response that play a major role in the antibiotic resistant phenotype of the historically earliest "archaic" clone of MRSA and in the mecC -carrying MRSA strain LGA251. Here, we sought to test whether or not the same genetic determinants also contribute to the resistant phenotype of highly and homogeneously resistant (H*R) derivatives of a major contemporary MRSA clone, USA300. We found that the resistance phenotype was linked to six genes ( fruB , gmk , hpt , purB , prsA , and relA ), which were most frequently targeted among the analyzed 20 H*R strains (one mutation per clone in 19 of the 20 H*R strains). Besides the strong parallels with our previous findings (five of the six genes matched), all but one of the repeatedly targeted genes were found to be linked to guanine metabolism, pointing to the key role that this pathway plays in defining the level of antibiotic resistance independent of the clonal type of MRSA. Copyright © 2018 American Society for Microbiology.

  11. Development of radiation-resisting high molecular-weight materials

    International Nuclear Information System (INIS)

    Nakagawa, Tsutomu

    1976-01-01

    The excellent radiation-resisting polyvinyl chloride developed at the opportunity of the research on the relationships between the protection of living body and the polymer-technological protection from radiation is reviewed. The report is divided into four main parts, namely 1) the change in the molecular arrangement of market-available, high molecular-weight materials by gamma-ray irradiation, 2) the protection of high molecular-weight materials from radiation, 3) the relationships between the biological radiation-protective substances and the change to radiation-resisting property of synthesized high molecular-weight substances, and 4) the development of the radiation-resisting high molecular-weight materials as metal-collecting agents. Attention is paid to the polyvinyl chloride having N-methyl-dithio-carbamate radical (PMD), synthesized by the author et. al., that has excellent radiation-resisting property. PMD has some possibility to form thiol- and amino-radicals necessary to protect living things from radiation. It is believed that the protection effects of N-methyl-dithio-carbamate radical are caused by the relatively stable S radical produced by the energy transfer. PMD film is suitable for the irradiation of foods, because it hardly changes the permeability of oxygen and carbon dioxide. PMD produces mercaptide or chelate. A new metal-collecting agent (PSDC) having reactivity with the metallic ions with radiation-resisting property was developed, which is derived from polyvinyl chloride and sodium N-methyl-N-carboxy-methyl-dithio-carbamate. (Iwakiri, K.)

  12. Proposal for fabrication-tolerant SOI polarization splitter-rotator based on cascaded MMI couplers and an assisted bi-level taper.

    Science.gov (United States)

    Wang, Jing; Qi, Minghao; Xuan, Yi; Huang, Haiyang; Li, You; Li, Ming; Chen, Xin; Jia, Qi; Sheng, Zhen; Wu, Aimin; Li, Wei; Wang, Xi; Zou, Shichang; Gan, Fuwan

    2014-11-17

    A novel silicon-on-insulator (SOI) polarization splitter-rotator (PSR) with a large fabrication tolerance is proposed based on cascaded multimode interference (MMI) couplers and an assisted mode-evolution taper. The tapers are designed to adiabatically convert the input TM(0) mode into the TE(1) mode, which will output as the TE(0) mode after processed by the subsequent MMI mode converter, 90-degree phase shifter (PS) and MMI 3 dB coupler. The numerical simulation results show that the proposed device has a silicon photonics technology.

  13. A linear 180 nm SOI CMOS antenna switch module using integrated passive device filters for cellular applications

    International Nuclear Information System (INIS)

    Cui Jie; Chen Lei; Liu Yi; Zhao Peng; Niu Xu

    2014-01-01

    A broadband monolithic linear single pole, eight throw (SP8T) switch has been fabricated in 180 nm thin film silicon-on-insulator (SOI) CMOS technology with a quad-band GSM harmonic filter in integrated passive devices (IPD) technology, which is developed for cellular applications. The antenna switch module (ASM) features 1.2 dB insertion loss with filter on 2G bands and 0.4 dB insertion loss in 3G bands, less than −45 dB isolation and maximum −103 dB intermodulation distortion for mobile front ends by applying distributed architecture and adaptive supply voltage generator. (semiconductor integrated circuits)

  14. Analysis and modeling of wafer-level process variability in 28 nm FD-SOI using split C-V measurements

    Science.gov (United States)

    Pradeep, Krishna; Poiroux, Thierry; Scheer, Patrick; Juge, André; Gouget, Gilles; Ghibaudo, Gérard

    2018-07-01

    This work details the analysis of wafer level global process variability in 28 nm FD-SOI using split C-V measurements. The proposed approach initially evaluates the native on wafer process variability using efficient extraction methods on split C-V measurements. The on-wafer threshold voltage (VT) variability is first studied and modeled using a simple analytical model. Then, a statistical model based on the Leti-UTSOI compact model is proposed to describe the total C-V variability in different bias conditions. This statistical model is finally used to study the contribution of each process parameter to the total C-V variability.

  15. Ultrahigh hardness and high electrical resistivity in nano-twinned, nanocrystalline high-entropy alloy films

    Science.gov (United States)

    Huo, Wenyi; Liu, Xiaodong; Tan, Shuyong; Fang, Feng; Xie, Zonghan; Shang, Jianku; Jiang, Jianqing

    2018-05-01

    Nano-twinned, nanocrystalline CoCrFeNi high-entropy alloy films were produced by magnetron sputtering. The films exhibit a high hardness of 8.5 GPa, the elastic modulus of 161.9 GPa and the resistivity as high as 135.1 μΩ·cm. The outstanding mechanical properties were found to result from the resistance of deformation created by nanocrystalline grains and nano-twins, while the electrical resistivity was attributed to the strong blockage effect induced by grain boundaries and lattice distortions. The results lay a solid foundation for the development of advanced films with structural and functional properties combined in micro-/nano-electronic devices.

  16. A graphene integrated highly transparent resistive switching memory device

    Science.gov (United States)

    Dugu, Sita; Pavunny, Shojan P.; Limbu, Tej B.; Weiner, Brad R.; Morell, Gerardo; Katiyar, Ram S.

    2018-05-01

    We demonstrate the hybrid fabrication process of a graphene integrated highly transparent resistive random-access memory (TRRAM) device. The indium tin oxide (ITO)/Al2O3/graphene nonvolatile memory device possesses a high transmittance of >82% in the visible region (370-700 nm) and exhibits stable and non-symmetrical bipolar switching characteristics with considerably low set and reset voltages (ITO/Al2O3/Pt device and studied its switching characteristics for comparison and a better understanding of the ITO/Al2O3/graphene device characteristics. The conduction mechanisms in high and low resistance states were analyzed, and the observed polarity dependent resistive switching is explained based on electro-migration of oxygen ions.

  17. High-Q silicon-on-insulator slot photonic crystal cavity infiltrated by a liquid

    International Nuclear Information System (INIS)

    Caër, Charles; Le Roux, Xavier; Cassan, Eric

    2013-01-01

    We report the experimental realization of a high-Q slot photonic crystal cavity in Silicon-On-Insulator (SOI) configuration infiltrated by a liquid. Loaded Q-factor of 23 000 is measured at telecom wavelength. The intrinsic quality factor inferred from the transmission spectrum is higher than 200 000, which represents a record value for slot photonic crystal cavities on SOI, whereas the maximum of intensity of the cavity is roughly equal to 20% of the light transmitted in the waveguide. This result makes filled slot photonic crystal cavities very promising for silicon-based light emission and ultrafast nonlinear optics

  18. Iron-niobium-aluminum alloy having high-temperature corrosion resistance

    Science.gov (United States)

    Hsu, Huey S.

    1988-04-14

    An alloy for use in high temperature sulfur and oxygen containing environments, having aluminum for oxygen resistance, niobium for sulfur resistance and the balance iron, is discussed. 4 figs., 2 tabs.

  19. Design and application of 8-channel SOI-based AWG demultiplexer for CWDM-system

    International Nuclear Information System (INIS)

    Juhari, Nurjuliana; Menon, P. Susthitha; Ehsan, Abang Annuar; Shaari, Sahbudin

    2015-01-01

    Arrayed Waveguide Grating (AWG) serving as a demultiplexer (demux) has been designed on SOI platform and was utilized in a Coarse Wavelength Division Multiplexing (CWDM) system ranging from 1471 nm to 1611 nm. The investigation was carried out at device and system levels. At device level, 20 nm (∼ 2500 GHz) channel spacing was successfully simulated using beam propagation method (BPM) under TE mode polarization with a unique double S-shape pattern at arrays region. The performance of optical properties gave the low values of 0.96 dB dB for insertion loss and – 22.38 dB for optical crosstalk. AWG device was then successfully used as demultiplexer in CWDM system when 10 Gb/s data rate was applied in the system. Limitation of signal power due to attenuation and fiber dispersion detected by BER analyzer =10 −9 of the system was compared with theoretical value. Hence, the maximum distance of optical fiber can be achieved

  20. Design and application of 8-channel SOI-based AWG demultiplexer for CWDM-system

    Energy Technology Data Exchange (ETDEWEB)

    Juhari, Nurjuliana; Menon, P. Susthitha; Ehsan, Abang Annuar; Shaari, Sahbudin [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia (UKM), 43600 UKM Bangi, Selangor (Malaysia)

    2015-04-24

    Arrayed Waveguide Grating (AWG) serving as a demultiplexer (demux) has been designed on SOI platform and was utilized in a Coarse Wavelength Division Multiplexing (CWDM) system ranging from 1471 nm to 1611 nm. The investigation was carried out at device and system levels. At device level, 20 nm (∼ 2500 GHz) channel spacing was successfully simulated using beam propagation method (BPM) under TE mode polarization with a unique double S-shape pattern at arrays region. The performance of optical properties gave the low values of 0.96 dB dB for insertion loss and – 22.38 dB for optical crosstalk. AWG device was then successfully used as demultiplexer in CWDM system when 10 Gb/s data rate was applied in the system. Limitation of signal power due to attenuation and fiber dispersion detected by BER analyzer =10{sup −9} of the system was compared with theoretical value. Hence, the maximum distance of optical fiber can be achieved.

  1. Overall Low Extended-Spectrum Cephalosporin Resistance but high Azithromycin Resistance in Neisseria gonorrhoeae in 24 European Countries, 2015.

    Science.gov (United States)

    Cole, Michelle J; Spiteri, Gianfranco; Jacobsson, Susanne; Woodford, Neil; Tripodo, Francesco; Amato-Gauci, Andrew J; Unemo, Magnus

    2017-09-11

    Surveillance of Neisseria gonorrhoeae antimicrobial susceptibility in Europe is performed through the European Gonococcal Antimicrobial Surveillance Programme (Euro-GASP), which additionally provides data to inform the European gonorrhoea treatment guideline; currently recommending ceftriaxone 500 mg plus azithromycin 2 g as first-line therapy. We present antimicrobial susceptibility data from 24 European countries in 2015, linked to epidemiological data of patients, and compare the results to Euro-GASP data from previous years. Antimicrobial susceptibility testing by MIC gradient strips or agar dilution methodology was performed on 2134 N. gonorrhoeae isolates and interpreted using EUCAST breakpoints. Patient variables associated with resistance were established using logistic regression to estimate odds ratios (ORs). In 2015, 1.7% of isolates were cefixime resistant compared to 2.0% in 2014. Ceftriaxone resistance was detected in only one (0.05%) isolate in 2015, compared with five (0.2%) in 2014. Azithromycin resistance was detected in 7.1% of isolates in 2015 (7.9% in 2014), and five (0.2%) isolates displayed high-level azithromycin resistance (MIC ≥ 256 mg/L) compared with one (0.05%) in 2014. Ciprofloxacin resistance remained high (49.4%, vs. 50.7% in 2014). Cefixime resistance significantly increased among heterosexual males (4.1% vs. 1.7% in 2014), which was mainly attributable to data from two countries with high cefixime resistance (~11%), however rates among men-who-have-sex-with-men (MSM) and females continued to decline to 0.5% and 1%, respectively. Azithromycin resistance in MSM and heterosexual males was higher (both 8.1%) than in females (4.9% vs. 2.2% in 2014). The association between azithromycin resistance and previous gonorrhoea infection, observed in 2014, continued in 2015 (OR 2.1, CI 1.2-3.5, p resistance and low overall resistance to ceftriaxone and cefixime. The low cephalosporin resistance may be attributable to the effectiveness

  2. Design and fabrication of two kind of SOI-based EA-type VOAs

    Science.gov (United States)

    Yuan, Pei; Wang, Yue; Wu, Yuanda; An, Junming; Hu, Xiongwei

    2018-06-01

    SOI-based variable optical attenuators based on electro-absorption mechanism are demonstrated in this paper. Two different doping structures are adopted to realize the attenuation: a structure with a single lateral p-i-n diode and a structure with several lateral p-i-n diodes connected in series. The VOAs with lateral p-i-n diodes connected in series (series VOA) can greatly improve the device attenuation efficiency compared to VOAs with a single lateral p-i-n diode structure (single VOA), which is verified by the experimental results that the attenuation efficiency of the series VOA and the single VOA is 3.76 dB/mA and 0.189 dB/mA respectively. The corresponding power consumption at 20 dB attenuation is 202 mW (series VOA) and 424 mW (single VOA) respectively. The raise time is 34.5 ns (single VOA) and 45.5 ns (series VOA), and the fall time is 37 ns (single VOA) and 48.5 ns (series VOA).

  3. Current voltage characteristics of composite superconductors with high contact resistance

    International Nuclear Information System (INIS)

    Akhmetov, A.A.; Baev, V.P.

    1984-01-01

    An experimental study has been made of current-voltage characteristics of composite superconductors with contact resistance between superconducting filaments and normal metal with high electrical conductivity. It is shown that stable resistive states exist in such conductors over a wide range of currents. The presence of resistive states is interpreted in terms of the resistive domain concept. The minimum and maximum currents of resistive states are found to be dependent on the electrical resistance of normal metal and magnetic field. (author)

  4. Does High-Dose Antimicrobial Chemotherapy Prevent the Evolution of Resistance?

    Science.gov (United States)

    Day, Troy; Read, Andrew F.

    2016-01-01

    High-dose chemotherapy has long been advocated as a means of controlling drug resistance in infectious diseases but recent empirical studies have begun to challenge this view. We develop a very general framework for modeling and understanding resistance emergence based on principles from evolutionary biology. We use this framework to show how high-dose chemotherapy engenders opposing evolutionary processes involving the mutational input of resistant strains and their release from ecological competition. Whether such therapy provides the best approach for controlling resistance therefore depends on the relative strengths of these processes. These opposing processes typically lead to a unimodal relationship between drug pressure and resistance emergence. As a result, the optimal drug dose lies at either end of the therapeutic window of clinically acceptable concentrations. We illustrate our findings with a simple model that shows how a seemingly minor change in parameter values can alter the outcome from one where high-dose chemotherapy is optimal to one where using the smallest clinically effective dose is best. A review of the available empirical evidence provides broad support for these general conclusions. Our analysis opens up treatment options not currently considered as resistance management strategies, and it also simplifies the experiments required to determine the drug doses which best retard resistance emergence in patients. PMID:26820986

  5. Stability of High Temperature Standard Platinum Resistance Thermometers at High Temperatures

    Directory of Open Access Journals (Sweden)

    Y. A. ABDELAZIZ

    2010-05-01

    Full Text Available An investigation of the stability of high temperature standard platinum resistance thermometers HTSPRTs has been carried out for two different designs thermometers (with nominal resistance 0.25 Ω and 2.5 Ω from two different suppliers. The thermometers were heated for more than 160 hours at temperatures above 960 0C using a vertical furnace with a ceramic block. A study was made of the influence of the heat treatment on the stability of the resistance at the triple point of water, and on the relative resistance W(Ga at the melting point of gallium. The thermometers showed a correlation between the drift note and the values of W(Ga. It was found also that the HTSPRT which has a sensor with strip shaped support and low nominal resistance is more stable than the HTSPRT which has a sensor in the form of a coil wound on silica cross. The 0.25 Ω thermometer has better stability @ 7x10-6 0C (at TPW after 40 hour. Factors affecting the stability and accuracy of HTSPRT also will be discussed.

  6. High prevalence of multi-drug resistant Klebsiella pneumoniae in a ...

    African Journals Online (AJOL)

    The lowest resistance rates were documented for Carbapenems (23.2%). For specific antibiotics, there was high resistance to commonly used antibiotics (over 80% for Ceftriaxone, Cefipime, Gentamycin and Ceftazidime). The antibiotics with least resistance were Amikacin and Meropenem (21% and 7 % respectively).

  7. High resistance ratio of bipolar resistive switching in a multiferroic/high-K Bi(Fe0.95Cr0.05)O3/ZrO2/Pt heterostructure

    Science.gov (United States)

    Dong, B. W.; Miao, Jun; Han, J. Z.; Shao, F.; Yuan, J.; Meng, K. K.; Wu, Y.; Xu, X. G.; Jiang, Y.

    2018-03-01

    An novel heterostructure composed of multiferroic Bi(Fe0.95Cr0.05)O3 (BFCO) and high-K ZrO2 (ZO) layers is investigated. Ferroelectric and electrical properties of the BFZO/ZO heterostructure have been investigated. A pronounced bipolar ferroelectric resistive switching characteristic was achieved in the heterostructure at room temperature. Interestingly, the BFCO/ZO structures exhibit a reproducible resistive switching with a high On/Off resistance ratio ∼2×103 and long retention time. The relationship between polarization and band structure at the interface of BFCO/ZO bilayer under the positive and negative sweepings has been discussed. As a result, the BFCO/ZO multiferroic/high-K heterostructure with high On/Off resistance ratio and long retention characterizes, exhibits a potential in future nonvolatile memory application.

  8. A 60 GOPS/W, -1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology

    Science.gov (United States)

    Rossi, Davide; Pullini, Antonio; Loi, Igor; Gautschi, Michael; Gürkaynak, Frank K.; Bartolini, Andrea; Flatresse, Philippe; Benini, Luca

    2016-03-01

    Ultra-low power operation and extreme energy efficiency are strong requirements for a number of high-growth application areas, such as E-health, Internet of Things, and wearable Human-Computer Interfaces. A promising approach to achieve up to one order of magnitude of improvement in energy efficiency over current generation of integrated circuits is near-threshold computing. However, frequency degradation due to aggressive voltage scaling may not be acceptable across all performance-constrained applications. Thread-level parallelism over multiple cores can be used to overcome the performance degradation at low voltage. Moreover, enabling the processors to operate on-demand and over a wide supply voltage and body bias ranges allows to achieve the best possible energy efficiency while satisfying a large spectrum of computational demands. In this work we present the first ever implementation of a 4-core cluster fabricated using conventional-well 28 nm UTBB FD-SOI technology. The multi-core architecture we present in this work is able to operate on a wide range of supply voltages starting from 0.44 V to 1.2 V. In addition, the architecture allows a wide range of body bias to be applied from -1.8 V to 0.9 V. The peak energy efficiency 60 GOPS/W is achieved at 0.5 V supply voltage and 0.5 V forward body bias. Thanks to the extended body bias range of conventional-well FD-SOI technology, high energy efficiency can be guaranteed for a wide range of process and environmental conditions. We demonstrate the ability to compensate for up to 99.7% of chips for process variation with only ±0.2 V of body biasing, and compensate temperature variation in the range -40 °C to 120 °C exploiting -1.1 V to 0.8 V body biasing. When compared to leading-edge near-threshold RISC processors optimized for extremely low power applications, the multi-core architecture we propose has 144× more performance at comparable energy efficiency levels. Even when compared to other low-power processors

  9. Device fabrication and transport measurements of FinFETs built with 28Si SOI wafers towards donor qubits in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Lo, Cheuk Chi; Persaud, Arun; Dhuey, Scott; Olynick, Deirdre; Borondics, Ferenc; Martin, Michael C.; Bechtel, Hans A.; Bokor, Jeffrey; Schenkel, Thomas

    2009-06-10

    We report fabrication of transistors in a FinFET geometry using isotopically purified silicon-28 -on-insulator (28-SOI) substrates. Donor electron spin coherence in natural silicon is limited by spectral diffusion due to the residual 29Si nuclear spin bath, making isotopically enriched nuclear spin-free 28Si substrates a promising candidate for forming spin quantum bit devices. The FinFET architecture is fully compatible with single-ion implant detection for donor-based qubits, and the donor spin-state readout through electrical detection of spin resonance. We describe device processing steps and discuss results on electrical transport measurements at 0.3 K.

  10. Development of Creep-Resistant and Oxidation-Resistant Austenitic Stainless Steels for High Temperature Applications

    Science.gov (United States)

    Maziasz, Philip J.

    2018-01-01

    Austenitic stainless steels are cost-effective materials for high-temperature applications if they have the oxidation and creep resistance to withstand prolonged exposure at such conditions. Since 1990, Oak Ridge National Laboratory (ORNL) has developed advanced austenitic stainless steels with creep resistance comparable to Ni-based superalloy 617 at 800-900°C based on specially designed "engineered microstructures" utilizing a microstructure/composition database derived from about 20 years of radiation effect data on steels. The wrought high temperature-ultrafine precipitate strengthened (HT-UPS) steels with outstanding creep resistance at 700-800°C were developed for supercritical boiler and superheater tubing for fossil power plants in the early 1990s, the cast CF8C-Plus steels were developed in 1999-2001 for land-based gas turbine casing and diesel engine exhaust manifold and turbocharger applications at 700-900°C, and, in 2015-2017, new Al-modified cast stainless steels with oxidation and creep resistance capabilities up to 950-1000°C were developed for automotive exhaust manifold and turbocharger applications. This article reviews and summarizes their development and their properties and applications.

  11. Oat beta-glucan ameliorates insulin resistance in mice fed on high-fat and high-fructose diet

    Directory of Open Access Journals (Sweden)

    Jie Zheng

    2013-12-01

    Full Text Available Methods: This study sought to evaluate the impact of oat beta-glucan on insulin resistance in mice fed on high-fat and high-fructose diet with fructose (10%, w/v added in drinking water for 10 weeks. Results: The results showed that supplementation with oat beta-glucan could significantly reduce the insulin resistance both in low-dose (200 mg/kg−1 body weight and high-dose (500 mg/kg−1 body weight groups, but the high-dose group showed a more significant improvement in insulin resistance (P<0.01 compared with model control (MC group along with significant improvement in hepatic glycogen level, oral glucose, and insulin tolerance. Moreover, hepatic glucokinase activity was markedly enhanced both in low-dose and high-dose groups compared with that of MC group (P<0.05. Conclusion: These results suggested that supplementation of oat beta-glucan alleviated insulin resistance and the effect was dose dependent.

  12. Analysis of the rectangular resonator with butterfly MMI coupler using SOI

    Science.gov (United States)

    Kim, Sun-Ho; Park, Jun-Hee; Kim, Eudum; Jeon, Su-Jin; Kim, Ji-Hoon; Choi, Young-Wan

    2018-02-01

    We propose a rectangular resonator sensor structure with butterfly MMI coupler using SOI. It consists of the rectangular resonator, total internal reflection (TIR) mirror, and the butterfly MMI coupler. The rectangular resonator is expected to be used as bio and chemical sensors because of the advantages of using MMI coupler and the absence of bending loss unlike ring resonators. The butterfly MMI coupler can miniaturize the device compared to conventional MMI by using a linear butterfly shape instead of a square in the MMI part. The width, height, and slab height of the rib type waveguide are designed to be 1.5 μm, 1.5 μm, and 0.9 μm, respectively. This structure is designed as a single mode. When designing a TIR mirror, we considered the Goos-Hänchen shift and critical angle. We designed 3:1 MMI coupler because rectangular resonator has no bending loss. The width of MMI is designed to be 4.5 μm and we optimize the length of the butterfly MMI coupler using finite-difference time-domain (FDTD) method for higher Q-factor. It has the equal performance with conventional MMI even though the length is reduced by 1/3. As a result of the simulation, Qfactor of rectangular resonator can be obtained as 7381.

  13. A Novel Fully Depleted Air AlN Silicon-on-Insulator Metal-Oxide-Semiconductor Field Effect Transistor

    International Nuclear Information System (INIS)

    Yuan, Yang; Yong, Gao; Peng-Liang, Gong

    2008-01-01

    A novel fully depleted air AlN silicon-on-insulator (SOI) metal-oxide-semiconductor field effect transistor (MOS-FET) is presented, which can eliminate the self-heating effect and solve the problem that the off-state current of SOI MOSFETs increases and the threshold voltage characteristics become worse when employing a high thermal conductivity material as a buried layer. The simulation results reveal that the lattice temperature in normal SOI devices is 75 K higher than the atmosphere temperature, while the lattice temperature is just 4K higher than the atmosphere temperature resulting in less severe self-heating effect in air AlN SOI MOSFETs and AlN SOI MOSFETs. The on-state current of air AlN SOI MOSFETs is similar to the AlN SOI structure, and improves 12.3% more than that of normal SOI MOSFETs. The off-state current of AlN SOI is 6.7 times of normal SOI MOSFETs, while the counterpart of air AlN SOI MOSFETs is lower than that of SOI MOSFETs by two orders of magnitude. The threshold voltage change of air AlN SOI MOSFETs with different drain voltage is much less than that of AlN SOI devices, when the drain voltage is biased at 0.8 V, this difference is 28mV, so the threshold voltage change induced by employing high thermal conductivity material is cured. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  14. Effect of physiological age on radiation resistance of some bacteria that are highly radiation resistant

    International Nuclear Information System (INIS)

    Keller, L.C.; Maxcy, R.B.

    1984-01-01

    Physiological age-dependent variation in radiation resistance was studied for three bacteria that are highly radiation resistant: Micrococcus radiodurans, Micrococcus sp. isolate C-3, and Moraxella sp. isolate 4. Stationary-phase cultures of M. radiodurans and isolate C-3 were much more resistant to gamma radiation than were log-phase cultures. This pattern of relative resistance was reversed for isolate 4. Resistance of isolate 4 to UV light was also greater during log phase, although heat resistance and NaCl tolerance after heat stresses were greater during stationary phase. Radiation-induced injury of isolate 4 compared with injury of Escherichia coli B suggested that the injury process, as well as the lethal process, was affected by growth phase. The hypothesis that growth rate affects radiation resistance was tested, and results were interpreted in light of the probable confounding effect of methods used to alter growth rates of bacteria. These results indicate that dose-response experiments should be designed to measure survival during the most resistant growth phase of the organism under study. The timing is particularly important when extrapolations of survival results might be made to potential irradiation processes for foods. 17 references

  15. Cementitious artificial aggregate particles for high-skid resistance pavements

    OpenAIRE

    DE LARRARD, François; MARTINEZ CASTILLO, Rafael; SEDRAN, Thierry; HAUZA, Philippe; POIRIER, Jean Eric

    2012-01-01

    For some critical road sections, a high skid resistance of wearing course is required to minimise the risk of traffic accidents. Nowadays this skid resistance is mainly brought by the use of special aggregates as calcined bauxite, a scarce and expensive material. The paper presents a patented technology, where a special high-performance mortar is produced and crushed at early age. These cementitious artificial aggregates (CAA) can display aggregate properties close to those of calcined bauxit...

  16. Shape memory alloy resistance behaviour at high altitude for feedback control

    Science.gov (United States)

    Ng, W. T.; Sedan, M. F.; Abdullah, E. J.; Azrad, S.; Harithuddin, A. S. M.

    2017-12-01

    Many recent aerospace technologies are using smart actuators to reduce the system's complexity and increase its reliability. One such actuator is shape memory alloy (SMA) actuator, which is lightweight, produces high force and large deflection. However, some disadvantages in using SMA actuators have been identified and they include nonlinear response of the strain to input current, hysteresis characteristic that results in inaccurate control and less than optimum system performance, high operating temperatures, slow response and also high requirement of electrical power to obtain the desired actuation forces. It is still unknown if the SMA actuators can perform effectively at high altitude with low surrounding temperature. The work presented here covers the preliminary process of verifying the feasibility of using resistance as feedback control at high altitude for aerospace applications. Temperature and resistance of SMA actuator at high altitude is investigated by conducting an experiment onboard a high altitude balloon. The results from the high altitude experiment indicate that the resistance or voltage drop of the SMA wire is not significantly affected by the low surrounding temperature at high altitude as compared to the temperature of SMA. Resistance feedback control for SMA actuators may be suitable for aerospace applications.

  17. Line-edge roughness induced single event transient variation in SOI FinFETs

    International Nuclear Information System (INIS)

    Wu Weikang; An Xia; Jiang Xiaobo; Chen Yehua; Liu Jingjing; Zhang Xing; Huang Ru

    2015-01-01

    The impact of process induced variation on the response of SOI FinFET to heavy ion irradiation is studied through 3-D TCAD simulation for the first time. When FinFET biased at OFF state configuration (V gs = 0, V ds = V dd ) is struck by a heavy ion, the drain collects ionizing charges under the electric field and a current pulse (single event transient, SET) is consequently formed. The results reveal that with the presence of line-edge roughness (LER), which is one of the major variation sources in nano-scale FinFETs, the device-to-device variation in terms of SET is observed. In this study, three types of LER are considered: type A has symmetric fin edges, type B has irrelevant fin edges and type C has parallel fin edges. The results show that type A devices have the largest SET variation while type C devices have the smallest variation. Further, the impact of the two main LER parameters, correlation length and root mean square amplitude, on SET variation is discussed as well. The results indicate that variation may be a concern in radiation effects with the down scaling of feature size. (paper)

  18. High temperature creep strength of Advanced Radiation Resistant Oxide Dispersion Strengthened Steels

    Energy Technology Data Exchange (ETDEWEB)

    Noh, Sanghoon; Kim, Tae Kyu [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2014-05-15

    Austenitic stainless steel may be one of the candidates because of good strength and corrosion resistance at the high temperatures, however irradiation swelling well occurred to 120dpa at high temperatures and this leads the decrease of the mechanical properties and dimensional stability. Compared to this, ferritic/martensitic steel is a good solution because of excellent thermal conductivity and good swelling resistance. Unfortunately, the available temperature range of ferritic/martensitic steel is limited up to 650 .deg. C. ODS steel is the most promising structural material because of excellent creep and irradiation resistance by uniformly distributed nano-oxide particles with a high density which is extremely stable at the high temperature in ferritic/martensitic matrix. In this study, high temperature strength of advanced radiation resistance ODS steel was investigated for the core structural material of next generation nuclear systems. ODS martensitic steel was designed to have high homogeneity, productivity and reproducibility. Mechanical alloying, hot isostactic pressing and hot rolling processes were employed to fabricate the ODS steels, and creep rupture test as well as tensile test were examined to investigate the behavior at high temperatures. ODS steels were fabricated by a mechanical alloying and hot consolidation processes. Mechanical properties at high temperatures were investigated. The creep resistance of advanced radiation resistant ODS steels was more superior than those of ferritic/ martensitic steel, austenitic stainless steel and even a conventional ODS steel.

  19. CMOS pixel sensors on high resistive substrate for high-rate, high-radiation environments

    Energy Technology Data Exchange (ETDEWEB)

    Hirono, Toko, E-mail: thirono@uni-bonn.de [Physikalisches Institute der Universität Bonn, Bonn (Germany); Barbero, Marlon; Breugnon, Patrick; Godiot, Stephanie [CPPM, Aix-Marseille Universite, CNRS/IN2P3, Marseille (France); Gonella, Laura; Hemperek, Tomasz; Hügging, Fabian; Krüger, Hans [Physikalisches Institute der Universität Bonn, Bonn (Germany); Liu, Jian; Pangaud, Patrick [CPPM, Aix-Marseille Universite, CNRS/IN2P3, Marseille (France); Peric, Ivan [IPE, Karlsruher Institut für Technologie, Karlsruhe (Germany); Pohl, David-Leon [Physikalisches Institute der Universität Bonn, Bonn (Germany); Rozanov, Alexandre [CPPM, Aix-Marseille Universite, CNRS/IN2P3, Marseille (France); Rymaszewski, Piotr [Physikalisches Institute der Universität Bonn, Bonn (Germany); Wang, Anqing [CPPM, Aix-Marseille Universite, CNRS/IN2P3, Marseille (France); Wermes, Norbert [Physikalisches Institute der Universität Bonn, Bonn (Germany)

    2016-09-21

    A depleted CMOS active pixel sensor (DMAPS) has been developed on a substrate with high resistivity in a high voltage process. High radiation tolerance and high time resolution can be expected because of the charge collection by drift. A prototype of DMAPS was fabricated in a 150 nm process by LFoundry. Two variants of the pixel layout were tested, and the measured depletion depths of the variants are 166 μm and 80 μm. We report the results obtained with the prototype fabricated in this technology.

  20. Design, fabrication and characterisation of advanced substrate crosstalk suppression structures in silicon on insulator substrates with buried ground planes (GPSOI)

    International Nuclear Information System (INIS)

    Stefanou, Stefanos

    2002-07-01

    Substrate crosstalk or coupling has been acknowledged to be a limiting factor in mixed signal RF integration. Although high levels of integration and high frequencies of operation are desirable for mixed mode RF and microwave circuits, they make substrate crosstalk more pronounced and may lead to circuit performance degradation. High signal isolation is dictated by requirements for low power dissipation, reduced number of components and lower integration costs for feasible system-on-chip (SoC) solutions. Substrate crosstalk suppression in ground plane silicon-on-insulator (GPSOI) substrates is investigated in this thesis. Test structures are designed and fabricated on SOI substrates with a buried WSi 2 plane that is connected to ground; hence it is called a ground plane. A Faraday cage structure that exhibits very high degrees of signal isolation is presented and compared to other SOI isolation schemes. The Faraday cage structure is shown to achieve 20 dB increased isolation in the frequency range of 0.5-50 GHz compared to published data for high resistivity (200 Ωcm) thin film SOI substrates with no ground planes, but where capacitive guard rings were used. The measurement results are analysed with the aid of planar electromagnetic simulators and compact lumped element models of all the fabricated test structures are developed. The accuracy of the lumped models is validated against experimental measurements. (author)

  1. The Impact of a 24 Month Housing First Intervention on Participants' Body Mass Index and Waist Circumference: Results from the At Home / Chez Soi Toronto Site Randomized Controlled Trial.

    Science.gov (United States)

    Woodhall-Melnik, Julia; Misir, Vachan; Kaufman-Shriqui, Vered; O'Campo, Patricia; Stergiopoulos, Vicky; Hwang, Stephen

    2015-01-01

    Research suggests that individuals experiencing homelessness have high rates of overweight and obesity. Unhealthy weights and homelessness are both associated with increased risk of poor health and mortality. Using longitudinal data from 575 participants at the Toronto site of the At Home/Chez Soi randomized controlled trial, we investigate the impact of receiving a Housing First intervention on the Body Mass Index (BMI) and waist circumference of participants with moderate and high needs for mental health support services. The ANCOVA results indicate that the intervention resulted in no significant change in BMI or waist circumference from baseline to 24 months. The findings suggest a need for a better understanding of factors contributing to overweight, obesity, and high waist circumference in populations who have histories of housing precarity and experience low-income in tandem with other concerns such as mental illness and addictions. International Standard Randomized Control Trial Number Register ISRCTN42520374.

  2. Borides - a new generation of highly resistant materials?

    International Nuclear Information System (INIS)

    Telle, R.

    1988-01-01

    High-duty ceramics are on advance in all sectors where materials with extremely good resistance to high temperatures and wear are required. The group of oxides, nitrides and carbides in use for quite a time now recently has been increased by the metal borides which offer among others economic advantages in certain applications. The drawbacks of these materials still to be reduced are their brittleness and susceptibility to oxidation and corrosion. Current research work on the thermodynamics of such systems, on the interaction between structure and properties, and on means to improve strength and resistance to wear are expected to soon open up new applications. (orig.) [de

  3. Single halo SDODEL n-MOSFET: an alternative low-cost pseudo-SOI with better analog performance

    Science.gov (United States)

    Sarkar, Partha; Mallik, Abhijit; Sarkar, Chandan Kumar

    2009-03-01

    In this paper, with the help of extensive TCAD simulations, we investigate the analog performance of source/drain on depletion layer (SDODEL) MOSFETs with a single-halo (SH) implant near the source side of the channel. We use the SH implant in such a structure for the first time. The analog performance parameters in SH SDODEL MOSFETs are compared to those in SH MOSFETs as well as in SH SOI MOSFETs. In addition to reduced junction capacitance for the SH SDODEL structure as compared to that in bulk SH devices, it has been shown that such devices lead to improved performance and lower power dissipation for sub-100 nm CMOS technologies. Our results show that, in SH SDODEL MOSFETs, there is significant improvement in the intrinsic device performance for analog applications (such as device gain, gm/ID, etc) for the sub-100 nm technologies.

  4. Single halo SDODEL n-MOSFET: an alternative low-cost pseudo-SOI with better analog performance

    International Nuclear Information System (INIS)

    Sarkar, Partha; Mallik, Abhijit; Sarkar, Chandan Kumar

    2009-01-01

    In this paper, with the help of extensive TCAD simulations, we investigate the analog performance of source/drain on depletion layer (SDODEL) MOSFETs with a single-halo (SH) implant near the source side of the channel. We use the SH implant in such a structure for the first time. The analog performance parameters in SH SDODEL MOSFETs are compared to those in SH MOSFETs as well as in SH SOI MOSFETs. In addition to reduced junction capacitance for the SH SDODEL structure as compared to that in bulk SH devices, it has been shown that such devices lead to improved performance and lower power dissipation for sub-100 nm CMOS technologies. Our results show that, in SH SDODEL MOSFETs, there is significant improvement in the intrinsic device performance for analog applications (such as device gain, g m /I D , etc) for the sub-100 nm technologies

  5. Resistance Exercise Attenuates High-Fructose, High-Fat-Induced Postprandial Lipemia

    OpenAIRE

    Jessie R. Wilburn; Jeffrey Bourquin; Andrea Wysong; Christopher L. Melby

    2015-01-01

    Introduction Meals rich in both fructose and fat are commonly consumed by many Americans, especially young men, which can produce a significant postprandial lipemic response. Increasing evidence suggests that aerobic exercise can attenuate the postprandial increase in plasma triacylglycerols (TAGs) in response to a high-fat or a high-fructose meal. However, it is unknown if resistance exercise can dampen the postprandial lipemic response to a meal rich in both fructose and fat. Methods Eight ...

  6. Styrene Oxide Isomerase of Rhodococcus opacus 1CP, a Highly Stable and Considerably Active Enzyme

    Science.gov (United States)

    Gröning, Janosch A. D.; Tischler, Dirk; Kaschabek, Stefan R.; Schlömann, Michael

    2012-01-01

    Styrene oxide isomerase (SOI) is involved in peripheral styrene catabolism of bacteria and converts styrene oxide to phenylacetaldehyde. Here, we report on the identification, enrichment, and biochemical characterization of a novel representative from the actinobacterium Rhodococcus opacus 1CP. The enzyme, which is strongly induced during growth on styrene, was shown to be membrane integrated, and a convenient procedure was developed to highly enrich the protein in active form from the wild-type host. A specific activity of about 370 U mg−1 represents the highest activity reported for this enzyme class so far. This, in combination with a wide pH and temperature tolerance, the independence from cofactors, and the ability to convert a spectrum of substituted styrene oxides, makes a biocatalytic application imaginable. First, semipreparative conversions were performed from which up to 760 μmol of the pure phenylacetaldehyde could be obtained from 130 U of enriched SOI. Product concentrations of up to 76 mM were achieved. However, due to the high chemical reactivity of the aldehyde function, SOI was shown to be the subject of an irreversible product inhibition. A half-life of 15 min was determined at a phenylacetaldehyde concentration of about 55 mM, indicating substantial limitations of applicability and the need to modify the process. PMID:22504818

  7. Deep Reactive Ion Etching for High Aspect Ratio Microelectromechanical Components

    DEFF Research Database (Denmark)

    Jensen, Søren; Yalcinkaya, Arda Deniz; Jacobsen, S.

    2004-01-01

    A deep reactive ion etch (DRIE) process for fabrication of high aspect ratio trenches has been developed. Trenches with aspect ratios exceeding 20 and vertical sidewalls with low roughness have been demonstrated. The process has successfully been used in the fabrication of silicon-on-insulator (SOI...

  8. Study of current instabilities in high resistivity gallium arsenide

    International Nuclear Information System (INIS)

    Barraud, A.

    1968-01-01

    We have shown the existence and made a study of the current oscillations produced in high-resistivity gallium arsenide by a strong electric field. The oscillations are associated with the slow travelling of a region of high electrical field across the whole sample. An experimental study of the properties of these instabilities has made it possible for us to distinguish this phenomenon from the Gunn effect, from acoustic-electric effects and from contact effects. In order to account for this type of instability, a differential trapping mechanism involving repulsive impurities is proposed; this mechanism can reduce the concentration of charge carriers in the conduction band at strong electrical fields and can lead to the production of a high-field domain. By developing this model qualitatively we have been able to account for all the properties of high-resistance gallium arsenide crystals subjected to a strong electrical field: increase of the Hall constant, existence of a voltage threshold for these oscillations, production of domains of high field, low rate of propagation of these domains, and finally the possibility of inverting the direction of the propagation of the domain without destroying the latter. A quantitative development of the model makes it possible to calculate the various characteristic parameters of these instabilities. Comparison with experiment shows that there is a good agreement, the small deviations coming especially from the lack of knowledge concerning transport properties in gallium arsenide subjected to high fields. From a study of this model, it appears that the instability phenomenon can occur over a wide range of repulsive centre concentrations, and also for a large range of resistivities. This is the reason why it appears systematically in gallium arsenide of medium and high resistivity. (authors) [fr

  9. Electrical resistivity of UBe13 in high magnetic fields

    International Nuclear Information System (INIS)

    Schmiedeshoff, G.M.; Lacerda, A.; Fisk, Z.; Smith, J.L.

    1996-01-01

    We have measured the temperature dependent electrical resistivity of single and polycrystal samples of UBe 13 in high magnetic fields. Two maxima in the resistivity are observed at T M1 and T M2 . T M1 , the temperature of the colder maximum, increases quadratically with magnetic field H, a field dependence previously observed under hydrostatic pressure. The high temperature maximum at T M2 emerges in fields above about 4 T and increases linearly with H, a behavior which may be due to a sharpening of the crystal field levels associated with a depression of the Kondo effect by high magnetic fields. copyright 1996 The American Physical Society

  10. Development of a high strength, hydrogen-resistant austenitic alloy

    International Nuclear Information System (INIS)

    Chang, K.M.; Klahn, D.H.; Morris, J.W. Jr.

    1980-08-01

    Research toward high-strength, high toughness nonmagnetic steels for use in the retaining rings of large electrical generators led to the development of a Ta-modified iron-based superalloy (Fe-36 Ni-3 Ti-3 Ta-0.5 Al-1.3 Mo-0.3 V-0.01 B) which combines high strength with good toughness after suitable aging. The alloy did, however, show some degradation in fatigue resistance in gaseous hydrogen. This sensitivity was associated with a deformation-induced martensitic transformation near the fracture surface. The addition of a small amount of chromium to the alloy suppressed the martensite transformation and led to a marked improvement in hydrogen resistance

  11. Dielectric isolation for power integrated circuits; Isolation dielectrique enterree pour les circuits integres de puissance

    Energy Technology Data Exchange (ETDEWEB)

    Zerrouk, D.

    1997-07-18

    Considerable efforts have been recently directed towards integrating onto the same chip, sense or protection elements that is low voltage analog and/or digital control circuitry together with high voltage/high current devices. Most of these so called `smart power` devices use either self isolation, junction isolation or Silicon-On-Insulator (SOI) to integrate low voltage elements with vertical power devices. Dielectric isolation is superior to the other isolation techniques such as self isolation or junction isolation. Thesis work consists of the study of the feasibility of a dielectric technology based on the melting and the solidification in a Rapid Thermal Processing furnace (RTP), of thick polysilicon films deposited on oxide. The purpose of this technique is to obtain substrate with localized SOI structures for smart power applications. SOI technology offers significant potential advantages, such as non-occurrence of latch-up in CMOS structures, high packaging density, low parasitic capacitance and the possibility of 3D structures. In addition, SOI technology using thick silicon films (10-100 {mu}m) offers special advantages for high voltage integrated circuits. Several techniques have been developed to form SOI films. Zone melting recrystallization is one of the most promising for localized SOI. The SOI structures have first been analyzed in term of extended defects. N-channel MOSFET`s transistors have also been fabricated in the SOI substrates and electrically characterized (threshold voltages, off-state leakage current, mobilities,...). The SOI transistors exhibit good characteristics, although inferior to witness transistors. The recrystallized silicon films are therefore found to be suitable for the fabrication of SOI devices. (author) 106 refs.

  12. Polystyrene negative resist for high-resolution electron beam lithography

    Directory of Open Access Journals (Sweden)

    Ma Siqi

    2011-01-01

    Full Text Available Abstract We studied the exposure behavior of low molecular weight polystyrene as a negative tone electron beam lithography (EBL resist, with the goal of finding the ultimate achievable resolution. It demonstrated fairly well-defined patterning of a 20-nm period line array and a 15-nm period dot array, which are the densest patterns ever achieved using organic EBL resists. Such dense patterns can be achieved both at 20 and 5 keV beam energies using different developers. In addition to its ultra-high resolution capability, polystyrene is a simple and low-cost resist with easy process control and practically unlimited shelf life. It is also considerably more resistant to dry etching than PMMA. With a low sensitivity, it would find applications where negative resist is desired and throughput is not a major concern.

  13. Development of high electrical resistance persistent current switch for high speed energization system

    International Nuclear Information System (INIS)

    Jizo, Y.; Furuta, Y.; Nakashima, H.

    1986-01-01

    Japanese National Railways is now developing a superconducting magnetically-levitated train system. A persistent current switch is incorporated in the super-conducting magnet used in the magnetically-levitated train. In recent years, the switch has been required to have higher electrical resistance during its off-state in order to realize the high speed energization/de-energization system of the superconducting magnets. The system aims to decrease evaporation volume of liquid helium during the energization/de-energization of the magnet, by means of energizing the superconducting magnet with high current increasing/decreasing rate. Consequently, it would be possible to decrease the dependence of the on-board magnet system upon the ground cooling system. Through the development of a stable superconductive wire material and a coil structure for the persistent current switch using many small model switches which were produced in order to improve their current carrying capacities, the authors have succeeded in manufacturing the high electrical resistance persistent current switch whose electrical resistance was 5 ohms. The switch, of cylindrical shape, has a diameter of about 100mm, a length of about 100mm. These 5 ohm PCSs are now functioning in stable conditions being incorporated in the superconducting magnets of No.2 vehicle of MLU001 at the JNR's Miyazaki test track. Further, the authors are now developing the PCS of still higher resistance values, such as 50 ohms, through studies for stabilization in structural aspects of the winding and obtaining results therefrom

  14. Low Voltage, High-Q SOI MEMS Varactors for RF Applications

    DEFF Research Database (Denmark)

    Yalcinkaya, Arda Deniz; Jensen, Søren; Hansen, Ole

    2003-01-01

    A micro electromechanical tunable capacitor with a low control voltage, a wide tuning range and high electrical quality factor is presented with detailed characterizations. A 50μm thick single-crystalline silicon layer was etched using deep reactive ion etching (DRIE) for obtaining high-aspect ra...... is a suitable passive component to be used in band-pass filtering, voltage controlled oscillator or impedance matching applications on the very high frequency(VHF) and ultra high frequency (UHF) bands....

  15. On substrate dopant engineering for ET-SOI MOSFETs with UT-BOX

    International Nuclear Information System (INIS)

    Wu Hao; Xu Miao; Wan Guangxing; Zhu Huilong; Zhao Lichuan; Tong Xiaodong; Zhao Chao; Chen Dapeng; Ye Tianchun

    2014-01-01

    The importance of substrate doping engineering for extremely thin SOI MOSFETs with ultra-thin buried oxide (ES-UB-MOSFETs) is demonstrated by simulation. A new substrate/backgate doping engineering, lateral non-uniform dopant distributions (LNDD) is investigated in ES-UB-MOSFETs. The effects of LNDD on device performance, V t -roll-off, channel mobility and random dopant fluctuation (RDF) are studied and optimized. Fixing the long channel threshold voltage (V t ) at 0.3 V, ES-UB-MOSFETs with lateral uniform doping in the substrate and forward back bias can scale only to 35 nm, meanwhile LNDD enables ES-UB-MOSFETs to scale to a 20 nm gate length, which is 43% smaller. The LNDD degradation is 10% of the carrier mobility both for nMOS and pMOS, but it is canceled out by a good short channel effect controlled by the LNDD. Fixing V t at 0.3 V, in long channel devices, due to more channel doping concentration for the LNDD technique, the RDF in LNDD controlled ES-UB-MOSFETs is worse than in back-bias controlled ES-UB-MOSFETs, but in the short channel, the RDF for LNDD controlled ES-UB-MOSFET is better due to its self-adaption of substrate doping engineering by using a fixed thickness inner-spacer. A novel process flow to form LNDD is proposed and simulated. (semiconductor devices)

  16. Characterization of pixel sensor designed in 180 nm SOI CMOS technology

    Science.gov (United States)

    Benka, T.; Havranek, M.; Hejtmanek, M.; Jakovenko, J.; Janoska, Z.; Marcisovska, M.; Marcisovsky, M.; Neue, G.; Tomasek, L.; Vrba, V.

    2018-01-01

    A new type of X-ray imaging Monolithic Active Pixel Sensor (MAPS), X-CHIP-02, was developed using a 180 nm deep submicron Silicon On Insulator (SOI) CMOS commercial technology. Two pixel matrices were integrated into the prototype chip, which differ by the pixel pitch of 50 μm and 100 μm. The X-CHIP-02 contains several test structures, which are useful for characterization of individual blocks. The sensitive part of the pixel integrated in the handle wafer is one of the key structures designed for testing. The purpose of this structure is to determine the capacitance of the sensitive part (diode in the MAPS pixel). The measured capacitance is 2.9 fF for 50 μm pixel pitch and 4.8 fF for 100 μm pixel pitch at -100 V (default operational voltage). This structure was used to measure the IV characteristics of the sensitive diode. In this work, we report on a circuit designed for precise determination of sensor capacitance and IV characteristics of both pixel types with respect to X-ray irradiation. The motivation for measurement of the sensor capacitance was its importance for the design of front-end amplifier circuits. The design of pixel elements, as well as circuit simulation and laboratory measurement techniques are described. The experimental results are of great importance for further development of MAPS sensors in this technology.

  17. Determined Initial lead for South Of Isua (SOI) terrain suggests a single homogeneous source for it and possibly other archaean rocks

    Science.gov (United States)

    Tera, F.

    2011-12-01

    A Thorogenic-Uranogenic Lead Isotope Plane (TULIP), which entails plotting 206/208 (or its reverse) vs 207/208 (or its reverse), was applied to the Pb data on South of Isua (SOI) by Kamber et al., (1). When the data on 20 samples of these rocks and feldspars are plotted in pairs (each pair is a rock and its feldspar) on TULIP, they fall on 10 mixing lines that converge on a single spot (Fig. 1). This is the end member initial lead (EMIL). The 206/208 & 207/208 so determined are 0.3675 and 0.43525, respectively. From these values one calculates 207/206 = 1.1843 ± 0.0007, for EMIL. This pattern requires either: A) each pair has a singular kappa, K = 232Th/238U, different from others, or B) a pair's in situ decay Pb was homogenized in recent times. On 204/206 vs 207/206 diagram, the whole rocks of SOI define a 3.776 Ga isochron (2). From this and EMIL's 207/206, one obtains: 206/204 = 10.977, 207/204 = 12.974; and 208/204 = 29.756. This singularity of initial Pb contrasts with a deduced variability by the original authors (1). EMIL's radiogenic *(207/206) = 1.6220, gives a single-stage age = 5.9 Ga, indicating inapplicability of its evolution in one stage. Also, the μ calculated from 238U-206Pb for the single stage is different from that inferred from 235U-207Pb, confirming disqualification of this scenario. Reconciliation of the two decay schemes necessitates assumption of EMIL evolution in a minimum of two stages. Starting at 4.563 Ga, five scenarios were assumed: First stage ends and second starts at 4.55, 4.54, 4.53, 4.52 or 4.51 Ga. Second stages end at 3.776 Ga. The calculated μ1 for the first stage are 106, 59.5, 44.6, 36.3 and 30.9 respectively. For μ2 the change is limited, from 5.45 to 5.28. Only an average calculated K for both stages is possible. For the five outlined scenarios it ranges from 1.118 to 1.111. Earlier, Tera (3) observed that initial Pb of the oldest terrestrial reservoir requires evolution in two stages. There too μ1 >> μ2. Data on

  18. The Impact of a 24 Month Housing First Intervention on Participants' Body Mass Index and Waist Circumference: Results from the At Home / Chez Soi Toronto Site Randomized Controlled Trial.

    Directory of Open Access Journals (Sweden)

    Julia Woodhall-Melnik

    Full Text Available Research suggests that individuals experiencing homelessness have high rates of overweight and obesity. Unhealthy weights and homelessness are both associated with increased risk of poor health and mortality. Using longitudinal data from 575 participants at the Toronto site of the At Home/Chez Soi randomized controlled trial, we investigate the impact of receiving a Housing First intervention on the Body Mass Index (BMI and waist circumference of participants with moderate and high needs for mental health support services. The ANCOVA results indicate that the intervention resulted in no significant change in BMI or waist circumference from baseline to 24 months. The findings suggest a need for a better understanding of factors contributing to overweight, obesity, and high waist circumference in populations who have histories of housing precarity and experience low-income in tandem with other concerns such as mental illness and addictions.International Standard Randomized Control Trial Number Register ISRCTN42520374.

  19. Considerations in designing and using superconductors with high resistivity matrices

    International Nuclear Information System (INIS)

    Bartlett, R.J.; Carlson, R.V.; Laquer, H.L.; Migliori, A.

    1976-01-01

    Superconductors are often designed with matrices of much higher residual resistivities than copper for reasons of manufacturing (multifilamentary Nb 3 Sn in CuSn bronze) or loss reduction (mixed matrix NbTi with Cu and CuNi). The high resistivity matrix may complicate or degrade contact resistances at the joints, generate excess heat, reduce the stability of the conductor, and interfere with the observation of flux flow resistivities in the 10 -12 Ω-cm region. The minimization of these effects is discussed, presenting both simple and more refined models for the current transfer length, and it is shown how variations in transfer length (with current), particularly under significant self field conditions, can mimic flux flow resistivity

  20. High resistivity in InP by helium bombardment

    International Nuclear Information System (INIS)

    Focht, M.W.; Macrander, A.T.; Schwartz, B.; Feldman, L.C.

    1984-01-01

    Helium implants over a fluence range from 10 11 to 10 16 ions/cm 2 , reproducibly form high resistivity regions in both p- and n-type InP. Average resistivities of greater than 10 9 Ω cm for p-type InP and of 10 3 Ω cm for n-type InP are reported. Results are presented of a Monte Carlo simulation of helium bombardment into the compound target InP that yields the mean projected range and the range straggling

  1. Extreme implanting in Si: A study of ion-induced damage at high temperature and high dose

    International Nuclear Information System (INIS)

    Holland, O.W.

    1994-01-01

    Ion-solid interactions near room temperature and below have been well studied in single-crystal Si. While this has led to a better understanding of the mechanisms responsible for nucleation and growth of lattice damage during irradiation, these studies have not, in general, been extended to high temperatures (e.g., >200 degrees C). This is the case despite the commercialization of ion beam technologies which utilize high-temperature processing, such as separation by implantation of oxygen (SIMOX). In this process, a silicon-on-insulator (SOI) material is produced by implanting a high dose of oxygen ions into a Si wafer to form a buried, stoichiometric oxide layer. Results will be presented of a study of damage accumulation during high-dose implantation of Si at elevated temperatures. In particular, O + -ions were used because of the potential impact of the results on the SIMOX technology. It will be shown that the nature of the damage accumulation at elevated temperatures is quite distinctive and portends the presence of a new mechanism, one which is only dominant under the extreme conditions encountered during ion beam synthesis (i.e., high temperature and high dose). This mechanism is discussed and shown to be quite general and not dependent on the chemical identity of the ions. Also, techniques for suppressing this mechanism by open-quotes defect engineeringclose quotes are discussed. Such techniques are technologically relevant because they offer the possibility of reducing the defect density of the SOI produced by SIMOX

  2. Abrasion Resistance of as-Cast High-Chromium Cast Iron

    Directory of Open Access Journals (Sweden)

    Pokusová Marcela

    2014-12-01

    Full Text Available High chromium cast irons are widely used as abrasion resistant materials. Their properties and wear resistance depend on carbides and on the nature of the matrix supporting these carbides. The paper presents test results of irons which contain (in wt.% 18-22 Cr and 2-5 C, and is alloyed by 1.7 Mo + 5 Ni + 2 Mn to improve the toughness. Tests showed as-cast irons with mostly austenitic matrix achieved hardness 36-53 HRC but their relative abrasion-resistance was higher than the tool steel STN 19436 heat treated on hardness 60 HRC.

  3. Kapitza thermal resistance studied by high-frequency photothermal radiometry

    International Nuclear Information System (INIS)

    Horny, Nicolas; Chirtoc, Mihai; Hamaoui, Georges; Fleming, Austin; Ban, Heng

    2016-01-01

    Kapitza thermal resistance is determined using high-frequency photothermal radiometry (PTR) extended for modulation up to 10 MHz. Interfaces between 50 nm thick titanium coatings and silicon or stainless steel substrates are studied. In the used configuration, the PTR signal is not sensitive to the thermal conductivity of the film nor to its optical absorption coefficient, thus the Kapitza resistance is directly determined from single thermal parameter fits. Results of thermal resistances show the significant influence of the nature of the substrate, as well as of the presence of free electrons at the interface.

  4. The role of advocacy coalitions in a project implementation process: the example of the planning phase of the At Home/Chez Soi project dealing with homelessness in Montreal.

    Science.gov (United States)

    Fleury, Marie-Josée; Grenier, Guy; Vallée, Catherine; Hurtubise, Roch; Lévesque, Paul-André

    2014-08-01

    This study analyzed the planning process (summer 2008 to fall 2009) of a Montreal project that offers housing and community follow-up to homeless people with mental disorders, with or without substance abuse disorders. With the help of the Advocacy Coalition Framework (ACF), advocacy groups that were able to navigate a complex intervention implementation process were identified. In all, 25 people involved in the Montreal At Home/Chez Soi project were surveyed through interviews (n=18) and a discussion group (n=7). Participant observations and documentation (minutes and correspondence) were also used for the analysis. The start-up phase of the At Home/Chez may be broken down into three separate periods qualified respectively as "honeymoon;" "clash of cultures;" and "acceptance & commitment". In each of the planning phases of the At Home/Chez Soi project in Montreal, at least two advocacy coalitions were in confrontation about their specific belief systems concerning solutions to address the recurring homelessness social problem, while a third, more moderate one contributed in rallying most key actors under specified secondary aspects. The study confirms the importance of policy brokers in achieving compromises acceptable to all advocacy coalitions. Copyright © 2014 Elsevier Ltd. All rights reserved.

  5. Solidification structure and abrasion resistance of high chromium white irons

    Science.gov (United States)

    Doğan, Ö. N.; Hawk, J. A.; Laird, G.

    1997-06-01

    Superior abrasive wear resistance, combined with relatively low production costs, makes high Cr white cast irons (WCIs) particularly attractive for applications in the grinding, milling, and pumping apparatus used to process hard materials. Hypoeutectic, eutectic, and hypereutectic cast iron compositions, containing either 15 or 26 wt pct chromium, were studied with respect to the macrostructural transitions of the castings, solidification paths, and resulting microstructures when poured with varying superheats. Completely equiaxed macrostructures were produced in thick section castings with slightly hypereutectic compositions. High-stress abrasive wear tests were then performed on the various alloys to examine the influence of both macrostructure and microstructure on wear resistance. Results indicated that the alloys with a primarily austenitic matrix had a higher abrasion resistance than similar alloys with a pearlitic/bainitic matrix. Improvement in abrasion resistance was partially attributed to the ability of the austenite to transform to martensite at the wear surface during the abrasion process.

  6. Probability based high temperature engineering creep and structural fire resistance

    CERN Document Server

    Razdolsky, Leo

    2017-01-01

    This volume on structural fire resistance is for aerospace, structural, and fire prevention engineers; architects, and educators. It bridges the gap between prescriptive- and performance-based methods and simplifies very complex and comprehensive computer analyses to the point that the structural fire resistance and high temperature creep deformations will have a simple, approximate analytical expression that can be used in structural analysis and design. The book emphasizes methods of the theory of engineering creep (stress-strain diagrams) and mathematical operations quite distinct from those of solid mechanics absent high-temperature creep deformations, in particular the classical theory of elasticity and structural engineering. Dr. Razdolsky’s previous books focused on methods of computing the ultimate structural design load to the different fire scenarios. The current work is devoted to the computing of the estimated ultimate resistance of the structure taking into account the effect of high temperatur...

  7. High Velocity Oxidation and Hot Corrosion Resistance of Some ODS Alloys

    Science.gov (United States)

    Lowell, C. E.; Deadmore, D. L.

    1977-01-01

    Several oxide dispersion strengthened (ODS) alloys were tested for cyclic, high velocity, oxidation, and hot corrosion resistance. These results were compared to the resistance of an advanced, NiCrAl coated superalloy. An ODS FeCrAl were identified as having sufficient oxidation and hot corrosion resistance to allow potential use in an aircraft gas turbine without coating.

  8. Characteristics of spring wheat genotypes exhibiting high resistance to FHB in terms of their resistance to other fungal diseases

    Directory of Open Access Journals (Sweden)

    Danuta Kurasiak-Popowska

    2016-09-01

    Full Text Available The field experiment was carried out in 2010–2012 at the Dłoń Agricultural Research Station, the Poznań University of Life Sciences, Poland. The study was designed to evaluate the degree of infection by powdery mildew, brown rust, and septoria leaf blotch in 61 spring wheat genotypes differing in their resistance to Fusarium ssp. The vast majority of spring wheat genotypes in the collection of gene resources in the USA defined as resistant to Fusarium ssp. confirmed their resistance under Polish climatic conditions. The B .graminis infection rate of genotypes that are considered to be resistant to Fusarium head blight was high. The resistance ranged from 7 for Sumai 3 (PL2 up to 8.8 for Ning 8331 (in a 9-point scale. Most of the genotypes (56.5% were infected by Puccinia recondita at a level of 1–3 (in a 9-point scale. The genotypes of Sumai 3 exhibited high resistance to septoria leaf blotch, amounting to 1–2 in a 9-point scale; the resistance of Frontana ranged from 1 to 3.5, while the genotypes of Ning were infected by Mycosphaerella graminicola at 5–6.

  9. Mechanisms of Evolution in High-Consequence Drug Resistance Plasmids

    Directory of Open Access Journals (Sweden)

    Susu He

    2016-12-01

    Full Text Available The dissemination of resistance among bacteria has been facilitated by the fact that resistance genes are usually located on a diverse and evolving set of transmissible plasmids. However, the mechanisms generating diversity and enabling adaptation within highly successful resistance plasmids have remained obscure, despite their profound clinical significance. To understand these mechanisms, we have performed a detailed analysis of the mobilome (the entire mobile genetic element content of a set of previously sequenced carbapenemase-producing Enterobacteriaceae (CPE from the National Institutes of Health Clinical Center. This analysis revealed that plasmid reorganizations occurring in the natural context of colonization of human hosts were overwhelmingly driven by genetic rearrangements carried out by replicative transposons working in concert with the process of homologous recombination. A more complete understanding of the molecular mechanisms and evolutionary forces driving rearrangements in resistance plasmids may lead to fundamentally new strategies to address the problem of antibiotic resistance.

  10. One-step patterning of double tone high contrast and high refractive index inorganic spin-on resist

    Energy Technology Data Exchange (ETDEWEB)

    Zanchetta, E.; Della Giustina, G.; Brusatin, G. [Industrial Engineering Department and INSTM, Via Marzolo 9, 35131 Padova (Italy)

    2014-09-14

    A direct one-step and low temperature micro-fabrication process, enabling to realize large area totally inorganic TiO₂ micro-patterns from a spin-on resist, is presented. High refractive index structures (up to 2 at 632 nm) without the need for transfer processes have been obtained by mask assisted UV lithography, exploiting photocatalytic titania properties. A distinctive feature not shared by any of the known available resists and boosting the material versatility, is that the system behaves either as a positive or as negative tone resist, depending on the process parameters and on the development chemistry. In order to explain the resist double tone behavior, deep comprehension of the lithographic process parameters optimization and of the resist chemistry and structure evolution during the lithographic process, generally uncommon in literature, is reported. Another striking property of the presented resist is that the negative tone shows a high contrast up to 19, allowing to obtain structures resolution down to 2 μm wide. The presented process and material permit to directly fabricate different titania geometries of great importance for solar cells, photo-catalysis, and photonic crystals applications.

  11. Diagnostic Evasion of Highly-Resistant Microorganisms: A Critical Factor in Nosocomial Outbreaks.

    Science.gov (United States)

    Zhou, Xuewei; Friedrich, Alexander W; Bathoorn, Erik

    2017-01-01

    Highly resistant microorganisms (HRMOs) may evade screening strategies used in routine diagnostics. Bacteria that have evolved to evade diagnostic tests may have a selective advantage in the nosocomial environment. Evasion of resistance detection can result from the following mechanisms: low-level expression of resistance genes not resulting in detectable resistance, slow growing variants, mimicry of wild-type-resistance, and resistance mechanisms that are only detected if induced by antibiotic pressure. We reviewed reports on hospital outbreaks in the Netherlands over the past 5 years. Remarkably, many outbreaks including major nation-wide outbreaks were caused by microorganisms able to evade resistance detection by diagnostic screening tests. We describe various examples of diagnostic evasion by several HRMOs and discuss this in a broad and international perspective. The epidemiology of hospital-associated bacteria may strongly be affected by diagnostic screening strategies. This may result in an increasing reservoir of resistance genes in hospital populations that is unnoticed. The resistance elements may horizontally transfer to hosts with systems for high-level expression, resulting in a clinically significant resistance problem. We advise to communicate the identification of HRMOs that evade diagnostics within national and regional networks. Such signaling networks may prevent inter-hospital outbreaks, and allow collaborative development of adapted diagnostic tests.

  12. High temperature resistive phase transition in A15 high temperature superconductors

    International Nuclear Information System (INIS)

    Chu, C.W.; Huang, C.Y.; Schmidt, P.H.; Sugawara, K.

    1976-01-01

    Resistive measurements were made on A15 high temperature superconductors. Anomalies indicative of a phase transition were observed at 433 0 K in a single crystal Nb 3 Sn and at 485 0 K in an unbacked Nb 3 Ge sputtered thin film. Results are compared with the high temperature transmission electron diffraction studies of Nb 3 Ge films by Schmidt et al. A possible instability in the electron energy spectrum is discussed

  13. High resolution resistivity measurements at the Down Ampney research site

    International Nuclear Information System (INIS)

    Hallam, J.R.; Jackson, P.D.; Rainsbury, M.; Raines, M.

    1991-01-01

    A new high resolution resistivity surveying method is described for fault detection and characterisation. The resolution is shown to be significantly higher than conventional apparent resistivity profiling when applied to geological discontinuities such as faults. Nominal fault locations have been determined to an accuracy of 0.5 m, as proven by drilling. Two dimensional profiling and image enhancement of the resulting 2-D data set indicated the possibility of subsidiary fractures and/or lateral changes within the clay to clay' fault zone. The increased resolution allows greater confidence to be placed on both the fault detection and lateral perturbations derived from processed resistance and resistivity images. (Author)

  14. Performance projections and design optimization of planar double gate SOI MOSFETs for logic technology applications

    International Nuclear Information System (INIS)

    Kranti, Abhinav; Hao Ying; Armstrong, G Alastair

    2008-01-01

    In this paper, by investigating the influence of source/drain extension region engineering (also known as gate–source/drain underlap) in nanoscale planar double gate (DG) SOI MOSFETs, we offer new insights into the design of future nanoscale gate-underlap DG devices to achieve ITRS projections for high performance (HP), low standby power (LSTP) and low operating power (LOP) logic technologies. The impact of high-κ gate dielectric, silicon film thickness, together with parameters associated with the lateral source/drain doping profile, is investigated in detail. The results show that spacer width along with lateral straggle can not only effectively control short-channel effects, thus presenting low off-current in a gate underlap device, but can also be optimized to achieve lower intrinsic delay and higher on–off current ratio (I on /I off ). Based on the investigation of on-current (I on ), off-current (I off ), I on /I off , intrinsic delay (τ), energy delay product and static power dissipation, we present design guidelines to select key device parameters to achieve ITRS projections. Using nominal gate lengths for different technologies, as recommended from ITRS specification, optimally designed gate-underlap DG MOSFETs with a spacer-to-straggle (s/σ) ratio of 2.3 for HP/LOP and 3.2 for LSTP logic technologies will meet ITRS projection. However, a relatively narrow range of lateral straggle lying between 7 to 8 nm is recommended. A sensitivity analysis of intrinsic delay, on-current and off-current to important parameters allows a comparative analysis of the various design options and shows that gate workfunction appears to be the most crucial parameter in the design of DG devices for all three technologies. The impact of back gate misalignment on I on , I off and τ is also investigated for optimized underlap devices

  15. Characterization of dielectric materials in thin layers for the development of S.O.I. (Silicon on Insulator) substrates

    International Nuclear Information System (INIS)

    Gruber, Olivier

    1999-01-01

    This thesis deals with the characterization of oxide layer placed inside S.O.I. substrates and submitted to irradiation. This type of material is used for the development of hardened electronic components, that is to say components able to be used in a radiative environment. The irradiation induces charges (electrons or holes) in the recovered oxide. A part of these charges is trapped which leads to changes of the characteristics of the electronic components made on these substrates. The main topic of this study is the characterization of trapping properties of recovered oxides and more particularly of 'Unibond' material carried out with a new fabrication process: the 'smart-cut' process. This work is divided into three parts: - study with one carrier: this case is limited to low radiation doses where is only observed holes trapping. The evolution of the physical and chemical properties of the 'Unibond' material recovered oxide has been revealed, this evolution being due to the fabrication process. - Study with two carriers: in this case, there is trapping of holes and electrons. This type of trapping is observed in the case of strong radiation doses. A new type of electrons traps has been identified with the 'Unibond' material oxide. The transport and the trapping of holes and electrons have been studied in the case of transient phenomena created by short radiative pulses. This study has been carried out using a new measurement method. - Study with three carriers: here are added to holes and electrons the protons introduced in the recovered oxide by the annealing under hydrogen. These protons are movable when they are submitted to the effect of an electric field and they induce a memory effect according to their position in the oxide. These different works show that the 'Unibond' material is a very good solution for the future development of S.O.I. (author) [fr

  16. Parallel Evolution of High-Level Aminoglycoside Resistance in Escherichia coli Under Low and High Mutation Supply Rates

    Directory of Open Access Journals (Sweden)

    Claudia Ibacache-Quiroga

    2018-03-01

    Full Text Available Antibiotic resistance is a major concern in public health worldwide, thus there is much interest in characterizing the mutational pathways through which susceptible bacteria evolve resistance. Here we use experimental evolution to explore the mutational pathways toward aminoglycoside resistance, using gentamicin as a model, under low and high mutation supply rates. Our results show that both normo and hypermutable strains of Escherichia coli are able to develop resistance to drug dosages > 1,000-fold higher than the minimal inhibitory concentration for their ancestors. Interestingly, such level of resistance was often associated with changes in susceptibility to other antibiotics, most prominently with increased resistance to fosfomycin. Whole-genome sequencing revealed that all resistant derivatives presented diverse mutations in five common genetic elements: fhuA, fusA and the atpIBEFHAGDC, cyoABCDE, and potABCD operons. Despite the large number of mutations acquired, hypermutable strains did not pay, apparently, fitness cost. In contrast to recent studies, we found that the mutation supply rate mainly affected the speed (tempo but not the pattern (mode of evolution: both backgrounds acquired the mutations in the same order, although the hypermutator strain did it faster. This observation is compatible with the adaptive landscape for high-level gentamicin resistance being relatively smooth, with few local maxima; which might be a common feature among antibiotics for which resistance involves multiple loci.

  17. Low- and High-Resistance Exercise: Long-Term Adherence and Motivation among Older Adults.

    Science.gov (United States)

    Van Roie, Evelien; Bautmans, Ivan; Coudyzer, Walter; Boen, Filip; Delecluse, Christophe

    2015-01-01

    In terms of motivation and long-term adherence, low-resistance exercise might be more suitable for older adults than high-resistance exercise. However, more data are needed to support this claim. The objective was to investigate the effect of low- and high-resistance exercise protocols on long-term adherence and motivation. This study was designed as an exploratory 24-week follow-up of a randomized 12-week resistance training intervention in older adults. Participants were free to decide whether or not they continued resistance training at their own expense following the intervention. Fifty-six older adults were randomly assigned to HIGH [2 × 10-15 repetitions at 80% of one repetition maximum (1RM)], LOW (1 × 80-100 repetitions at 20% of 1RM), or LOW+ (1 × 60 repetitions at 20% of 1RM + 1 × 10-20 repetitions at 40% 1RM). Motivation, self-efficacy and the perceived barriers for continuing resistance exercise were measured after cessation of each supervised intervention and at follow-up, while long-term adherence was probed retrospectively at follow-up. Participants reported high levels of self-determined motivation before, during, and after the supervised intervention, with no differences between groups (p > 0.05). Nevertheless, only few participants continued strength training after the intervention: 17% in HIGH, 21% in LOW+, and 11% in LOW (p > 0.05). The most commonly reported barriers for continuing resistance exercise were perceived lack of time (46%), being more interested in other physical activities (40%), seasonal reasons (40%), and financial cost (28%). The results suggest no difference in long-term adherence after the end of a supervised exercise intervention at high or low external resistances. Long-term adherence was limited despite high levels of self-determined motivation during the interventions. These findings highlight the importance of further research on developing strategies to overcome barriers of older adults to adhere to resistance

  18. 110 GHz hybrid mode-locked fiber laser with enhanced extinction ratio based on nonlinear silicon-on-insulator micro-ring-resonator (SOI MRR)

    International Nuclear Information System (INIS)

    Liu, Yang; Hsu, Yung; Chow, Chi-Wai; Yang, Ling-Gang; Lai, Yin-Chieh; Yeh, Chien-Hung; Tsang, Hon-Ki

    2016-01-01

    We propose and experimentally demonstrate a new 110 GHz high-repetition-rate hybrid mode-locked fiber laser using a silicon-on-insulator microring-resonator (SOI MRR) acting as the optical nonlinear element and optical comb filter simultaneously. By incorporating a phase modulator (PM) that is electrically driven at a fraction of the harmonic frequency, an enhanced extinction ratio (ER) of the optical pulses can be produced. The ER of the optical pulse train increases from 3 dB to 10 dB. As the PM is only electrically driven by the signal at a fraction of the harmonic frequency, in this case 22 GHz (110 GHz/5 GHz), a low bandwidth PM and driving circuit can be used. The mode-locked pulse width and the 3 dB spectral bandwidth of the proposed mode-locked fiber laser are measured, showing that the optical pulses are nearly transform limited. Moreover, stability evaluation for an hour is performed, showing that the proposed laser can achieve stable mode-locking without the need for optical feedback or any other stabilization mechanism. (letter)

  19. Evaluation of prevalence of low and high level mupirocin resistance in methicillin resistant staphylococcus aureus isolates at a tertiary care hospital

    International Nuclear Information System (INIS)

    Nizamuddin, S.; Irfan, S.; Zafar, A.

    2011-01-01

    To evaluate the trend of mupirocin resistance in MRSA, isolated at the Clinical Microbiology Laboratory of a tertiary care hospital. Methods: A total of 200 MRSA strains recovered over a 2 year period from various body sites were tested using the 5 and 200 mu g discs of mupirocin to detect its resistance. Results: High level and low level mupirocin resistance were detected in zero and 1 % of MRSA strains, respectively. Resistance to other non beta lactam antibiotics was also high. No MRSA strains were found to be resistant to vancomycin and tegicycline. Conclusion: Mupirocin resistance was found to be very low among local clinical isolates of MRSA. Its judicious use to decolonize nasal carriers should be promoted among hospitalized patients to avoid further transmission and infections due to prevalent endemic MRSA strains in any health care setting. Concomitantly, regular surveillance and effective infection control initiatives are desirable to reduce the incidence of health care associated infections due to MRSA and also of mupirocin resistance. (author)

  20. Tokamaks with high-performance resistive magnets: advanced test reactors and prospects for commercial applications

    International Nuclear Information System (INIS)

    Bromberg, L.; Cohn, D.R.; Williams, J.E.C.; Becker, H.; Leclaire, R.; Yang, T.

    1981-10-01

    Scoping studies have been made of tokamak reactors with high performance resistive magnets which maximize advantages gained from high field operation and reduced shielding requirements, and minimize resistive power requirements. High field operation can provide very high values of fusion power density and n tau/sub e/ while the resistive power losses can be kept relatively small. Relatively high values of Q' = Fusion Power/Magnet Resistive Power can be obtained. The use of high field also facilitates operation in the DD-DT advanced fuel mode. The general engineering and operational features of machines with high performance magnets are discussed. Illustrative parameters are given for advanced test reactors and for possible commercial reactors. Commercial applications that are discussed are the production of fissile fuel, electricity generation with and without fissioning blankets and synthetic fuel production

  1. High levels of macrolide-resistant Mycoplasma genitalium in Queensland, Australia.

    Science.gov (United States)

    Trembizki, Ella; Buckley, Cameron; Bletchly, Cheryl; Nimmo, Graeme R; Whiley, David M

    2017-10-01

    The macrolide azithromycin is recommended for treatment of Mycoplasma genitalium infection; however, M. genitalium strains possessing macrolide resistance-mediating mutations (MRMMs) are increasingly being reported. Here, we used the SpeeDx ResistancePlus MG kit, which provides simultaneous detection of M. genitalium and MRMMs, to assess MRMM carriage among M. genitalium infections in Queensland, Australia. Performance characteristics of the ResistancePlus MG kit for M. genitalium detection were compared to in-house PCR. Available M. genitalium PCR-positive (n=67) and negative (n=281) samples from the years 2011 to 2017 were tested using the SpeeDx ResistancePlus MG kit. In total, 63.6 % M. genitalium-positive samples were indicated to harbour MRMMs. The ResistancePlus MG method provided sensitivity and specificity of 97 and 99.6 % respectively compared to in-house PCR for M. genitalium detection. Such high levels of macrolide-resistant M. genitalium raise further concerns over future use of azithromycin for treatment of M. genitalium infection.

  2. Directly patternable high refractive index ferroelectric sol–gel resist

    Energy Technology Data Exchange (ETDEWEB)

    Garoli, D., E-mail: denis.garoli@iit.it [Istituto Italiano di Tecnologia, Via Morego 16, 16136 Genova (Italy); Della Giustina, G. [Industrial Engineering Department, University of Padova and INSTM, Via Marzolo 9, 35131 Padova (Italy)

    2015-08-15

    The development of a ferroelectric negative tone sol–gel resist for Ultraviolet (UV) and Electron Beam (EB) lithography is presented. A new system based on Lead Zirconate Titanate (PZT, with formula PbZr{sub 0.52}Ti{sub 0.48}O{sub 3}) was synthesized by sol–gel method. The lithographic performances were investigated and several structures spanning from the micron range down to less than 50 nm have been achieved by UV and EB lithography. The system interaction with UV light and Electron beam was thoroughly characterized by FT-IT spectroscopy. The exposed PZT was annealed at high temperatures in order to study the crystalline phase evolution, the optical constants values and stability of patterned structures. After exposure and annealing, the refractive index of the material can vary from 1.68 up to 2.33 (@400 nm), while the ferroelectric behaviour seems to be maintained after high temperature annealing. These results suggest a possible application of PZT resist not only as ferroelectric but also as nanopatternable high refractive index material. Moreover, direct nanopatterning by means of Focused Ion Beam (FIB) lithography was verified and the potentiality for the preparation of high aspect ratio hollow nanostructures will be presented. - Highlights: • A new formula directly patternable PZT high refractive index resist is presented. • The gel is sensitive to both UV and electron beam exposure. • The refractive index can vary from 1.68 up to 2.33 (@400 nm). • Direct nanopatterning by means of Focused Ion Beam (FIB) lithography was verified. • High aspect ratio hollow nanostructures will be presented.

  3. SOI detector with drift field due to majority carrier flow - an alternative to biasing in depletion

    Energy Technology Data Exchange (ETDEWEB)

    Trimpl, M.; Deptuch, G.; Yarema, R.; /Fermilab

    2010-11-01

    This paper reports on a SOI detector with drift field induced by the flow of majority carriers. It is proposed as an alternative method of detector biasing compared to standard depletion. N-drift rings in n-substrate are used at the front side of the detector to provide charge collecting field in depth as well as to improve the lateral charge collection. The concept was verified on a 2.5 x 2.5 mm{sup 2} large detector array with 20 {micro}m and 40 {micro}m pixel pitch fabricated in August 2009 using the OKI semiconductor process. First results, obtained with a radioactive source to demonstrate spatial resolution and spectroscopic performance of the detector for the two different pixel sizes will be shown and compared to results obtained with a standard depletion scheme. Two different diode designs, one using a standard p-implantation and one surrounded by an additional BPW implant will be compared as well.

  4. SOI detector with drift field due to majority carrier flow - an alternative to biasing in depletion

    International Nuclear Information System (INIS)

    Trimpl, M.; Deptuch, G.; Yarema, R.

    2010-01-01

    This paper reports on a SOI detector with drift field induced by the flow of majority carriers. It is proposed as an alternative method of detector biasing compared to standard depletion. N-drift rings in n-substrate are used at the front side of the detector to provide charge collecting field in depth as well as to improve the lateral charge collection. The concept was verified on a 2.5 x 2.5 mm 2 large detector array with 20 (micro)m and 40 (micro)m pixel pitch fabricated in August 2009 using the OKI semiconductor process. First results, obtained with a radioactive source to demonstrate spatial resolution and spectroscopic performance of the detector for the two different pixel sizes will be shown and compared to results obtained with a standard depletion scheme. Two different diode designs, one using a standard p-implantation and one surrounded by an additional BPW implant will be compared as well.

  5. Effects of spinach nitrate on insulin resistance, endothelial dysfunction markers and inflammation in mice with high-fat and high-fructose consumption

    Directory of Open Access Journals (Sweden)

    Ting Li

    2016-09-01

    Full Text Available Background: Insulin resistance, which is associated with an increased risk of cardiovascular morbidity and mortality, has become a leading nutrition problem. Inorganic nitrate enriched in spinach has been demonstrated to reverse the pathological features of insulin resistance and endothelial dysfunction. However, the effects of a direct intake of nitrate-enriched spinach on insulin resistance and endothelial dysfunction have not been studied. Objective: To investigate the effects of spinach nitrate on insulin resistance, lipid metabolism, endothelial function, and inflammation in mice fed with a high-fat and high-fructose diet. Design: A diet intervention of spinach with or without nitrate was performed in mice. A high-fat and high-fructose diet was used to cause insulin resistance, endothelial dysfunction, and inflammation in mice. The impacts of spinach nitrate on lipid profile, insulin resistance, markers of endothelial function, and inflammation were determined in mice. Results: Spinach nitrate improved the vascular endothelial function of the mice with high-fat and high-fructose consumption, as evidenced by the elevated plasma nitrite level, increased serum nitric oxide (NO level and decreased serum ET-1 level after spinach nitrate intervention. Spinach nitrate also reduced serum triglycerides, total cholesterol, and low-density lipoprotein-cholesterol levels and elevated serum high-density lipoprotein-cholesterol levels in the mice fed with a high-fat and high-fructose diet. Mice receiving spinach with 60 mg/kg of nitrate (1.02±0.34 showed a significantly low homeostasis model assessment-insulin resistance index as compared with the model mice (2.05±0.58, which is indicating that spinach nitrate could effectively improve the insulin resistance. In addition, spinach nitrate remarkably decreased the elevated serum C-reactive protein, tumor necrosis factor α, and interleukin-6 levels induced by a high-fat and high-fructose diet

  6. Consumption of a liquid high-fat meal increases triglycerides but decreases high-density lipoprotein cholesterol in abdominally obese subjects with high postprandial insulin resistance.

    Science.gov (United States)

    Wang, Feng; Lu, Huixia; Liu, Fukang; Cai, Huizhen; Xia, Hui; Guo, Fei; Xie, Yulan; Huang, Guiling; Miao, Miao; Shu, Guofang; Sun, Guiju

    2017-07-01

    Abdominal obesity is associated with an increased risk of insulin resistance, which may be a potential contributor to dyslipidemia. However, the relationship between postprandial insulin resistance and lipid metabolism in abdominally obese subjects remains unknown. We hypothesized that postprandial dyslipidemia would be exaggerated in abdominally obese subjects with high postprandial insulin resistance. To test this hypothesis, serum glucose, insulin, triglycerides, total cholesterol, high-density lipoprotein cholesterol, and apolipoprotein B were measured at baseline and postprandial state at 0.5, 1, 2, 4, 6, and 8 hours after a liquid high-fat meal in non-abdominally obese controls (n=44) and abdominally obese subjects with low (AO-LPIR, n=40), middle (n=40), and high postprandial insulin resistance (AO-HPIR, n=40) based on the tertiles ratio of the insulin to glucose areas under the curve (AUC). Their serum adipokines were tested at baseline only. Fasting serum leptin was higher (Pinsulin resistance and controls. The present study indicated that the higher degree of postprandial insulin resistance, the more adverse lipid profiles in abdominally obese subjects, which provides insight into opportunity for screening in health. Copyright © 2017 Elsevier Inc. All rights reserved.

  7. Space and military radiation effects in silicon-on-insulator devices

    International Nuclear Information System (INIS)

    Schwank, J.R.

    1996-09-01

    Advantages in transient ionizing and single-event upset (SEU) radiation hardness of silicon-on-insulator (SOI) technology spurred much of its early development. Both of these advantages are a direct result of the reduced charge collection volume inherent to SOI technology. The fact that SOI transistor structures do not include parasitic n-p-n-p paths makes them immune to latchup. Even though considerable improvement in transient and single-event radiation hardness can be obtained by using SOI technology, there are some attributes of SOI devices and circuits that tend to limit their overall hardness. These attributes include the bipolar effect that can ultimately reduce the hardness of SOI ICs to SEU and transient ionizing radiation, and charge buildup in buried and sidewall oxides that can degrade the total-dose hardness of SOI devices. Nevertheless, high-performance SOI circuits can be fabricated that are hardened to both space and nuclear radiation environments, and radiation-hardened systems remain an active market for SOI devices. The effects of radiation on SOI MOS devices are reviewed

  8. Effect of some structural parameters on high-temperature crack resistance of tungsten

    International Nuclear Information System (INIS)

    Babak, A.V.; Uskov, E.I.

    1984-01-01

    The paper presents results of physicomechanical studied in high-temperature crack resistance of tungsten produced by powder metallurgy methods. It is shown that at high temperatures (>2000 deg C) a structure is formed in the material and fails at stresses independent of temperature. It is found that high-temperature tungsten crack resistance is affected neighter by changes in the effictive grain size, nor by appearance of grain-boundary microcraks in the material under high-temperature action

  9. Improving UV Resistance of High Performance Fibers

    Science.gov (United States)

    Hassanin, Ahmed

    High performance fibers are characterized by their superior properties compared to the traditional textile fibers. High strength fibers have high modules, high strength to weight ratio, high chemical resistance, and usually high temperature resistance. It is used in application where superior properties are needed such as bulletproof vests, ropes and cables, cut resistant products, load tendons for giant scientific balloons, fishing rods, tennis racket strings, parachute cords, adhesives and sealants, protective apparel and tire cords. Unfortunately, Ultraviolet (UV) radiation causes serious degradation to the most of high performance fibers. UV lights, either natural or artificial, cause organic compounds to decompose and degrade, because the energy of the photons of UV light is high enough to break chemical bonds causing chain scission. This work is aiming at achieving maximum protection of high performance fibers using sheathing approaches. The sheaths proposed are of lightweight to maintain the advantage of the high performance fiber that is the high strength to weight ratio. This study involves developing three different types of sheathing. The product of interest that need be protected from UV is braid from PBO. First approach is extruding a sheath from Low Density Polyethylene (LDPE) loaded with different rutile TiO2 % nanoparticles around the braid from the PBO. The results of this approach showed that LDPE sheath loaded with 10% TiO2 by weight achieved the highest protection compare to 0% and 5% TiO2. The protection here is judged by strength loss of PBO. This trend noticed in different weathering environments, where the sheathed samples were exposed to UV-VIS radiations in different weatheromter equipments as well as exposure to high altitude environment using NASA BRDL balloon. The second approach is focusing in developing a protective porous membrane from polyurethane loaded with rutile TiO2 nanoparticles. Membrane from polyurethane loaded with 4

  10. Study on the high temperature crack resistance of tungsten

    International Nuclear Information System (INIS)

    Uskov, E.I.; Babak, A.V.

    1983-01-01

    The possibility of a multiple use of tungsten specimens in crack resistance tests in the temperature range of 600-2000 deg C is studied. It is established experimentally that the minimum length of growth of a main crack is 1x10 -4 m for the most effective repeated use of specimens. A flow diagram of mechanical tests is suggested for investigating high temperature tungsten crack resistance and estimating the degree of weakening the grain-boundary bond

  11. Method for making low-resistivity contacts to high T/sub c/ superconductors

    International Nuclear Information System (INIS)

    Ekin, J.W.; Panson, A.J.; Blankenship, B.A.

    1988-01-01

    A method for making low-resistivity contacts to high T/sub c/ superconductors has been developed, which has achieved contact surface resistivities less than 10 μΩ cm 2 at 76 K and does not require sample heating above ∼150 0 C. This is an upper limit for the contact resistivity obtained at high current densities up to 10 2 --10 3 A/cm 2 across the contact interface. At lower measuring current densities the contact resistivities were lower and the voltage-current curve was nonlinear, having a superconducting transition character. On cooling from 295 to 76 K, the contact resistivity decreased several times, in contrast to indium solder contacts where the resistivity increased on cooling. The contacts showed consistently low resistivity and little degradation when exposed to dry air over a four-month period and when repeatedly cycled between room temperature and 76 K. The contacts are formed by sputter depositing a layer of a noble metal-silver and gold were used-on a clean superconductor surface to protect the surface and serve as a contact pad. External connections to the contact pads have been made using both solder and wire-bonding techniques

  12. Incidence of high-level evernimicin resistance in Enterococcus faecium among food animals and humans

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; McNicholas, P. M.

    2002-01-01

    Six high-level evernimicin-resistant Enterococcus faecium isolates were identified among 304 avilarnycin-resistant E. faecium isolates from animals and 404 stool samples from humans with diarrhea. All four animal isolates, and one of the human isolates, were able to transfer resistance...... to a susceptible E. faecium strain. The resulting transconjugants all tested positive for the presence of emtA, a gene encoding a methyltransferase previously linked with high-level evernimicin resistance. The four transconjugants derived from animal isolates all carried the same plasmid, while a differently sized...... plasmid was found in the isolate from humans. This study demonstrated a low incidence of high-level evernimicin resistance mediated by the emtA gene in different E. faecium isolates of animal and human origin....

  13. Resistive internal kink modes in a tokamak with high-pressure plasma

    International Nuclear Information System (INIS)

    Kuvshinov, B.N.; Mikhajlovskij, A.B.; Tatarinov, E.G.

    1988-01-01

    Theory of resistive internal kink modes in a tokamak with high-pressure plasma is developed. Equation for Fourie-image of disturbed displacment in a resistive layer ie derived with regard to effects of the fourth order by plasma pressure within the framework of single-liquid approach. In its structure this equation coincides with a similar equation for resistive balloon modes and has an exact solution expressed by degenerated hypergeometric function. A general dispersion equation for resistive kink modes is derived with regard to the effects indicated. It is shown that plasma pressure finiteness leads to the reduction of reconnection and tyring-mode increments

  14. Development of monolithic pixel detector with SOI technology for the ILC vertex detector

    Science.gov (United States)

    Yamada, M.; Ono, S.; Tsuboyama, T.; Arai, Y.; Haba, J.; Ikegami, Y.; Kurachi, I.; Togawa, M.; Mori, T.; Aoyagi, W.; Endo, S.; Hara, K.; Honda, S.; Sekigawa, D.

    2018-01-01

    We have been developing a monolithic pixel sensor for the International Linear Collider (ILC) vertex detector with the 0.2 μm FD-SOI CMOS process by LAPIS Semiconductor Co., Ltd. We aim to achieve a 3 μm single-point resolution required for the ILC with a 20×20 μm2 pixel. Beam bunch crossing at the ILC occurs every 554 ns in 1-msec-long bunch trains with an interval of 200 ms. Each pixel must record the charge and time stamp of a hit to identify a collision bunch for event reconstruction. Necessary functions include the amplifier, comparator, shift register, analog memory and time stamp implementation in each pixel, and column ADC and Zero-suppression logic on the chip. We tested the first prototype sensor, SOFIST ver.1, with a 120 GeV proton beam at the Fermilab Test Beam Facility in January 2017. SOFIST ver.1 has a charge sensitive amplifier and two analog memories in each pixel, and an 8-bit Wilkinson-type ADC is implemented for each column on the chip. We measured the residual of the hit position to the reconstructed track. The standard deviation of the residual distribution fitted by a Gaussian is better than 3 μm.

  15. Rugged Low-Resistance Contacts To High-Tc Superconductors

    Science.gov (United States)

    Caton, Randall; Selim, Raouf; Byvik, Charles E.; Buoncristiani, A. Martin

    1992-01-01

    Newly developed technique involving use of gold makes possible to fabricate low-resistance contacts with rugged connections to high-Tc superconductors. Gold diffused into specimen of superconducting material by melting gold beads onto surface of specimen, making strong mechanical contacts. Shear strength of gold bead contacts greater than epoxy or silver paste. Practical use in high-current-carrying applications of new high-Tc materials, including superconducting magnets, long-wavelength sensors, electrical ground planes at low temperatures, and efficient transmission of power.

  16. On-chip grating coupler array on the SOI platform for fan-in/fan-out of MCFs with low insertion loss and crosstalk

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ye, Feihong; Peucheret, Christophe

    2015-01-01

    We report the design and fabrication of a compact multi-core fiber fan-in/fan-out using a grating coupler array on the SOI platform. The grating couplers are fully-etched, enabling the whole circuit to be fabricated in a single lithography and etching step. Thanks to the apodized design...... for the grating couplers and the introduction of an aluminum reflective mirror, a highest coupling efficiency of -3.8 dB with 3 dB coupling bandwidth of 48 nm and 1.5 dB bandwidth covering the whole C band, together with crosstalk lower than -32 dB are demonstrated. (C)2015 Optical Society of America...

  17. No seasonal accumulation of resistant P. falciparum when high-dose chloroquine is used

    DEFF Research Database (Denmark)

    Ursing, Johan; Kofoed, Poul-Erik; Rodrigues, Amabelia

    2009-01-01

    increase of pfcrt 76T if the high doses of CQ commonly used are effective. METHODS AND FINDINGS: P. falciparum parasite density, age, sex, the proportion of chloroquine resistance associated haplotypes pfcrt 76T and P. falciparum multidrug resistance gene 1 86Y were assessed in 988 samples collected from...... to become the dominant P.falciparum type in Guinea-Bissau. This is most likely due to the efficacy of high-dose chloroquine as used in Guinea-Bissau, combined with a loss of fitness associated with pfcrt 76T.......BACKGROUND: Potentially chloroquine resistant P. falciparum, identified by the 76T haplotype in the chloroquine resistance transporter (pfcrt 76T), are highly prevalent throughout Africa. In Guinea-Bissau, normal and double dose chloroquine have respective efficacies of 34% and 78% against P...

  18. High-Rate Glass Resistive Plate Chambers For LHC Muon Detectors Upgrade

    CERN Document Server

    Laktineh, I; Cauwenbergh, S; Combret, C; Crotty, I; Haddad, Y; Grenier, G; Guida, R; Kieffer, R; Lumb, N; Mirabito, L; Schirra, F; Seguin, N; Tytgat, M; Van der Donckt, M; Wang, Y; Zaganidis, N

    2012-01-01

    The limitation of the detection rate of standard bakelite resistive plate chambers (RPC) used as muon detector in LHC experiments is behind the absence of such detectors in the high TJ regions in both CMS and ATLAS detectors. RPCs made with low resistivity glass plates (10ID O.cm) could be an adequate solution to equip the high TJ regions extending thus both the trigger efficiency and the physics performance. Different beam tests with single and multi-gap configurations using the new glass have shown that such detectors can operate at few thousands Hzlcm2 with high efficiency( > 90%).

  19. High Rate of Resistance to Quinupristin-Dalfopristin in Enterococcus faecium Clinical Isolates from Korea

    Science.gov (United States)

    Oh, Won Sup; Ko, Kwan Soo; Song, Jae-Hoon; Lee, Mi Young; Park, Sulhee; Peck, Kyong Ran; Lee, Nam Yong; Kim, Choon-Kwan; Lee, Hyuck; Kim, Shin-Woo; Chang, Hyun-Ha; Kim, Yeon-Sook; Jung, Sook-In; Son, Jun Seong; Yeom, Joon-Sup; Ki, Hyun Kyun; Woo, Gun-Jo

    2005-01-01

    We tested the in vitro susceptibilities of 603 enterococcal isolates from eight tertiary-care hospitals in Korea. The quinupristin-dalfopristin resistance rate in Enterococcus faecium was very high (25 isolates, 10.0%). It was suggested that both clonal spread and the sporadic emergence of quinupristin-dalfopristin-resistant isolates may explain the high prevalence of quinupristin-dalfopristin resistance in Korea. PMID:16304198

  20. Title: High-level cefotaxime-resistant Proteus mirabilis strain isolated ...

    African Journals Online (AJOL)

    oaca

    High-level cefotaxime-resistant Proteus mirabilis strain isolated from a Tunisian .... UV- visible) at 37°C. Specific activity is calculated on depending of. Ross and ..... Performance standards for antimicrobial susceptibility testing;. Seventeenth ...

  1. Resistance against Schistosoma mansoni induced by highly irradiated infections: studies on species specificity of immunization and attempts to transfer resistance

    International Nuclear Information System (INIS)

    Bickle, Q.D.; Andrews, B.J.; Doenhoff, M.J.; Ford, M.J.; Taylor, M.G.

    1985-01-01

    Significant levels of resistance against Schistosoma mansoni challenge were developed by mice exposed to highly irradiated (20 krad.) cercariae of the homologous species (53-67%), whereas vaccination with S. bovis, S. haematobium or S. japonicum failed to confer significant levels of resistance (-5-12%), thus confirming the specificity of the immunizing procedure. Attempts to transfer resistance to naive recipients by injection of serum and of spleen or lymph node cells from donor mice vaccinated with highly irradiated cercariae were largely unsuccessful. However, significant levels of resistance could be transferred to mice by injection of serum from rabbits exposed to irradiated cercariae. Comparable levels of resistance were conferred by injection of serum at the time of challenge (34-69%) or 5-6 days later (31-56%). In contrast, sera from rabbits injected with soluble egg antigen or homogenized cercariae failed to confer protection upon recipient mice. Sera from vaccinated mice, vaccinated rabbits and antigen-injected rabbits all caused cell adherence to skin-transformed schistosomula but neither the level of adherence nor the serum titre correlated with the ability to confer protection to mice. (author)

  2. The use of high-throughput sequencing to investigate an outbreak of glycopeptide-resistant Enterococcus faecium with a novel quinupristin-dalfopristin resistance mechanism.

    Science.gov (United States)

    Shaw, Timothy D; Fairley, D J; Schneiders, T; Pathiraja, M; Hill, R L R; Werner, G; Elborn, J S; McMullan, R

    2018-02-24

    High-throughput sequencing (HTS) has successfully identified novel resistance genes in enterococci and determined clonal relatedness in outbreak analysis. We report the use of HTS to investigate two concurrent outbreaks of glycopeptide-resistant Enterococcus faecium (GRE) with an uncharacterised resistance mechanism to quinupristin-dalfopristin (QD). Seven QD-resistant and five QD-susceptible GRE isolates from a two-centre outbreak were studied. HTS was performed to identify genes or predicted proteins that were associated with the QD-resistant phenotype. MLST and SNP typing on HTS data was used to determine clonal relatedness. Comparative genomic analysis confirmed this GRE outbreak involved two distinct clones (ST80 and ST192). HTS confirmed the absence of known QD resistance genes, suggesting a novel mechanism was conferring resistance. Genomic analysis identified two significant genetic determinants with explanatory power for the high level of QD resistance in the ST80 QD-resistant clone: an additional 56aa leader sequence at the N-terminus of the lsaE gene and a transposon containing seven genes encoding proteins with possible drug or drug-target modification activities. However, HTS was unable to conclusively determine the QD resistance mechanism and did not reveal any genetic basis for QD resistance in the ST192 clone. This study highlights the usefulness of HTS in deciphering the degree of relatedness in two concurrent GRE outbreaks. Although HTS was able to reveal some genetic candidates for uncharacterised QD resistance, this study demonstrates the limitations of HTS as a tool for identifying putative determinants of resistance to QD.

  3. High dietary zinc feeding promotes persistence of multi-resistant E. coli in the swine gut.

    Science.gov (United States)

    Ciesinski, Lisa; Guenther, Sebastian; Pieper, Robert; Kalisch, Martin; Bednorz, Carmen; Wieler, Lothar H

    2018-01-01

    High levels of zinc oxide are used frequently as feed additive in pigs to improve gut health and growth performance and are still suggested as an alternative to antimicrobial growth promoters. However, we have recently described an increase of multi-resistant E. coli in association to zinc feeding in piglets. This previous study focused on clonal diversity of E. coli, observing the effect on multi-resistant strains by chance. To shed further light into this highly important topic and falsify our previous findings, we performed a zinc pig feeding trial where we specifically focused on in-depth analysis of antimicrobial resistant E. coli. Under controlled experimental conditions, piglets were randomly allocated to a high dietary zinc (zinc group) and a background zinc feeding group (control group). At different ages samples were taken from feces, digesta, and mucosa and absolute E. coli numbers were determined. A total of 2665 E. coli isolates were than phenotypically tested for antimicrobial resistance and results were confirmed by minimum inhibitory concentration testing for random samples. In piglets fed with high dietary zinc, we detected a substantial increase of multi-resistant E. coli in all gut habitats tested, ranging from 28.9-30.2% multi-resistant E. coli compared to 5.8-14.0% in the control group. This increase was independent of the total number of E. coli. Interestingly, the total amount of the E. coli population decreased over time. Thus, the increase of the multi-resistant E. coli populations seems to be linked with persistence of the resistant population, caused by the influence of high dietary zinc feeding. In conclusion, these findings corroborate our previous report linking high dietary zinc feeding of piglets with the occurrence of antimicrobial resistant E. coli and therefore question the feeding of high dietary zinc oxide as alternative to antimicrobial growth promoters.

  4. Screen for soil fungi highly resistant to dichloroaniline uncovers mostly Fusarium species.

    Science.gov (United States)

    Chan Ho Tong, Laetitia; Dairou, Julien; Bui, Linh-Chi; Bouillon, Julien; Rodrigues-Lima, Fernando; Dupret, Jean-Marie; Silar, Philippe

    2015-08-01

    Arylamines are frequent pollutants in soils. Fungi have proven to be efficient in detoxifying these chemicals by acetylating them using arylamine N-acetyl transferase enzymes. Here, we selected from natural soils fungi highly resistant to 3,4-dichloroaniline (DCA). Fusarium species were the most frequently isolated species, especially Fusarium solani. The sequenced strain of F. solani contains five NAT genes, as did all the DCA-resistant isolates. RT-PCR analysis showed that the five genes were expressed in F. solani. Expression of the F. solani genes in Podospora anserina and analysis of acetylation directly in F. solani showed that only the NhNAT2B gene conferred significant resistance to DCA and that F. solani likely uses pathways different from acetylation to resist high doses of DCA, as observed previously for Trichoderma. Copyright © 2015 Elsevier Inc. All rights reserved.

  5. Development of leak detection system using high temperature-resistant microphones

    International Nuclear Information System (INIS)

    Morishita, Yoshitsugu; Mochizuki, Hiroyasu; Watanabe, Kenshiu; Nakamura, Takahisa; Nakazima, Yoshiaki; Yamauchi, Tatsuya

    1995-01-01

    This report describes the development and testing of a coolant leak detection system for an inlet feeder pipe of an advanced thermal reactor (ATR) using high temperature-resistant microphones. Such microphones must be resistant to both high temperatures and high radiation doses. Leakage sound characteristics, attenuation of the sound level in a heat insulating box for the inlet feeder pipes, and background noise were investigated using the experimental facility and the prototype ATR 'FUGEN'. The optimum frequency ranges for the microphone were then determined based on the observed leakage sound and background noise. The ability of the microphone to discriminate between leaks and other burst-type noises was also investigated by statistical analyses. Finally, it was confirmed that the present method could detect a leak within a couple of seconds. (author)

  6. Mechanisms of Evolution in High-Consequence Drug Resistance Plasmids.

    Science.gov (United States)

    He, Susu; Chandler, Michael; Varani, Alessandro M; Hickman, Alison B; Dekker, John P; Dyda, Fred

    2016-12-06

    The dissemination of resistance among bacteria has been facilitated by the fact that resistance genes are usually located on a diverse and evolving set of transmissible plasmids. However, the mechanisms generating diversity and enabling adaptation within highly successful resistance plasmids have remained obscure, despite their profound clinical significance. To understand these mechanisms, we have performed a detailed analysis of the mobilome (the entire mobile genetic element content) of a set of previously sequenced carbapenemase-producing Enterobacteriaceae (CPE) from the National Institutes of Health Clinical Center. This analysis revealed that plasmid reorganizations occurring in the natural context of colonization of human hosts were overwhelmingly driven by genetic rearrangements carried out by replicative transposons working in concert with the process of homologous recombination. A more complete understanding of the molecular mechanisms and evolutionary forces driving rearrangements in resistance plasmids may lead to fundamentally new strategies to address the problem of antibiotic resistance. The spread of antibiotic resistance among Gram-negative bacteria is a serious public health threat, as it can critically limit the types of drugs that can be used to treat infected patients. In particular, carbapenem-resistant members of the Enterobacteriaceae family are responsible for a significant and growing burden of morbidity and mortality. Here, we report on the mechanisms underlying the evolution of several plasmids carried by previously sequenced clinical Enterobacteriaceae isolates from the National Institutes of Health Clinical Center (NIH CC). Our ability to track genetic rearrangements that occurred within resistance plasmids was dependent on accurate annotation of the mobile genetic elements within the plasmids, which was greatly aided by access to long-read DNA sequencing data and knowledge of their mechanisms. Mobile genetic elements such as

  7. High hardness and superlative oxidation resistance in a pseudo-icosahehdral Cr-Al binary

    Science.gov (United States)

    Simonson, J. W.; Rosa, R.; Antonacci, A. K.; He, H.; Bender, A. D.; Pabla, J.; Adrip, W.; McNally, D. E.; Zebro, A.; Kamenov, P.; Geschwind, G.; Ghose, S.; Dooryhee, E.; Ibrahim, A.; Aronson, M. C.

    Improving the efficiency of fossil fuel plants is a practical option for decreasing carbon dioxide emissions from electrical power generation. Present limits on the operating temperatures of exposed steel components, however, restrict steam temperatures and therefore energy efficiency. Even as a new generation of creep-resistant, high strength steels retain long term structural stability to temperatures as high as ~ 973 K, the low Cr-content of these alloys hinders their oxidation resistance, necessitating the development of new corrosion resistant coatings. We report here the nearly ideal properties of potential coating material Cr55Al229, which exhibits high hardness at room temperature as well as low thermal conductivity and superlative oxidation resistance at 973 K, with an oxidation rate at least three times smaller than those of benchmark materials. These properties originate from a pseudo-icosahedral crystal structure, suggesting new criteria for future research.

  8. Vécu des situations scolaires, estime de soi et Développement : du jugement moral a la période de la latence

    Directory of Open Access Journals (Sweden)

    Emile-Henri Riard

    2011-06-01

    Full Text Available Suivant une approche de psychologie sociale clinique, le point de vue adopté dans cet article est triple : 1- considérer les situations scolaires “ ordinaires ” comme potentiellement génératrices de difficultés; 2- s’inscrire en amont de l’adolescence afin d’améliorer la compréhension de cette dernière; 3 – considérer le vécu des élèves. La recherche menée en France (enfants de 6 à 11 ans, par questionnaire (48 situations relevant de la scolarité : classe, cour de récréation, trajet domicile/école et domicile ont été proposées ; test d’estime de soi (Coopersmith ; développement moral (Kohlberg. Variables : âge, sexe, mode d’habitat, position scolaire, classement, département. Les résultats (analyse de variance démontrent un fonctionnement “ en bloc ” du niveau de vécu de difficulté. Ressortent comme variables significatives, par ordre d’importance décroissante: le sexe (les garçons ressentent davantage les difficultés que les filles; l’âge (le niveau de difficulté vécue décroît avec l’âge mais concerne surtout la cour de récréation ; le mode d’habitat (collectif. La classe est l’espace le plus porteur de différences de vécu de difficultés indépendamment des variables. Le niveau d’autonomie et l’estime de soi sont schématiquement inversement proportionnés au niveau de difficulté vécu. La conclusion met l’accent sur l’importance des effets interactif et d’accumulation des situations.

  9. Influence of Bipolar Pulse Poling Technique for Piezoelectric Vibration Energy Harvesters using Pb(Zr,Ti)O3 Films on 200 mm SOI Wafers

    International Nuclear Information System (INIS)

    Moriwaki, N; Fujimoto, K; Suzuki, K; Kobayashi, T; Itoh, T; Maeda, R; Suzuki, Y; Makimoto, N

    2013-01-01

    Piezoelectric vibration energy harvester arrays using Pb(Zr,Ti)O 3 thin films on 200 mm SOI wafers were fabricated. In-plane distribution of influence of bipolar pulse poling technique on direct current (DC) power output from the harvesters was investigated. The results indicate that combination poling treatment of DC and bipolar pulse poling increases a piezoelectric property and reduces a dielectric constant. It means that this poling technique improves the figure of merit of sensors and harvesters. Maximum DC power from a harvester treated by DC poling after bipolar pulse poling is about five times larger than a one treated by DC poling only

  10. [From the discovery of antibiotics to emerging highly drug-resistant bacteria].

    Science.gov (United States)

    Meunier, Olivier

    2015-01-01

    The discovery of antibiotics has enabled serious infections to be treated. However, bacteria resistant to several families of antibiotics and the emergence of new highly drug-resistant bacteria constitute a public health issue in France and across the world. Actions to prevent their transmission are being put in place. Copyright © 2015 Elsevier Masson SAS. All rights reserved.

  11. Differential Effects of High-Carbohydrate and High-Fat Diet Composition on Metabolic Control and Insulin Resistance in Normal Rats

    Science.gov (United States)

    Ble-Castillo, Jorge L.; Aparicio-Trapala, María A.; Juárez-Rojop, Isela E.; Torres-Lopez, Jorge E.; Mendez, Jose D.; Aguilar-Mariscal, Hidemi; Olvera-Hernández, Viridiana; Palma-Cordova, Leydi C.; Diaz-Zagoya, Juan C.

    2012-01-01

    The macronutrient component of diets is critical for metabolic control and insulin action. The aim of this study was to compare the effects of high fat diets (HFDs) vs. high carbohydrate diets (HCDs) on metabolic control and insulin resistance in Wistar rats. Thirty animals divided into five groups (n = 6) were fed: (1) Control diet (CD); (2) High-saturated fat diet (HSFD); (3) High-unsaturated fat diet (HUFD); (4) High-digestible starch diet, (HDSD); and (5) High-resistant starch diet (HRSD) during eight weeks. HFDs and HCDs reduced weight gain in comparison with CD, however no statistical significance was reached. Calorie intake was similar in both HFDs and CD, but rats receiving HCDs showed higher calorie consumption than other groups, (p < 0.01). HRSD showed the lowest levels of serum and hepatic lipids. The HUFD induced the lowest fasting glycemia levels and HOMA-IR values. The HDSD group exhibited the highest insulin resistance and hepatic cholesterol content. In conclusion, HUFD exhibited the most beneficial effects on glycemic control meanwhile HRSD induced the highest reduction on lipid content and did not modify insulin sensitivity. In both groups, HFDs and HCDs, the diet constituents were more important factors than caloric intake for metabolic disturbance and insulin resistance. PMID:22754464

  12. High-pressure resistivity technique for quasi-hydrostatic compression experiments.

    Science.gov (United States)

    Rotundu, C R; Ćuk, T; Greene, R L; Shen, Z-X; Hemley, Russell J; Struzhkin, V V

    2013-06-01

    Diamond anvil cell techniques are now well established and powerful methods for measuring materials properties to very high pressure. However, high pressure resistivity measurements are challenging because the electrical contacts attached to the sample have to survive to extreme stress conditions. Until recently, experiments in a diamond anvil cell were mostly limited to non-hydrostatic or quasi-hydrostatic pressure media other than inert gases. We present here a solution to the problem by using focused ion beam ultrathin lithography for a diamond anvil cell loaded with inert gas (Ne) and show typical resistivity data. These ultrathin leads are deposited on the culet of the diamond and are attaching the sample to the anvil mechanically, therefore allowing for measurements in hydrostatic or nearly hydrostatic conditions of pressure using noble gases like Ne or He as pressure transmitting media.

  13. Primary drug resistance in a region with high burden of tuberculosis. A critical problem.

    Science.gov (United States)

    Villa-Rosas, Cecilia; Laniado-Laborín, Rafael; Oceguera-Palao, Lorena

    2015-01-01

    To determine rates of drug resistance in new cases of pulmonary tuberculosis in a region with a high burden of the disease. New case suspects were referred for drug susceptibility testing. 28.9% of new cases were resistant to at least one first line drug; 3.9% had a multidrug-resistant strain, 15.6% a monoresistant strain and 9.4% a polyresistant strain. Our rate of drug resistant tuberculosis in new cases is very high; this has important clinical implications, since even monoresistance can have a negative impact on the outcome of new cases treated empirically with a six month regimen.

  14. Characterization of active CMOS pixel sensors on high resistive substrate

    Energy Technology Data Exchange (ETDEWEB)

    Hirono, Toko; Hemperek, Tomasz; Huegging, Fabian; Krueger, Hans; Rymaszewski, Piotr; Wermes, Norbert [Physikalisches Institut, Universitaet Bonn, Bonn (Germany)

    2016-07-01

    Active CMOS pixel sensors are very attractive as radiation imaging pixel detector because they do not need cost-intensive fine pitch bump bonding. High radiation tolerance and time resolution are required to apply those sensors to upcoming particle physics experiments. To achieve these requirements, the active CMOS pixel sensors were developed on high resistive substrates. Signal charges are collected faster by drift in high resistive substrates than in standard low resistive substrates yielding also a higher radiation tolerance. A prototype of the active CMOS pixel sensor has been fabricated in the LFoundry 150 nm CMOS process on 2 kΩcm substrate. This prototype chip was thinned down to 300 μm and the backside has been processed and can contacted by an aluminum contact. The breakdown voltage is around -115 V, and the depletion width has been measured to be as large as 180 μm at a bias voltage of -110 V. Gain and noise of the readout circuitry agree with the designed values. Performance tests in the lab and test beam have been done before and after irradiation with X-rays and neutrons. In this presentation, the measurement results of the active CMOS prototype sensors are shown.

  15. Molecular sensing using monolayer floating gate, fully depleted SOI MOSFET acting as an exponential transducer.

    Science.gov (United States)

    Takulapalli, Bharath R

    2010-02-23

    Field-effect transistor-based chemical sensors fall into two broad categories based on the principle of signal transduction-chemiresistor or Schottky-type devices and MOSFET or inversion-type devices. In this paper, we report a new inversion-type device concept-fully depleted exponentially coupled (FDEC) sensor, using molecular monolayer floating gate fully depleted silicon on insulator (SOI) MOSFET. Molecular binding at the chemical-sensitive surface lowers the threshold voltage of the device inversion channel due to a unique capacitive charge-coupling mechanism involving interface defect states, causing an exponential increase in the inversion channel current. This response of the device is in opposite direction when compared to typical MOSFET-type sensors, wherein inversion current decreases in a conventional n-channel sensor device upon addition of negative charge to the chemical-sensitive device surface. The new sensor architecture enables ultrahigh sensitivity along with extraordinary selectivity. We propose the new sensor concept with the aid of analytical equations and present results from our experiments in liquid phase and gas phase to demonstrate the new principle of signal transduction. We present data from numerical simulations to further support our theory.

  16. 30 CFR 75.803 - Fail safe ground check circuits on high-voltage resistance grounded systems.

    Science.gov (United States)

    2010-07-01

    ... High-Voltage Distribution § 75.803 Fail safe ground check circuits on high-voltage resistance grounded systems. [Statutory Provisions] On and after September 30, 1970, high-voltage, resistance grounded systems... 30 Mineral Resources 1 2010-07-01 2010-07-01 false Fail safe ground check circuits on high-voltage...

  17. Tailoring Cytotoxicity of Antimicrobial Peptidomimetics with High Activity against Multidrug-Resistant Escherichia coli

    DEFF Research Database (Denmark)

    Jahnsen, Rasmus D; Sandberg-Schaal, Anne; Vissing, Karina Juul

    2014-01-01

    Infections with multidrug-resistant pathogens are an increasing concern for public health. Recently, subtypes of peptide-peptoid hybrids were demonstrated to display potent activity against multidrug-resistant Gram-negative bacteria. Here, structural variation of these antibacterial peptidomimetics...... cells. Thus, lead compounds with a high selectivity toward killing of clinically important multidrug-resistant E. coli were identified....

  18. Monolithic silicon photonics in a sub-100nm SOI CMOS microprocessor foundry: progress from devices to systems

    Science.gov (United States)

    Popović, Miloš A.; Wade, Mark T.; Orcutt, Jason S.; Shainline, Jeffrey M.; Sun, Chen; Georgas, Michael; Moss, Benjamin; Kumar, Rajesh; Alloatti, Luca; Pavanello, Fabio; Chen, Yu-Hsin; Nammari, Kareem; Notaros, Jelena; Atabaki, Amir; Leu, Jonathan; Stojanović, Vladimir; Ram, Rajeev J.

    2015-02-01

    We review recent progress of an effort led by the Stojanović (UC Berkeley), Ram (MIT) and Popović (CU Boulder) research groups to enable the design of photonic devices, and complete on-chip electro-optic systems and interfaces, directly in standard microelectronics CMOS processes in a microprocessor foundry, with no in-foundry process modifications. This approach allows tight and large-scale monolithic integration of silicon photonics with state-of-the-art (sub-100nm-node) microelectronics, here a 45nm SOI CMOS process. It enables natural scale-up to manufacturing, and rapid advances in device design due to process repeatability. The initial driver application was addressing the processor-to-memory communication energy bottleneck. Device results include 5Gbps modulators based on an interleaved junction that take advantage of the high resolution of the sub-100nm CMOS process. We demonstrate operation at 5fJ/bit with 1.5dB insertion loss and 8dB extinction ratio. We also demonstrate the first infrared detectors in a zero-change CMOS process, using absorption in transistor source/drain SiGe stressors. Subsystems described include the first monolithically integrated electronic-photonic transmitter on chip (modulator+driver) with 20-70fJ/bit wall plug energy/bit (2-3.5Gbps), to our knowledge the lowest transmitter energy demonstrated to date. We also demonstrate native-process infrared receivers at 220fJ/bit (5Gbps). These are encouraging signs for the prospects of monolithic electronics-photonics integration. Beyond processor-to-memory interconnects, our approach to photonics as a "More-than- Moore" technology inside advanced CMOS promises to enable VLSI electronic-photonic chip platforms tailored to a vast array of emerging applications, from optical and acoustic sensing, high-speed signal processing, RF and optical metrology and clocks, through to analog computation and quantum technology.

  19. Development of High Temperature/High Sensitivity Novel Chemical Resistive Sensor

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Chunrui [Univ. of Texas, San Antonio, TX (United States); Enriquez, Erik [Univ. of Texas, San Antonio, TX (United States); Wang, Haibing [Univ. of Texas, San Antonio, TX (United States); Xu, Xing [Univ. of Texas, San Antonio, TX (United States); Bao, Shangyong [Univ. of Texas, San Antonio, TX (United States); Collins, Gregory [Univ. of Texas, San Antonio, TX (United States)

    2013-08-13

    The research has been focused to design, fabricate, and develop high temperature/high sensitivity novel multifunctional chemical sensors for the selective detection of fossil energy gases used in power and fuel systems. By systematically studying the physical properties of the LnBaCo2O5+d (LBCO) [Ln=Pr or La] thin-films, a new concept chemical sensor based high temperature chemical resistant change has been developed for the application for the next generation highly efficient and near zero emission power generation technologies. We also discovered that the superfast chemical dynamic behavior and an ultrafast surface exchange kinetics in the highly epitaxial LBCO thin films. Furthermore, our research indicates that hydrogen can superfast diffuse in the ordered oxygen vacancy structures in the highly epitaxial LBCO thin films, which suggest that the LBCO thin film not only can be an excellent candidate for the fabrication of high temperature ultra sensitive chemical sensors and control systems for power and fuel monitoring systems, but also can be an excellent candidate for the low temperature solid oxide fuel cell anode and cathode materials.

  20. Halogen effect for improving high temperature oxidation resistance of Ti-50Al by anodization

    Science.gov (United States)

    Mo, Min-Hua; Wu, Lian-Kui; Cao, Hua-Zhen; Lin, Jun-Pin; Zheng, Guo-Qu

    2017-06-01

    The high temperature oxidation resistance of Ti-50Al was significantly improved via halogen effect which was achieved by anodizing in an ethylene glycol solution containing with fluorine ion. The anodized Ti-50Al with holes and micro-cracks could be self-repaired during oxidation at 1000 °C. The thickness of the oxide scale increases with the prolonging of oxidation time. On the basis of halogen effect for improving the high temperature oxidation resistance of Ti-50Al by anodization, only fluorine addition into the electrolyte can effectively improve the high temperature oxidation resistance of Ti-50Al.

  1. Monitoring of high temperature zone by resistivity tomography during in-situ heater test in sedimentary soft rocks

    International Nuclear Information System (INIS)

    Kubota, Kenji; Suzuki, Koichi; Ikenoya, Takafumi; Takakura, Nozomu; Tani, Kazuo

    2008-01-01

    In-situ heater test has been conducted to evaluate the influence of high temperature in an underground facility at a depth of 50 m. Resistivity monitoring is thought to be effective to map the extent of the high temperature zone. So we have conducted resistivity tomography during the heater test. As a result, low resistivity zone was appeared near the heated area as starting the heating, and the zone was expanded. Resistivity of rock is proportional to resistivity of pore water. It is known that pore water resistivity decreases as the temperature rise. This suggests that high temperature zone is detected and spatial distribution of temperature can be mapped by resistivity tomography. (author)

  2. Effects of high-intensity interval cycling performed after resistance training on muscle strength and hypertrophy.

    Science.gov (United States)

    Tsitkanou, S; Spengos, K; Stasinaki, A-N; Zaras, N; Bogdanis, G; Papadimas, G; Terzis, G

    2017-11-01

    Aim of the study was to investigate whether high-intensity interval cycling performed immediately after resistance training would inhibit muscle strength increase and hypertrophy expected from resistance training per se. Twenty-two young men were assigned into either resistance training (RE; N = 11) or resistance training plus high-intensity interval cycling (REC; N = 11). Lower body muscle strength and rate of force development (RFD), quadriceps cross-sectional area (CSA) and vastus lateralis muscle architecture, muscle fiber type composition and capillarization, and estimated aerobic capacity were evaluated before and after 8 weeks of training (2 times per week). Muscle strength and quadriceps CSA were significantly and similarly increased after both interventions. Fiber CSA increased significantly and similarly after both RE (type I: 13.6 ± 3.7%, type IIA: 17.6 ± 4.4%, type IIX: 23.2 ± 5.7%, P high-intensity interval cycling performed after heavy-resistance exercise may not inhibit resistance exercise-induced muscle strength/hypertrophy after 2 months of training, while it prompts aerobic capacity and muscle capillarization. The addition of high-intensity cycling after heavy-resistance exercise may decrease RFD partly due to muscle architectural changes. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  3. Breeding of new variety Yangfumai 4 with high resistance to wheat yellow mosaic disease

    International Nuclear Information System (INIS)

    He Zhentian; Chen Xiulan; Zhang Rong; Wang Jianhua; Wang Jinrong; Liu Jian

    2011-01-01

    To control the infection of wheat yellow mosaic disease,new wheat variety with high-yield, disease-resistant was selected. Ningmai 9, which carries yellow mosaic disease resistant genes, was used as original material. Combination of conventional breeding technique and radiation methods, a new wheat variety Yangfumai 4 was developed during 1996-2007, and registered in 2008. The new wheat variety with high yield and resistance to yellow mosaic disease is suitable to plant in the Yangtze River region. (authors)

  4. The high temperature resistivity of Ba2YCu3O7-chi

    International Nuclear Information System (INIS)

    Xingkui, Z.; Shining, Z.; Hao, W.; Shiyuan, Z.; Ningshen, Z.; Ziran, X.

    1988-01-01

    The high temperature resistivity (rho), thermogravimetry (TG) and derivative thermogravimetric (DTG) have been used to characterize superconductor Ba 2 YCu 3 O 7-chi (BYCO) in O 2 , air and N 2 . The resistivity is linear from room temperature at 350 0 C and then deviate from linearity with oxygen evolution, the derivative of resistivity drho/dT increases abruptly near orthorhombic to tetragonal phase transition. These phenomena can give good explanations for a two-band Drude model

  5. The High Temperature Resistivity of Ba2YCu3O7-x

    Science.gov (United States)

    Xingkui, Zhang; Shining, Zhu; Hao, Wang; Shiyuan, Zhang; Su, Ye; Ningshen, Zhou; Ziran, Xu

    The high temperature resistivity (ρ), thermogravimetry (TG) and derivative thermogravimetry (DTG) have been used to characterize superconductor Ba2YCu3O7-x (BYCO) in O2, air and N2. The resistivity is linear from room temperature to 350°C and then deviate from linearity with oxygen evolution, the derivative of resistivity dρ/dT increases abruptly near orthorhombic to tetragonal phase transition. These phenomena can give good explanations for a two-band Drude model.

  6. Primary drug resistance in a region with high burden of tuberculosis. A critical problem

    Directory of Open Access Journals (Sweden)

    Cecilia Villa-Rosas

    2015-03-01

    Full Text Available Objective. To determine rates of drug resistance in new cases of pulmonary tuberculosis in a region with a high burden of the disease. Materials and methods. New case suspects were referred for drug susceptibility testing. Results. 28.9% of new cases were resistant to at least one first line drug; 3.9% had a multidrug-resistant strain, 15.6% a monoresistant strain and 9.4% a polyresistant strain. Conclusion. Our rate of drug resistant tuberculosis in new cases is very high; this has important clinical implications, since even monoresistance can have a negative impact on the outcome of new cases treated empirically with a six month regimen.

  7. 500 C Electronic Packaging and Dielectric Materials for High Temperature Applications

    Science.gov (United States)

    Chen, Liang-yu; Neudeck, Philip G.; Spry, David J.; Beheim, Glenn M.; Hunter, Gary W.

    2016-01-01

    High-temperature environment operable sensors and electronics are required for exploring the inner solar planets and distributed control of next generation aeronautical engines. Various silicon carbide (SiC) high temperature sensors, actuators, and electronics have been demonstrated at and above 500C. A compatible packaging system is essential for long-term testing and application of high temperature electronics and sensors. High temperature passive components are also necessary for high temperature electronic systems. This talk will discuss ceramic packaging systems developed for high temperature electronics, and related testing results of SiC circuits at 500C and silicon-on-insulator (SOI) integrated circuits at temperatures beyond commercial limit facilitated by these high temperature packaging technologies. Dielectric materials for high temperature multilayers capacitors will also be discussed. High-temperature environment operable sensors and electronics are required for probing the inner solar planets and distributed control of next generation aeronautical engines. Various silicon carbide (SiC) high temperature sensors, actuators, and electronics have been demonstrated at and above 500C. A compatible packaging system is essential for long-term testing and eventual applications of high temperature electronics and sensors. High temperature passive components are also necessary for high temperature electronic systems. This talk will discuss ceramic packaging systems developed for high electronics and related testing results of SiC circuits at 500C and silicon-on-insulator (SOI) integrated circuits at temperatures beyond commercial limit facilitated by high temperature packaging technologies. Dielectric materials for high temperature multilayers capacitors will also be discussed.

  8. Electrical Control of Structural and Physical Properties via Strong Spin-Orbit Interactions in Sr2IrO4

    Science.gov (United States)

    Cao, G.; Terzic, J.; Zhao, H. D.; Zheng, H.; De Long, L. E.; Riseborough, Peter S.

    2018-01-01

    Electrical control of structural and physical properties is a long-sought, but elusive goal of contemporary science and technology. We demonstrate that a combination of strong spin-orbit interactions (SOI) and a canted antiferromagnetic Mott state is sufficient to attain that goal. The antiferromagnetic insulator Sr2IrO4 provides a model system in which strong SOI lock canted Ir magnetic moments to IrO6 octahedra, causing them to rigidly rotate together. A novel coupling between an applied electrical current and the canting angle reduces the Néel temperature and drives a large, nonlinear lattice expansion that closely tracks the magnetization, increases the electron mobility, and precipitates a unique resistive switching effect. Our observations open new avenues for understanding fundamental physics driven by strong SOI in condensed matter, and provide a new paradigm for functional materials and devices.

  9. The effects of varying resistance-training loads on intermediate- and high-velocity-specific adaptations.

    Science.gov (United States)

    Jones, K; Bishop, P; Hunter, G; Fleisig, G

    2001-08-01

    The purpose of this study was to compare changes in velocity-specific adaptations in moderately resistance-trained athletes who trained with either low or high resistances. The study used tests of sport-specific skills across an intermediate- to high-velocity spectrum. Thirty NCAA Division I baseball players were randomly assigned to either a low-resistance (40-60% 1 repetition maximum [1RM]) training group or a high-resistance (70-90% 1RM) training group. Both of the training groups intended to maximallv accelerate each repetition during the concentric phase (IMCA). The 10 weeks of training consisted of 4 training sessions a week using basic core exercises. Peak force, velocity, and power were evaluated during set angle and depth jumps as well as weighted jumps using 30 and 50% 1RM. Squat 1RMs were also tested. Although no interactions for any of the jump tests were found, trends supported the hypothesis of velocity-specific training. Percentage gains suggest that the combined use of heavier training loads (70-90% 1RM) and IMCA tend to increase peak force in the lower-body leg and hip extensors. Trends also show that the combined use of lighter training loads (40-60% 1RM) and IMCA tend to increase peak power and peak velocity in the lower-body leg and hip extensors. The high-resistance group improved squats more than the low-resistance group (p training loads and IMCA to increase 1RM strength in the lower bodies of resistance-trained athletes.

  10. Breeding of a new potato variety 'Nagasaki Kogane' with high eating quality, high carotenoid content, and resistance to diseases and pests.

    Science.gov (United States)

    Sakamoto, Yu; Mori, Kazuyuki; Matsuo, Yuuki; Mukojima, Nobuhiro; Watanabe, Wataru; Sobaru, Norio; Tamiya, Seiji; Nakao, Takashi; Hayashi, Kazuya; Watanuki, Hitomi; Nara, Kazuhiro; Yamazaki, Kaoru; Chaya, Masataka

    2017-06-01

    'Nagasaki Kogane' is a new potato variety bred from a cross between 'Saikai 35' as a female parent and 'Saikai 33' as a male. 'Saikai 35' is resistant to bacterial wilt, contains the H1 and Ry chc genes for resistance to the potato cyst nematode (PCN) and potato virus Y (PVY), respectively, and has high carotenoid content, while 'Saikai 33' has large and high-yielding tubers and is resistant to both bacterial wilt and PCN. The carotenoid content of 'Nagasaki Kogane' is higher than that of 'Dejima', a common double cropping variety. The taste quality of steamed 'Nagasaki Kogane' is comparable to that of 'Inca-no-mezame' tubers, which has high levels of carotenoid, and superior to 'Nishiyutaka', another popular double cropping variety. 'Nagasaki Kogane' is suitable for French fries, because its tuber has high starch content. The marketable yield of 'Nagasaki Kogane' was higher than that of 'Inca-no-mezame' in spring cropping, although it was lower than that of 'Nishiyutaka' in double cropping regions. 'Nagasaki Kogane' tubers are larger on average than 'Inca-no-mezame' tubers in spring cropping. Moreover, the 'Nagasaki Kogane' variety is resistant to PCN and PVY, and exhibits a high level of resistance to bacterial wilt.

  11. Analysis of photonic spot profile converter and bridge structure on SOI platform for horizontal and vertical integration

    Science.gov (United States)

    Majumder, Saikat; Jha, Amit Kr.; Biswas, Aishik; Banerjee, Debasmita; Ganguly, Dipankar; Chakraborty, Rajib

    2017-08-01

    Horizontal spot size converter required for horizontal light coupling and vertical bridge structure required for vertical integration are designed on high index contrast SOI platform in order to form more compact integrated photonic circuits. Both the structures are based on the concept of multimode interference. The spot size converter can be realized by successive integration of multimode interference structures with reducing dimension on horizontal plane, whereas the optical bridge structure consists of a number of vertical multimode interference structure connected by single mode sections. The spot size converter can be modified to a spot profile converter when the final single mode waveguide is replaced by a slot waveguide. Analysis have shown that by using three multimode sections in a spot size converter, an Gaussian input having spot diameter of 2.51 μm can be converted to a spot diameter of 0.25 μm. If the output single mode section is replaced by a slot waveguide, this input profile can be converted to a flat top profile of width 50 nm. Similarly, vertical displacement of 8μm is possible by using a combination of two multimode sections and three single mode sections in the vertical bridge structure. The analyses of these two structures are carried out for both TE and TM modes at 1550 nm wavelength using the semi analytical matrix method which is simple and fast in computation time and memory. This work shows that the matrix method is equally applicable for analysis of horizontally as well as vertically integrated photonic circuit.

  12. Characterization of silicon-on-insulator wafers

    Science.gov (United States)

    Park, Ki Hoon

    The silicon-on-insulator (SOI) is attracting more interest as it is being used for an advanced complementary-metal-oxide-semiconductor (CMOS) and a base substrate for novel devices to overcome present obstacles in bulk Si scaling. Furthermore, SOI fabrication technology has improved greatly in recent years and industries produce high quality wafers with high yield. This dissertation investigated SOI material properties with simple, yet accurate methods. The electrical properties of as-grown wafers such as electron and hole mobilities, buried oxide (BOX) charges, interface trap densities, and carrier lifetimes were mainly studied. For this, various electrical measurement techniques were utilized such as pseudo-metal-oxide-semiconductor field-effect-transistor (PseudoMOSFET) static current-voltage (I-V) and transient drain current (I-t), Hall effect, and MOS capacitance-voltage/capacitance-time (C-V/C-t). The electrical characterization, however, mainly depends on the pseudo-MOSFET method, which takes advantage of the intrinsic SOI structure. From the static current-voltage and pulsed measurement, carrier mobilities, lifetimes and interface trap densities were extracted. During the course of this study, a pseudo-MOSFET drain current hysteresis regarding different gate voltage sweeping directions was discovered and the cause was revealed through systematic experiments and simulations. In addition to characterization of normal SOI, strain relaxation of strained silicon-on-insulator (sSOI) was also measured. As sSOI takes advantage of wafer bonding in its fabrication process, the tenacity of bonding between the sSOI and the BOX layer was investigated by means of thermal treatment and high dose energetic gamma-ray irradiation. It was found that the strain did not relax with processes more severe than standard CMOS processes, such as anneals at temperature as high as 1350 degree Celsius.

  13. Amorphous silicon as high index photonic material

    Science.gov (United States)

    Lipka, T.; Harke, A.; Horn, O.; Amthor, J.; Müller, J.

    2009-05-01

    Silicon-on-Insulator (SOI) photonics has become an attractive research topic within the area of integrated optics. This paper aims to fabricate SOI-structures for optical communication applications with lower costs compared to standard fabrication processes as well as to provide a higher flexibility with respect to waveguide and substrate material choice. Amorphous silicon is deposited on thermal oxidized silicon wafers with plasma-enhanced chemical vapor deposition (PECVD). The material is optimized in terms of optical light transmission and refractive index. Different a-Si:H waveguides with low propagation losses are presented. The waveguides were processed with CMOS-compatible fabrication technologies and standard DUV-lithography enabling high volume production. To overcome the large mode-field diameter mismatch between incoupling fiber and sub-μm waveguides three dimensional, amorphous silicon tapers were fabricated with a KOH etched shadow mask for patterning. Using ellipsometric and Raman spectroscopic measurements the material properties as refractive index, layer thickness, crystallinity and material composition were analyzed. Rapid thermal annealing (RTA) experiments of amorphous thin films and rib waveguides were performed aiming to tune the refractive index of the deposited a-Si:H waveguide core layer after deposition.

  14. Compliments, motivation et estime de soi : l'effet paradoxal de féliciter les capacités des enfants

    DEFF Research Database (Denmark)

    Hansen, Mikkel

    2014-01-01

    motivation may suffer when given feedback that evaluates their person. We discuss links between different types of feedback and children’s motivational frameworks, including their self-esteem. // RÉSUMÉ L’objectif de compliments tels que « T’es très fort, très intelligent » est d’encourager les enfants, mais...... des recherches récentes montrent que de telles propositions en feedback peuvent dissuader les enfants de s’engager dans des tâches difficiles, réduisant ainsi leurs apprentissages. Nous exposerons les travaux de Dweck (e.g., 2000) qui démontrent comment les compliments centrés sur l’évaluation de la...... personne influent négativement sur la motivation intrinsèque du sujet. Nous discuterons des liens existant entre différents types de feedback et les cadres motivationnels où évoluent les enfants, ainsi que de leur estime de soi....

  15. Diffusion barrier coatings for high temperature corrosion resistance of advanced carbon/carbon composites

    International Nuclear Information System (INIS)

    Singh Raman, K.S.

    2000-01-01

    Carbon possesses an excellent combination of mechanical and thermal properties, viz., excellent creep resistance at temperatures up to 2400 deg C in non-oxidizing environment and a low thermal expansion coefficient. These properties make carbon a potential material for very high temperature applications. However, the use of carbon materials at high temperatures is considerably restricted due to their extremely poor oxidation resistance at temperatures above 400 deg C. The obvious choice for improving high temperature oxidation resistance of such materials is a suitable diffusion barrier coating. This paper presents an overview of recent developments in advanced diffusion- and thermal-barrier coatings for ceramic composites, with particular reference to C/C composites. The paper discusses the development of multiphase and multi-component ceramic coatings, and recent investigations on the oxidation resistance of the coated C/C composites. The paper also discusses the cases of innovative engineering solutions for traditional problems with the ceramic coatings, and the scope of intelligent processing in developing coatings for the C/C composites. Copyright (2000) AD-TECH - International Foundation for the Advancement of Technology Ltd

  16. 30 CFR 77.803 - Fail safe ground check circuits on high-voltage resistance grounded systems.

    Science.gov (United States)

    2010-07-01

    ... circuits on high-voltage resistance grounded systems. On and after September 30, 1971, all high-voltage... 30 Mineral Resources 1 2010-07-01 2010-07-01 false Fail safe ground check circuits on high-voltage resistance grounded systems. 77.803 Section 77.803 Mineral Resources MINE SAFETY AND HEALTH ADMINISTRATION...

  17. RNAi validation of resistance genes and their interactions in the highly DDT-resistant 91-R strain of Drosophila melanogaster.

    Science.gov (United States)

    Gellatly, Kyle J; Yoon, Kyong Sup; Doherty, Jeffery J; Sun, Weilin; Pittendrigh, Barry R; Clark, J Marshall

    2015-06-01

    4,4'-dichlorodiphenyltrichloroethane (DDT) has been re-recommended by the World Health Organization for malaria mosquito control. Previous DDT use has resulted in resistance, and with continued use resistance will increase in terms of level and extent. Drosophila melanogaster is a model dipteran that has many available genetic tools, numerous studies done on insecticide resistance mechanisms, and is related to malaria mosquitoes allowing for extrapolation. The 91-R strain of D. melanogaster is highly resistant to DDT (>1500-fold), however, there is no mechanistic scheme that accounts for this level of resistance. Recently, reduced penetration, increased detoxification, and direct excretion have been identified as resistance mechanisms in the 91-R strain. Their interactions, however, remain unclear. Use of UAS-RNAi transgenic lines of D. melanogaster allowed for the targeted knockdown of genes putatively involved in DDT resistance and has validated the role of several cuticular proteins (Cyp4g1 and Lcp1), cytochrome P450 monooxygenases (Cyp6g1 and Cyp12d1), and ATP binding cassette transporters (Mdr50, Mdr65, and Mrp1) involved in DDT resistance. Further, increased sensitivity to DDT in the 91-R strain after intra-abdominal dsRNA injection for Mdr50, Mdr65, and Mrp1 was determined by a DDT contact bioassay, directly implicating these genes in DDT efflux and resistance. Copyright © 2015 Elsevier Inc. All rights reserved.

  18. Novel detectors for silicon based microdosimetry, their concepts and applications

    Science.gov (United States)

    Rosenfeld, Anatoly B.

    2016-02-01

    This paper presents an overview of the development of semiconductor microdosimetry and the most current (state-of-the-art) Silicon on Insulator (SOI) detectors for microdosimetry based mainly on research and development carried out at the Centre for Medical Radiation Physics (CMRP) at the University of Wollongong with collaborators over the last 18 years. In this paper every generation of CMRP SOI microdosimeters, including their fabrication, design, and electrical and charge collection characterisation are presented. A study of SOI microdosimeters in various radiation fields has demonstrated that under appropriate geometrical scaling, the response of SOI detectors with the well-known geometry of microscopically sensitive volumes will record the energy deposition spectra representative of tissue cells of an equivalent shape. This development of SOI detectors for microdosimetry with increased complexity has improved the definition of microscopic sensitive volume (SV), which is modelling the deposition of ionising energy in a biological cell, that are led from planar to 3D SOI detectors with an array of segmented microscopic 3D SVs. The monolithic ΔE-E silicon telescope, which is an alternative to the SOI silicon microdosimeter, is presented, and as an example, applications of SOI detectors and ΔE-E monolithic telescope for microdosimetery in proton therapy field and equivalent neutron dose measurements out of field are also presented. An SOI microdosimeter "bridge" with 3D SVs can derive the relative biological effectiveness (RBE) in 12C ion radiation therapy that matches the tissue equivalent proportional counter (TEPC) quite well, but with outstanding spatial resolution. The use of SOI technology in experimental microdosimetry offers simplicity (no gas system or HV supply), high spatial resolution, low cost, high count rates, and the possibility of integrating the system onto a single device with other types of detectors.

  19. Photo-induced current transient spectroscopy for high-resistivity neutron-transmutation-doped silicon

    International Nuclear Information System (INIS)

    Tokuda, Yutaka; Inoue, Yajiro; Usami, Akira

    1987-01-01

    Defects in high-resistivity neutron-transmutation-doped (NTD) silicon prior to annealing were studied by photo-induced current transient spectroscopy (PICTS). The thermal-neutron fluence was 9.5 x 10 17 cm -2 to give a resistivity of about 30 Ω after annealing, and the fast-neutron fluence was 9.5 x 10 16 cm -2 . Four traps with thermal emission activation energies of 0.15, 0.41. 0.47 and 0.50 eV were observed in NTD silicon. A trap with the thermal emission activation energy of 0.15 eV was considered to correspond to the divacancy. Although the clustered nature of the defects was observed, PICTS measurements suggest that the material state of high-resistivity NTD silicon is still crystalline and not amorphous. (author)

  20. Adaptation to high current using low external resistances eliminates power overshoot in microbial fuel cells

    KAUST Repository

    Hong, Yiying; Call, Douglas F.; Werner, Craig M.; Logan, Bruce E.

    2011-01-01

    . Acclimation of the high external resistance reactors for a few cycles to low external resistance (5. Ω), and therefore higher current densities, eliminated power overshoot. MFCs initially acclimated to low external resistances exhibited both higher current

  1. Photographie et représentation de soi dans W ou le Souvenir d’enfance de Georges Perec

    Directory of Open Access Journals (Sweden)

    Siriki Ouattara

    2014-04-01

    Full Text Available W ou le souvenir d’enfance convoque ouvertement en son sein des éléments paralittéraires comme la photographie qui le déconstruit. Le désir de Georges Perec de reconstituer ou de reconstruire son histoire est si ardent qu’il lui a consacré ce roman particulier. Dans cette œuvre autobiographique atypique, l’auteur fait appel à diverses techniques de représentation de soi, la photographie. Cette dernière est un élément nouveau en littérature (même s´elle y est prise en compte depuis le dix-neuvième siècle qui redéfinit nombre d´habitudes littéraires. Ainsi, elle occasionne un renouvellement de l´écriture à travers l´institution de nouveaux rapports qui, tout en changeant les vieux rôles narratifs, invitent à dire autrement, voire à raconter différemment. La photographie offre alors l´occasion d´expérimenter une nouvelle discursivité de la représentation.

  2. Status of insecticide resistance in high-risk malaria provinces in Afghanistan.

    Science.gov (United States)

    Ahmad, Mushtaq; Buhler, Cyril; Pignatelli, Patricia; Ranson, Hilary; Nahzat, Sami Mohammad; Naseem, Mohammad; Sabawoon, Muhammad Farooq; Siddiqi, Abdul Majeed; Vink, Martijn

    2016-02-18

    Insecticide resistance seriously threatens the efficacy of vector control interventions in malaria endemic countries. In Afghanistan, the status of insecticide resistance is largely unknown while distribution of long-lasting insecticidal nets has intensified in recent years. The main objective of this study was thus to measure the level of resistance to four classes of insecticides in provinces with medium to high risk of malaria transmission. Adult female mosquitoes were reared from larvae successively collected in the provinces of Nangarhar, Kunar, Badakhshan, Ghazni and Laghman from August to October 2014. WHO insecticide susceptibility tests were performed with DDT (4 %), malathion (5 %), bendiocarb (0.1 %), permethrin (0.75 %) and deltamethrin (0.05 %). In addition, the presence of kdr mutations was investigated in deltamethrin resistant and susceptible Anopheles stephensi mosquitoes collected in the eastern provinces of Nangarhar and Kunar. Analyses of mortality rates revealed emerging resistance against all four classes of insecticides in the provinces located east and south of the Hindu Kush mountain range. Resistance is observed in both An. stephensi and Anopheles culicifacies, the two dominant malaria vectors in these provinces. Anopheles superpictus in the northern province of Badakhshan shows a different pattern of susceptibility with suspected resistance observed only for deltamethrin and bendiocarb. Genotype analysis of knock down resistance (kdr) mutations at the voltage-gated channel gene from An. stephensi mosquitoes shows the presence of the known resistant alleles L1014S and L1014F. However, a significant fraction of deltamethrin-resistant mosquitoes were homozygous for the 1014L wild type allele indicating that other mechanisms must be considered to account for the observed pyrethroid resistance. This study confirms the importance of monitoring insecticide resistance for the development of an integrated vector management in Afghanistan. The

  3. High Radiation Resistance IMM Solar Cell

    Science.gov (United States)

    Pan, Noren

    2015-01-01

    Due to high launch costs, weight reduction is a key driver for the development of new solar cell technologies suitable for space applications. This project is developing a unique triple-junction inverted metamorphic multijunction (IMM) technology that enables the manufacture of very lightweight, low-cost InGaAsP-based multijunction solar cells. This IMM technology consists of indium (In) and phosphorous (P) solar cell active materials, which are designed to improve the radiation-resistant properties of the triple-junction solar cell while maintaining high efficiency. The intrinsic radiation hardness of InP materials makes them of great interest for building solar cells suitable for deployment in harsh radiation environments, such as medium Earth orbit and missions to the outer planets. NASA Glenn's recently developed epitaxial lift-off (ELO) process also will be applied to this new structure, which will enable the fabrication of the IMM structure without the substrate.

  4. Development of a leak detection system using high temperature-resistant microphones

    International Nuclear Information System (INIS)

    Morishita, Yoshitsugu; Mochizuki, Hiroyasu; Watanabe, Kenshiu; Nakamura, Takahisa; Nakajima, Yoshiaki; Yamauchi, Tatsuya

    1991-01-01

    This report describes the development of a detection system of coolant leak from an inlet feeder pipe of an Advanced Thermal Reactor (ATR) with high temperature-resistant microphones. A microphone having resistance to both high temperature and high radiation dose has been developed at first. The characteristics with regard to leakage sound, attenuation of sound level in a heat insulating box for the inlet feeder pipes and background noise were clarified by laboratory experiments and measurements in the prototype ATR 'Fugen'. On the basis of these experimental findings, appropriate frequency ranges were surveyed to detect the leakage sound with a high S/N ratio under the background noise. Reliability of the system to a malfunction caused by burst-type noises observed in the plant was also investigated by statistical analyses. Finally, it was confirmed that the present method could detect a leak within a couple of seconds. (author)

  5. IncA/C plasmids conferring high azithromycin resistance in vibrio cholerae.

    Science.gov (United States)

    Wang, Ruibai; Liu, Haican; Zhao, Xiuqin; Li, Jie; Wan, Kanglin

    2018-01-01

    Azithromycin (AZM) is a clinically important antibiotic against Vibrio cholerae, especially for inhibiting V. cholerae colonisation of the intestine and for the treatment of severe cholera in children and pregnant women. An IncA/C plasmid was isolated from two high minimum inhibitory concentration (MIC) AZM-resistant V. cholerae strains of the two mainly pathogenic serogroups (O1 and O139) isolated in China. In the 172 predicted open reading frames (ORFs), 16 genes were related to antibiotic resistance, of which 5 were well-defined genes associated with macrolide resistance. The five macrolide resistance genes distributed in two clusters, mphR-mrx-mph(K) and mel-mph2, flanked by insertion sequence elements and involving two kinds of resistance mechanism. Deletion of the complete region of the two clusters deceased the AZM MIC from ≥64 µg/mL to ≤0.5 µg/mL. This IncA/C plasmid shows great ability to accumulate antibiotic resistance genes. In addition to 11 resistance genes to other antibiotics, 5 macrolide resistance genes with different function were gathered repeatedly through transposition on one plasmid. This genotype could not be simply explained by antibiotic stress applied on the host from the environment or treatment. These phosphorylases and transmembrane transporters might be involved in the transport and metabolism of other non-antibiotic substances, enabling this kind of plasmid to propagate better in the host. Copyright © 2017 Elsevier B.V. and International Society of Chemotherapy. All rights reserved.

  6. The High-Temperature Resistance Properties of Polysiloxane/Al Coatings with Low Infrared Emissivity

    Directory of Open Access Journals (Sweden)

    Jun Zhao

    2018-03-01

    Full Text Available High-temperature-resistant coatings with low infrared emissivity were prepared using polysiloxane resin and flake aluminum as the adhesive and pigment, respectively. The heat resistance mechanisms of the polysiloxane/Al coating were systematically investigated. The composition, surface morphology, infrared reflectance spectra, and thermal expansion dimension (ΔL of the coatings were characterized by X-ray photoelectron spectroscopy (XPS, field emission scanning electron microscopy (FE-SEM, Fourier transform infrared spectroscopy, and thermal mechanical analysis (TMA, respectively. The results show that thermal decomposition of the resin and mismatch of ΔL between the coating and the substrate facilitate the high temperature failure of the coating. A suitable amount of flake aluminum pigments could restrain the thermal decomposition of the resin and could increase the match degree of ΔL between the coating and substrate, leading to an enhanced thermal resistance of the coating. Our results find that a coating with a pigment to binder ratio (P/B ratio of 1.0 could maintain integrity until 600 °C, and the infrared emissivity was as low as 0.27. Hence, a coating with high-temperature resistance and low emissivity was obtained. Such coatings can be used for infrared stealth technology or energy savings in high-temperature equipment.

  7. Summer freezing resistance: a critical filter for plant community assemblies in Mediterranean high mountains

    Directory of Open Access Journals (Sweden)

    David Sánchez Pescador

    2016-02-01

    Full Text Available Assessing freezing community response and whether freezing resistance is related to other functional traits is essential for understanding alpine community assemblages, particularly in Mediterranean environments where plants are exposed to freezing temperatures and summer droughts. Thus, we characterized the leaf freezing resistance of 42 plant species in 38 plots at Sierra de Guadarrama (Spain by measuring their ice nucleation temperature, freezing point (FP, and low-temperature damage (LT50, as well as determining their freezing resistance mechanisms (i.e., tolerance or avoidance. The community response to freezing was estimated for each plot as community weighted means (CWMs and functional diversity, and we assessed their relative importance with altitude. We established the relationships between freezing resistance, growth forms, and four key plant functional traits (i.e., plant height, specific leaf area, leaf dry matter content, and seed mass. There was a wide range of freezing resistance responses and more than in other alpine habitats. At the community level, the CWMs of FP and LT50 responded negatively to altitude, whereas the functional diversity of both traits increased with altitude. The proportion of freezing-tolerant species also increased with altitude. The ranges of FP and LT50 varied among growth forms, and only the leaf dry matter content correlated negatively with freezing-resistance traits. Summer freezing events represent important abiotic filters for assemblies of Mediterranean high mountain communities, as suggested by the CWMs. However, a concomitant summer drought constraint may also explain the high freezing resistance of species that thrive in these areas and the lower functional diversity of freezing resistance traits at lower altitudes. Leaves with high dry matter contents may maintain turgor at lower water potential and enhance drought tolerance in parallel to freezing resistance. This adaptation to drought seems to

  8. Development of a Long-Life-Cycle, Highly Water-Resistant Solar Reflective Retrofit Roof Coating

    Energy Technology Data Exchange (ETDEWEB)

    Polyzos, Georgios [ORNL; Hunter, Scott Robert [ORNL; Sharma, Jaswinder K [ORNL; Cheng, Mengdawn [ORNL; Chen, Sharon S [Lawrence Berkeley National Laboratory (LBNL); Demarest, Victoria [Dow Chemical Company; Fabiny, William [Dow Chemical Company; Destaillats, Hugo [Lawrence Berkeley National Laboratory (LBNL); Levinson, Ronnen [Lawrence Berkeley National Laboratory (LBNL)

    2016-03-04

    Highly water-resistant and solar-reflective coatings for low-slope roofs are potentially among the most economical retrofit approaches to thermal management of the building envelope. Therefore, they represent a key building technology research program within the Department of Energy. Research efforts in industry and the Department of Energy are currently under way to increase long-term solar reflectance on a number of fronts. These include new polymer coatings technologies to provide longer-lasting solar reflectivity and improved test methodologies to predict long-term soiling and microbial performance. The focus on long-term improvements in soiling and microbial resistance for maximum reflectance does not address the single most important factor impacting the long-term sustainability of low-slope roof coatings: excellent water resistance. The hydrophobic character of asphaltic roof products makes them uniquely suitable for water resistance, but their low albedo and poor exterior durability are disadvantages. A reflective coating that maintains very high water resistance with increased long-term resistance to soiling and microbial activity would provide additional energy savings and extend roof service life.

  9. Exceptionally high cavitation erosion and corrosion resistance of a high entropy alloy.

    Science.gov (United States)

    Nair, R B; Arora, H S; Mukherjee, Sundeep; Singh, S; Singh, H; Grewal, H S

    2018-03-01

    Cavitation erosion and corrosion of structural materials are serious concerns for marine and offshore industries. Durability and performance of marine components are severely impaired due to degradation from erosion and corrosion. Utilization of advanced structural materials can play a vital role in limiting such degradation. High entropy alloys (HEAs) are a relatively new class of advanced structural materials with exceptional properties. In the present work, we report on the cavitation erosion behavior of Al 0.1 CoCrFeNi HEA in two different media: distilled water with and without 3.5wt% NaCl. For comparison, conventionally used stainless steel SS316L was also evaluated in identical test conditions. Despite lower hardness and yield strength, the HEA showed significantly longer incubation period and lower erosion-corrosion rate (nearly 1/4th) compared to SS316L steel. Enhanced erosion resistance of HEA was attributed to its high work-hardening behavior and stable passivation film on the surface. The Al 0.1 CoCrFeNi HEA showed lower corrosion current density, high pitting resistance and protection potential compared to SS316L steel. Further, HEA showed no evidence of intergranular corrosion likely due to the absence of secondary precipitates. Although, the degradation mechanisms (formation of pits and fatigue cracks) were similar for both the materials, the damage severity was found to be much higher for SS316L steel compared to HEA. Copyright © 2017 Elsevier B.V. All rights reserved.

  10. Output pulse-shapes of position-sensitive proportional counters using high resistance single wire

    International Nuclear Information System (INIS)

    Iwatani, Kazuo; Nishiyama, Fumitaka; Hasai, Hiromi

    1980-01-01

    The measurements and model analysis of the output pulse-shapes from a single wire proportional counter (SWPC) which has a high resistance anode are described. The characteristics of the observed pulse-shapes are determined by only one parameter which is a function of anode resistance and load resistance and they are reproduced by a simple model. Using this model, the methods for position read-out are discussed in a systematical way. (author)

  11. High alkali-resistant basalt fiber for reinforcing concrete

    International Nuclear Information System (INIS)

    Lipatov, Ya.V.; Gutnikov, S.I.; Manylov, M.S.; Zhukovskaya, E.S.; Lazoryak, B.I.

    2015-01-01

    Highlights: • Doping of basalt fiber with ZrSiO 4 increased its alkali resistance. • Alkali treatment results in formation of protective surface layer on fibers. • Morphology and chemical composition of surface layer were investigated. • Mechanical properties of fibers were analyzed by a Weibull distribution. • Zirconia doped basalt fibers demonstrate high performance in concrete. - Abstract: Basalt glasses and fibers with zirconia content in the range from 0 to 7 wt% were obtained using ZrSiO 4 as a zirconium source. Weight loss and tensile strength loss of fibers after refluxing in alkali solution were determined. Basalt fiber with 5.7 wt% ZrO 2 had the best alkali resistance properties. Alkali treatment results in formation of protective surface layer on fibers. Morphology and chemical composition of surface layer were investigated. It was shown that alkali resistance of zirconia doped basalt fibers is caused by insoluble compounds of Zr 4+ , Fe 3+ and Mg 2+ in corrosion layer. Mechanical properties of initial and leached fibers were evaluated by a Weibull distribution. The properties of basalt fibers with ZrSiO 4 were compared with AR-glass fibers. The performance of concrete with obtained fibers was investigated

  12. Analysis of clinical isolates of Helicobacter pylori in Pakistan reveals high degrees of pathogenicity and high frequencies of antibiotic resistance.

    Science.gov (United States)

    Rasheed, Faisal; Campbell, Barry James; Alfizah, Hanafiah; Varro, Andrea; Zahra, Rabaab; Yamaoka, Yoshio; Pritchard, David Mark

    2014-10-01

    Antibiotic resistance in Helicobacter pylori contributes to failure in eradicating the infection and is most often due to point and missense mutations in a few key genes. The antibiotic susceptibility profiles of H. pylori isolates from 46 Pakistani patients were determined by Etest. Resistance and pathogenicity genes were amplified, and sequences were analyzed to determine the presence of mutations. A high percentage of isolates (73.9%) were resistant to metronidazole (MTZ), with considerable resistance to clarithromycin (CLR; 47.8%) and amoxicillin (AML; 54.3%) also observed. Relatively few isolates were resistant to tetracycline (TET; 4.3%) or to ciprofloxacin (CIP; 13%). However, most isolates (n = 43) exhibited resistance to one or more antibiotics. MTZ-resistant isolates contained missense mutations in oxygen-independent NADPH nitroreductase (RdxA; 8 mutations found) and NADH flavin oxidoreductase (FrxA; 4 mutations found). In the 23S rRNA gene, responsible for CLR resistance, a new point mutation (A2181G) and 4 previously reported mutations were identified. Pathogenicity genes cagA, dupA, and vacA s1a/m1 were detected frequently in isolates which were also found to be resistant to MTZ, CLR, and AML. A high percentage of CagA and VacA seropositivity was also observed in these patients. Phylogenetic analysis of partial sequences showed uniform distribution of the 3' region of cagA throughout the tree. We have identified H. pylori isolates in Pakistan which harbor pathogenicity genes and worrying antibiotic resistance profiles as a result of having acquired multiple point and missense mutations. H. pylori eradication regimens should therefore be reevaluated in this setting. © 2014 John Wiley & Sons Ltd.

  13. Computational Study of Stratified Combustion in an Optical Diesel Engine

    KAUST Repository

    Jaasim, Mohammed

    2017-03-28

    Full cycle simulations of KAUST optical diesel engine were conducted in order to provide insights into the details of fuel spray, mixing, and combustion characteristics at different start of injection (SOI) conditions. Although optical diagnostics provide valuable information, the high fidelity simulations with matched parametric conditions improve fundamental understanding of relevant physical and chemical processes by accessing additional observables such as the local mixture distribution, intermediate species concentrations, and detailed chemical reaction rates. Commercial software, CONVERGE™, was used as the main simulation tool, with the Reynolds averaged Navier-Stokes (RANS) turbulence model and the multi-zone (SAGE) combustion model to compute the chemical reaction terms. SOI is varied from late compression ignition (CI) to early partially premixed combustion (PPC) conditions. The simulation results revealed a stronger correlation between fuel injection timing and combustion phasing for late SOI conditions, whereas the combustion phasing starts to decouple from SOI for early SOI cases. The predictions are consistent with the experimental observations, in terms of the overall trends in combustion and emission characteristics, while the high fidelity simulations provided further insights into the effects of mixture stratifications resulting from different SOI conditions.

  14. High hydrostatic pressure resistance of Campylobacter jejuni after different sublethal stresses.

    Science.gov (United States)

    Sagarzazu, N; Cebrián, G; Condón, S; Mackey, B; Mañas, P

    2010-07-01

    To study the development of resistance responses in Campylobacter jejuni to high hydrostatic pressure (HHP) treatments after the exposure to different stressful conditions that may be encountered in food-processing environments, such as acid pH, elevated temperatures and cold storage. Campylobacter jejuni cells in exponential and stationary growth phase were exposed to different sublethal stresses (acid, heat and cold shocks) prior to evaluate the development of resistance responses to HHP. For exponential-phase cells, neither of the conditions tested increased nor decreased HHP resistance of C. jejuni. For stationary-phase cells, acid and heat adaptation-sensitized C. jejuni cells to the subsequent pressure treatment. On the contrary, cold-adapted stationary-phase cells developed resistance to HHP. Whereas C. jejuni can be classified as a stress sensitive micro-organism, our findings have demonstrated that it can develop resistance responses under different stressing conditions. The resistance of stationary phase C. jejuni to HHP was increased after cells were exposed to cold temperatures. The results of this study contribute to a better knowledge of the physiology of C. jejuni and its survival to food preservation agents. Results here presented may help in the design of combined processes for food preservation based on HHP technology. © 2009 The Authors. Journal compilation © 2009 The Society for Applied Microbiology.

  15. High-resolution and high-conductive electrode fabrication on a low thermal resistance flexible substrate

    International Nuclear Information System (INIS)

    Kang, Bongchul; Kno, Jinsung; Yang, Minyang

    2011-01-01

    Processes based on the liquid-state pattern transfer, like inkjet printing, have critical limitations including low resolution and low electrical conductivity when fabricating electrodes on low thermal resistance flexible substrates such as polyethylene terephthalate (PET). Those are due to the nonlinear transfer mechanism and the limit of the sintering temperature. Although the laser direct curing (LDC) of metallic inks is an alternative process to improve the resolution, it is also associated with the disadvantages of causing thermal damage to the polymer substrate. This paper suggests the laser induced pattern adhesion transfer method to fabricate electrodes of both high electrical conductivity and high resolution on a PET substrate. First, solid patterns are cost-effectively created by the LDC of the organometallic silver ink on a glass that is optically and thermally stable. The solid patterns sintered on the glass are transferred to the PET substrate by the photo-thermally generated adhesion force of the substrate. Therefore, we achieved electrodes with a minimum line width of 10 µm and a specific resistance of 3.6 μΩcm on the PET substrate. The patterns also showed high mechanical reliability

  16. High-resolution and high-conductive electrode fabrication on a low thermal resistance flexible substrate

    Science.gov (United States)

    Kang, Bongchul; Kno, Jinsung; Yang, Minyang

    2011-07-01

    Processes based on the liquid-state pattern transfer, like inkjet printing, have critical limitations including low resolution and low electrical conductivity when fabricating electrodes on low thermal resistance flexible substrates such as polyethylene terephthalate (PET). Those are due to the nonlinear transfer mechanism and the limit of the sintering temperature. Although the laser direct curing (LDC) of metallic inks is an alternative process to improve the resolution, it is also associated with the disadvantages of causing thermal damage to the polymer substrate. This paper suggests the laser induced pattern adhesion transfer method to fabricate electrodes of both high electrical conductivity and high resolution on a PET substrate. First, solid patterns are cost-effectively created by the LDC of the organometallic silver ink on a glass that is optically and thermally stable. The solid patterns sintered on the glass are transferred to the PET substrate by the photo-thermally generated adhesion force of the substrate. Therefore, we achieved electrodes with a minimum line width of 10 µm and a specific resistance of 3.6 μΩcm on the PET substrate. The patterns also showed high mechanical reliability.

  17. New approach to increasing rice lodging resistance and biomass yield through the use of high gibberellin producing varieties.

    Science.gov (United States)

    Okuno, Ayako; Hirano, Ko; Asano, Kenji; Takase, Wakana; Masuda, Reiko; Morinaka, Yoichi; Ueguchi-Tanaka, Miyako; Kitano, Hidemi; Matsuoka, Makoto

    2014-01-01

    Traditional breeding for high-yielding rice has been dependent on the widespread use of fertilizers and the cultivation of gibberellin (GA)-deficient semi-dwarf varieties. The use of semi-dwarf plants facilitates high grain yield since these varieties possess high levels of lodging resistance, and thus could support the high grain weight. Although this approach has been successful in increasing grain yield, it is desirable to further improve grain production and also to breed for high biomass. In this study, we re-examined the effect of GA on rice lodging resistance and biomass yield using several GA-deficient mutants (e.g. having defects in the biosynthesis or perception of GA), and high-GA producing line or mutant. GA-deficient mutants displayed improved bending-type lodging resistance due to their short stature; however they showed reduced breaking-type lodging resistance and reduced total biomass. In plants producing high amounts of GA, the bending-type lodging resistance was inferior to the original cultivars. The breaking-type lodging resistance was improved due to increased lignin accumulation and/or larger culm diameters. Further, these lines had an increase in total biomass weight. These results show that the use of rice cultivars producing high levels of GA would be a novel approach to create higher lodging resistance and biomass.

  18. A simple identification method for spore-forming bacteria showing high resistance against γ-rays

    International Nuclear Information System (INIS)

    Koshikawa, Tomihiko; Sone, Koji; Kobayashi, Toshikazu

    1993-01-01

    A simple identification method was developed for spore-forming bacteria which are highly resistant against γ-rays. Among 23 species of Bacillus studied, the spores of Bacillus megaterium, B. cereus, B. thuringiensis, B. pumilus and B. aneurinolyticus showed high resistance against γ-rays as compared with other spores of Bacillus species. Combination of the seven kinds of biochemical tests, namely, the citrate utilization test, nitrate reduction test, starch hydrolysis test, Voges-Proskauer reaction test, gelatine hydrolysis test, mannitol utilization test and xylose utilization test showed a characteristic pattern for each species of Bacillus. The combination pattern of each the above tests with a few supplementary test, if necessary, was useful to identify Bacillus species showing high radiation resistance against γ-rays. The method is specific for B. megaterium, B. thuringiensis and B. pumilus, and highly selective for B. aneurinolyticus and B. cereus. (author)

  19. Intermittent dynamics of nonlinear resistive tearing modes at extremely high magnetic Reynolds number

    International Nuclear Information System (INIS)

    Miyoshi, Takahiro; Becchaku, Masahiro; Kusano, Kanya

    2008-01-01

    Nonlinear dynamics of the resistive tearing instability in high magnetic Reynolds number (R m ) plasmas is studied by newly developing an accurate and robust resistive magnetohydrodynamic (MHD) scheme. The results show that reconnection processes strongly depend on R m . Particularly, in a high R m case, small-scale plasmoids induced by a secondary instability are intermittently generated and ejected accompanied by fast shocks. According to the intermittent processes, the reconnection rate increases intermittently at a later nonlinear stage. (author)

  20. Crack-resistant polyimide coating for high-capacity battery anodes

    Science.gov (United States)

    Li, Yingshun; Wang, Shuo; Lee, Pui-Kit; He, Jieqing; Yu, Denis Y. W.

    2017-10-01

    Electrode cracking is a serious problem that hinders the application of many next-generation high-capacity anode materials for lithium-ion batteries. Even though nano-sizing the material can reduce fracturing of individual particles, capacity fading is still observed due to large volume change and loss of contact in the electrode during lithium insertion and extraction. In this study, we design a crack-resistant high-modulus polyimide coating with high compressive strength which can hold multiple particles together during charge and discharge to maintain contact. The effectiveness of the coating is demonstrated on tin dioxide, a high-capacity large-volume-change material that undergoes both alloy and conversion reactions. The polyimide coating improves capacity retention of SnO2 from 80% to 100% after 80 cycles at 250 mA g-1. Stable capacity of 585 mAh g-1 can be obtained even at 500 mA g-1 after 300 cycles. Scanning electron microscopy and in-situ dilatometry confirm that electrode cracking is suppressed and thickness change is reduced with the coating. In addition, the chemically-stable polyimide film can separate the surface from direct contact with electrolyte, improving coulombic efficiency to ∼100%. We expect the novel strategy of suppressing electrode degradation with a crack-resistant coating can also be used for other alloy and conversion-based anodes.

  1. Estimation of interface resistivity in bonded Si for the development of high performance radiation detectors

    International Nuclear Information System (INIS)

    Kanno, Ikuo; Yamashita, Makoto; Nomiya, Seiichiro; Onabe, Hideaki

    2007-01-01

    For the development of high performance radiation detectors, direct bonding of Si wafers would be an useful method. Previously, p-n bonded Si were fabricated and they showed diode characteristics. The interface resistivity was, however, not investigated in detail. For the study of interface resistivity, n-type Si wafers with different resistivities were bonded. The resistivity of bonded Si wafers were measured and the interface resistivity was estimated by comparing with the results of model calculations. (author)

  2. A Systematic Transport and Thermodynamic Study of Heavy Transition Metal Oxides with Hexagonal Structure

    Science.gov (United States)

    Butrouna, Kamal

    There is no apparent, dominant interaction in heavy transition metal oxides (TMO), especially in 5d-TMO, where all relevant interactions are of comparable energy scales, and therefore strongly compete. In particular, the spin-orbit interaction (SOI) strongly competes with the electron-lattice and on-site Coulomb interaction (U). Therefore, any tool that allows one to tune the relative strengths of SOI and U is expected to offer an opportunity for the discovery and study of novel materials. BaIrO3 is a magnetic insulator driven by SOI, whereas the isostructural BaRuO3 is a paramagnetic metal. The contrasting ground states have been shown to result from the critical role of SOI in the iridate. This dissertation thoroughly examines a wide array of newly observed novel phenomena induced by adjusting the relative strengths of SOI and U via a systematic chemical substitution of the Ru4+(4d 4) ions for Ir4+(5d5) ions in BaIrO3, i.e., in high quality single crystals of BaIr1--x RuxO3(0.0 ≤ x ≤ 1.0). Our investigation of structural, magnetic, transport and thermal properties reveals that Ru substitution directly rebalances the competing energies so profoundly that it generates a rich phase diagram for BaIr 1--xRuxO 3 featuring two major effects: (1) Light Ru doping (0 ≤ x ≤ 0.15) prompts a simultaneous and precipitous drop in both the magnetic ordering temperature TC and the electrical resistivity, which exhibits metal-insulator transition at around TC. (2) Heavier Ru doping (0.41 ≤ x ≤ 0.82) induces a robust metallic and spin frustration state. For comparison and contrast, we also substituted Rh4+(4d 5) ions for Ir4+(5d5) ions in BaIrO3, i.e. in BaIr1--xRhxO 3(0.0 ≤ x ≤ 0.1), where Rh only reduces the SOI, but without altering the band filling. Hence, this system remains tuned at the Mott instability and is very susceptible to disorder scattering which gives rise to Anderson localization. KEYWORDS: spin-orbit interaction, heavy transition metal oxides

  3. Methicillin-susceptible and -resistant Staphylococcus aureus with high-level antiseptic and low-level mupirocin resistance in Malaysia.

    Science.gov (United States)

    Ghasemzadeh-Moghaddam, Hamed; van Belkum, Alex; Hamat, Rukman Awang; van Wamel, Willem; Neela, Vasanthakumari

    2014-10-01

    The prevalence and spread of mupirocin and antiseptic resistance among colonizing and infectious Staphylococcus aureus were determined. S. aureus isolated from anterior nares and infection sites of patients hospitalized in the largest tertiary care referral hospital in Malaysia was investigated for mupirocin and antiseptic susceptibility testing, and for PCR detection of mupA, qacA/B, and smr genes. Twelve isolates showed resistance to mupirocin by disk diffusion, of which 10 (3.8%) harbored the mupA gene. Minimum inhibitory concentrations (MICs) ranged from 64 to 768 μg/ml for mupA positive and below 46 μg/ml for negative isolates. The mupA was more common among ST239 isolates (70%). The qacA/B was carried in 67 out of 95 methicillin-resistant Staphylococcus aureus (MRSA) (70.5%) and 3 out of 164 methicillin-susceptible Staphylococcus aureus (MSSA) (1.8%), while smr was carried in 6 out of 95 MRSA (6.3%) strains. MICs ranged from 3.9 to 15.6 μg/ml for benzethonium chloride (BTC) and benzalkonium chloride (BKC), and from 10.3 to 20.7 μg/ml for chlorhexidine digluconate (CHG). Isolates with qacA/B and smr or qacA/B alone showed higher MIC (20.7 μg/ml for CHG and 15.6 μg/ml for BTC and BKC) than the isolates that lacked antiseptic resistance genes (10.3 μg/ml for CHG and 3.9 μg/ml for BTC and BKC). In 16 cases, ST239 was isolated from the infection site and the nares simultaneously, and shared identical resistance patterns (qacAB or qacAB+smr), suggesting possible endogenous infection. Spread of low-level mupirocin resistance expressing ST239 MRSA and high-level resistance expressing emerging ST1, co-existing with antiseptic-resistant genes showing elevated MICs, should be monitored for effective infection control.

  4. RNA-Seq analysis reveals insight into enhanced rice Xa7-mediated bacterial blight resistance at high temperature.

    Directory of Open Access Journals (Sweden)

    Stephen P Cohen

    Full Text Available Plant disease is a major challenge to agriculture worldwide, and it is exacerbated by abiotic environmental factors. During some plant-pathogen interactions, heat stress allows pathogens to overcome host resistance, a phenomenon which could severely impact crop productivity considering the global warming trends associated with climate change. Despite the importance of this phenomenon, little is known about the underlying molecular mechanisms. To better understand host plant responses during simultaneous heat and pathogen stress, we conducted a transcriptomics experiment for rice plants (cultivar IRBB61 containing Xa7, a bacterial blight disease resistance (R gene, that were infected with Xanthomonas oryzae, the bacterial blight pathogen of rice, during high temperature stress. Xa7-mediated resistance is unusual relative to resistance mediated by other R genes in that it functions better at high temperatures. Using RNA-Seq technology, we identified 8,499 differentially expressed genes as temperature responsive in rice cultivar IRBB61 experiencing susceptible and resistant interactions across three time points. Notably, genes in the plant hormone abscisic acid biosynthesis and response pathways were up-regulated by high temperature in both mock-treated plants and plants experiencing a susceptible interaction and were suppressed by high temperature in plants exhibiting Xa7-mediated resistance. Genes responsive to salicylic acid, an important plant hormone for disease resistance, were down-regulated by high temperature during both the susceptible and resistant interactions, suggesting that enhanced Xa7-mediated resistance at high temperature is not dependent on salicylic acid signaling. A DNA sequence motif similar to known abscisic acid-responsive cis-regulatory elements was identified in the promoter region upstream of genes up-regulated in susceptible but down-regulated in resistant interactions. The results of our study suggest that the plant

  5. Total dose behavior of partially depleted SOI dynamic threshold voltage MOS (DTMOS) for very low supply voltage applications (0.6 - 1 V)

    International Nuclear Information System (INIS)

    Ferlet-Cavrois, V.; Musseau, O.; Leray, J.L.; Faynot, O.; Raynaud, C.; Pelloie, J.L.

    1999-01-01

    In this paper, we presented two DTMOS architectures processed with a partially depleted SOI technology. The first architecture, DTMOS without limiting transistor, is dedicated to ultra-low voltage applications, at 0.6 V. For 1V applications, the second architecture, DTMOS with limiting transistor, needs an additional transistor to limit the body-source diode current. The total dose irradiation of both DTMOS architectures induces no change of the drain current, but an increase of the body-source diode current. Total dose induced trapped charge in the buried oxide increases the body potential of the DTMOS transistor. It induces an increase of the current flow at the back interface of the silicon film. Irradiation of complex circuits using DTMOS transistors would lead to a degradation of the stand-by consumption. (authors)

  6. Laser beam joining of non-oxidic ceramics for ultra high temperature resistant joints

    International Nuclear Information System (INIS)

    Lippmann, W.; Knorr, J.; Wolf, R.; Reinecke, A.M.; Rasper, R.

    2004-01-01

    The excellent technical properties of silicon carbide (SiC) and silicon nitride (Si 3 N 4 ) ceramics, such as resistance to extreme temperatures, oxidation, mechanical wear, aggressive chemical substances and radioactive radiation and also its high thermal conductivity and good temperature-shock resistance, make these ceramics ideally suited for use in the field of nuclear technology. However, their practical use has been limited so far because of the unavailability of effective joining techniques for these ceramics, especially for high temperature applications. A new joining technology (CERALINK registered ) has been developed in a network project which allowed high temperature resistant and vacuum-tight joining of SiC or Si 3 N 4 ceramics. A power laser is used as heat source, which makes it possible to join ceramic components in free atmosphere in combination with a pure oxidic braze filler. As no furnace is necessary, there are no limitations on the component dimensions by the furnace-geometry. During the joining process, the heated area can be limited to the seam area so that this technology can also be used to encapsulate materials with a low melting point. The seam has a high mechanical strength, it is resistant to a wide range of chemicals and radiation and it is also vacuum-tight. The temperature resistance can be varied by variation of the braze filler composition - usually between 1,400 C and >1,600 C. Beside the optimum filler it is also important to select the suitable laser wavelength. The paper will demonstrate the influence of different wave lengths, i. e. various laser types, on the seam quality. Examples are chosen to illustrate the strengths and limitations of the new technology

  7. New approach to increasing rice lodging resistance and biomass yield through the use of high gibberellin producing varieties.

    Directory of Open Access Journals (Sweden)

    Ayako Okuno

    Full Text Available Traditional breeding for high-yielding rice has been dependent on the widespread use of fertilizers and the cultivation of gibberellin (GA-deficient semi-dwarf varieties. The use of semi-dwarf plants facilitates high grain yield since these varieties possess high levels of lodging resistance, and thus could support the high grain weight. Although this approach has been successful in increasing grain yield, it is desirable to further improve grain production and also to breed for high biomass. In this study, we re-examined the effect of GA on rice lodging resistance and biomass yield using several GA-deficient mutants (e.g. having defects in the biosynthesis or perception of GA, and high-GA producing line or mutant. GA-deficient mutants displayed improved bending-type lodging resistance due to their short stature; however they showed reduced breaking-type lodging resistance and reduced total biomass. In plants producing high amounts of GA, the bending-type lodging resistance was inferior to the original cultivars. The breaking-type lodging resistance was improved due to increased lignin accumulation and/or larger culm diameters. Further, these lines had an increase in total biomass weight. These results show that the use of rice cultivars producing high levels of GA would be a novel approach to create higher lodging resistance and biomass.

  8. High Thermal Conductivity and High Wear Resistance Tool Steels for cost-effective Hot Stamping Tools

    Science.gov (United States)

    Valls, I.; Hamasaiid, A.; Padré, A.

    2017-09-01

    In hot stamping/press hardening, in addition to its shaping function, the tool controls the cycle time, the quality of the stamped components through determining the cooling rate of the stamped blank, the production costs and the feasibility frontier for stamping a given component. During the stamping, heat is extracted from the stamped blank and transported through the tool to the cooling medium in the cooling lines. Hence, the tools’ thermal properties determine the cooling rate of the blank, the heat transport mechanism, stamping times and temperature distribution. The tool’s surface resistance to adhesive and abrasive wear is also an important cost factor, as it determines the tool durability and maintenance costs. Wear is influenced by many tool material parameters, such as the microstructure, composition, hardness level and distribution of strengthening phases, as well as the tool’s working temperature. A decade ago, Rovalma developed a hot work tool steel for hot stamping that features a thermal conductivity of more than double that of any conventional hot work tool steel. Since that time, many complimentary grades have been developed in order to provide tailored material solutions as a function of the production volume, degree of blank cooling and wear resistance requirements, tool geometries, tool manufacturing method, type and thickness of the blank material, etc. Recently, Rovalma has developed a new generation of high thermal conductivity, high wear resistance tool steel grades that enable the manufacture of cost effective tools for hot stamping to increase process productivity and reduce tool manufacturing costs and lead times. Both of these novel grades feature high wear resistance and high thermal conductivity to enhance tool durability and cut cycle times in the production process of hot stamped components. Furthermore, one of these new grades reduces tool manufacturing costs through low tool material cost and hardening through readily

  9. Breeding of a new potato variety ‘Nagasaki Kogane’ with high eating quality, high carotenoid content, and resistance to diseases and pests

    Science.gov (United States)

    Sakamoto, Yu; Mori, Kazuyuki; Matsuo, Yuuki; Mukojima, Nobuhiro; Watanabe, Wataru; Sobaru, Norio; Tamiya, Seiji; Nakao, Takashi; Hayashi, Kazuya; Watanuki, Hitomi; Nara, Kazuhiro; Yamazaki, Kaoru; Chaya, Masataka

    2017-01-01

    ‘Nagasaki Kogane’ is a new potato variety bred from a cross between ‘Saikai 35’ as a female parent and ‘Saikai 33’ as a male. ‘Saikai 35’ is resistant to bacterial wilt, contains the H1 and Rychc genes for resistance to the potato cyst nematode (PCN) and potato virus Y (PVY), respectively, and has high carotenoid content, while ‘Saikai 33’ has large and high-yielding tubers and is resistant to both bacterial wilt and PCN. The carotenoid content of ‘Nagasaki Kogane’ is higher than that of ‘Dejima’, a common double cropping variety. The taste quality of steamed ‘Nagasaki Kogane’ is comparable to that of ‘Inca-no-mezame’ tubers, which has high levels of carotenoid, and superior to ‘Nishiyutaka’, another popular double cropping variety. ‘Nagasaki Kogane’ is suitable for French fries, because its tuber has high starch content. The marketable yield of ‘Nagasaki Kogane’ was higher than that of ‘Inca-no-mezame’ in spring cropping, although it was lower than that of ‘Nishiyutaka’ in double cropping regions. ‘Nagasaki Kogane’ tubers are larger on average than ‘Inca-no-mezame’ tubers in spring cropping. Moreover, the ‘Nagasaki Kogane’ variety is resistant to PCN and PVY, and exhibits a high level of resistance to bacterial wilt. PMID:28744186

  10. Metabolic responses to high protein diet in Korean elite bodybuilders with high-intensity resistance exercise

    Directory of Open Access Journals (Sweden)

    Choue Ryowon

    2011-07-01

    Full Text Available Abstract Background High protein diet has been known to cause metabolic acidosis, which is manifested by increased urinary excretion of nitrogen and calcium. Bodybuilders habitually consumed excessive dietary protein over the amounts recommended for them to promote muscle mass accretion. This study investigated the metabolic response to high protein consumption in the elite bodybuilders. Methods Eight elite Korean bodybuilders within the age from 18 to 25, mean age 21.5 ± 2.6. For data collection, anthropometry, blood and urinary analysis, and dietary assessment were conducted. Results They consumed large amounts of protein (4.3 ± 1.2 g/kg BW/day and calories (5,621.7 ± 1,354.7 kcal/day, as well as more than the recommended amounts of vitamins and minerals, including potassium and calcium. Serum creatinine (1.3 ± 0.1 mg/dl and potassium (5.9 ± 0.8 mmol/L, and urinary urea nitrogen (24.7 ± 9.5 mg/dl and creatinine (2.3 ± 0.7 mg/dl were observed to be higher than the normal reference ranges. Urinary calcium (0.3 ± 0.1 mg/dl, and phosphorus (1.3 ± 0.4 mg/dl were on the border of upper limit of the reference range and the urine pH was in normal range. Conclusions Increased urinary excretion of urea nitrogen and creatinine might be due to the high rates of protein metabolism that follow high protein intake and muscle turnover. The obvious evidence of metabolic acidosis in response to high protein diet in the subjects with high potassium intake and intensive resistance exercise were not shown in this study results. However, this study implied that resistance exercise with adequate mineral supplementation, such as potassium and calcium, could reduce or offset the negative effects of protein-generated metabolic changes. This study provides preliminary information of metabolic response to high protein intake in bodybuilders who engaged in high-intensity resistance exercise. Further studies will be needed to determine the effects of the intensity

  11. Quasi-static puncture resistance behaviors of high-strength polyester fabric for soft body armor

    Directory of Open Access Journals (Sweden)

    Qiu-Shi Wang

    Full Text Available A series of economical and flexible fabrics were prepared using high-strength polyester yarns with different fabric structures, weft density and number of layers. The effect of these factors on quasi-static puncture resistance was comparatively studied. The failure mode of the fabrics was analyzed with SEM photographs. Findings indicate that the structure and the weft density affected the quasi-static puncture resistance property of the fabrics, the plain fabrics had better puncture resistance property than twill and satin fabrics. The max puncture force and puncture energy of the plain fabrics with 160 yarn/10 cm reached the max values which were 107.43 N and 0.44 J, respectively. The number of layers had a linear relationship to quasi-static puncture resistance. The contact pressure and friction of the probe against the fibers were the main hindrance during the quasi-static puncture process and the breakage of the fibers during the penetration was caused by the bend and tensile deformation. Keywords: High-strength polyester fabrics, Fabric structure, Multiple-layer fabrics, Quasi-static puncture resistance

  12. Highly corrosion resistant zirconium based alloy for reactor structural material

    International Nuclear Information System (INIS)

    Ito, Yoichi.

    1996-01-01

    The alloy of the present invention is a zirconium based alloy comprising tin (Sn), chromium (Cr), nickel (Ni) and iron (Fe) in zirconium (Zr). The amount of silicon (Si) as an impurity is not more than 60ppm. It is preferred that Sn is from 0.9 to 1.5wt%, that of Cr is from 0.05 to 0.15wt%, and (Fe + Ni) is from 0.17 to 0.5wt%. If not less than 0.12wt% of Fe is added, resistance against nodular corrosion is improved. The upper limit of Fe is preferably 0.40wt% from a view point of uniform suppression for the corrosion. The nodular corrosion can be suppressed by reducing the amount of Si-rich deposition product in the zirconium based alloy. Accordingly, a highly corrosion resistant zirconium based alloy improved for the corrosion resistance of zircaloy-2 and usable for a fuel cladding tube of a BWR type reactor can be obtained. (I.N.)

  13. High-throughput phenotyping of plant resistance to aphids by automated video tracking.

    Science.gov (United States)

    Kloth, Karen J; Ten Broeke, Cindy Jm; Thoen, Manus Pm; Hanhart-van den Brink, Marianne; Wiegers, Gerrie L; Krips, Olga E; Noldus, Lucas Pjj; Dicke, Marcel; Jongsma, Maarten A

    2015-01-01

    Piercing-sucking insects are major vectors of plant viruses causing significant yield losses in crops. Functional genomics of plant resistance to these insects would greatly benefit from the availability of high-throughput, quantitative phenotyping methods. We have developed an automated video tracking platform that quantifies aphid feeding behaviour on leaf discs to assess the level of plant resistance. Through the analysis of aphid movement, the start and duration of plant penetrations by aphids were estimated. As a case study, video tracking confirmed the near-complete resistance of lettuce cultivar 'Corbana' against Nasonovia ribisnigri (Mosely), biotype Nr:0, and revealed quantitative resistance in Arabidopsis accession Co-2 against Myzus persicae (Sulzer). The video tracking platform was benchmarked against Electrical Penetration Graph (EPG) recordings and aphid population development assays. The use of leaf discs instead of intact plants reduced the intensity of the resistance effect in video tracking, but sufficiently replicated experiments resulted in similar conclusions as EPG recordings and aphid population assays. One video tracking platform could screen 100 samples in parallel. Automated video tracking can be used to screen large plant populations for resistance to aphids and other piercing-sucking insects.

  14. Proliferation resistance assessment of high temperature gas reactors

    Energy Technology Data Exchange (ETDEWEB)

    Chikamatsu N, M. A. [Instituto Tecnologico y de Estudios Superiores de Monterrey, Campus Santa Fe, Av. Carlos Lazo No. 100, Santa Fe, 01389 Mexico D. F. (Mexico); Puente E, F., E-mail: midori.chika@gmail.com [ININ, Carretera Mexico-Toluca s/n, 52750 Ocoyoacac, Estado de Mexico (Mexico)

    2014-10-15

    The Generation IV International Forum has established different objectives for the new generation of reactors to accomplish. These objectives are focused on sustain ability, safety, economics and proliferation resistance. This paper is focused on how the proliferation resistance of the High Temperature Gas Reactors (HTGR) is assessed and the advantages that these reactors present currently. In this paper, the focus will be on explaining why such reactors, HTGR, can achieve the goals established by the GIF and can present a viable option in terms of proliferation resistance, which is an issue of great importance in the field of nuclear energy generation. The reason why the HTGR are being targeted in this writing is that these reactors are versatile, and present different options from modular reactors to reactors with the same size as the ones that are being operated today. Besides their versatility, the HTGR has designed features that might improve on the overall sustain ability of the nuclear reactors. This is because the type of safety features and materials that are used open up options for industrial processes to be carried out; cogeneration for instance. There is a small section that mentions how HTGR s are being developed in the international sector in order to present the current world view in this type of technology and the further developments that are being sought. For the proliferation resistance section, the focus is on both the intrinsic and the extrinsic features of the nuclear systems. The paper presents a comparison between the features of Light Water Reactors (LWR) and the HTGR in order to be able to properly compare the most used technology today and one that is gaining international interest. (Author)

  15. Proliferation resistance assessment of high temperature gas reactors

    International Nuclear Information System (INIS)

    Chikamatsu N, M. A.; Puente E, F.

    2014-10-01

    The Generation IV International Forum has established different objectives for the new generation of reactors to accomplish. These objectives are focused on sustain ability, safety, economics and proliferation resistance. This paper is focused on how the proliferation resistance of the High Temperature Gas Reactors (HTGR) is assessed and the advantages that these reactors present currently. In this paper, the focus will be on explaining why such reactors, HTGR, can achieve the goals established by the GIF and can present a viable option in terms of proliferation resistance, which is an issue of great importance in the field of nuclear energy generation. The reason why the HTGR are being targeted in this writing is that these reactors are versatile, and present different options from modular reactors to reactors with the same size as the ones that are being operated today. Besides their versatility, the HTGR has designed features that might improve on the overall sustain ability of the nuclear reactors. This is because the type of safety features and materials that are used open up options for industrial processes to be carried out; cogeneration for instance. There is a small section that mentions how HTGR s are being developed in the international sector in order to present the current world view in this type of technology and the further developments that are being sought. For the proliferation resistance section, the focus is on both the intrinsic and the extrinsic features of the nuclear systems. The paper presents a comparison between the features of Light Water Reactors (LWR) and the HTGR in order to be able to properly compare the most used technology today and one that is gaining international interest. (Author)

  16. Resistance to Corrosion of Reinforcement of High Volume Fly Ash Concrete

    International Nuclear Information System (INIS)

    Kwon, S. O.; Bae, S. H.; Lee, H. J.; Lee, K. M.; Jung, S. H.

    2014-01-01

    Due to the increasing of interest about the eco-friendly concrete, it is increased to use concretes containing by-products of industry such as fly ash(FA), ground granulated blast furnace slag(GGBFS), silica fume(SF), and etc. Especially, these are well known for improving the resistances to reinforcement corrosion in concrete and decreasing chloride ion penetration. The purpose of this experimental research is to evaluate the resistance against corrosion of reinforcement of high volume fly ash(HVFA) concrete which is replaced with high volume fly ash for cement volume. For this purpose, the concrete test specimens were made for various strength level and replacement ratio of FA, and then the compressive strength and diffusion coefficient for chloride ion of them were measured for 28, 91, and 182 days, respectively. Also, corrosion monitoring by half cell potential method was carried out for the made lollypop concrete test specimens to detect the time of corrosion initiation for reinforcement in concrete. As a result, it was observed from the test results that the compressive strength of HVFA concrete was decreased with increasing replacement ratio of FA but long-term resistances against reinforcement corrosion and chloride ion penetration of that were increased

  17. Odontella aurita-enriched diet prevents high fat diet-induced liver insulin resistance.

    Science.gov (United States)

    Amine, Hamza; Benomar, Yacir; Haimeur, Adil; Messaouri, Hafida; Meskini, Nadia; Taouis, Mohammed

    2016-01-01

    The beneficial effect of polyunsaturated omega-3 fatty acid (w-3 FA) consumption regarding cardiovascular diseases, insulin resistance and inflammation has been widely reported. Fish oil is considered as the main source of commercialized w-3 FAs, and other alternative sources have been reported such as linseed or microalgae. However, despite numerous reports, the underlying mechanisms of action of w-3 FAs on insulin resistance are still not clearly established, especially those from microalgae. Here, we report that Odontella aurita, a microalga rich in w-3 FAs eicosapentaenoic acid, prevents high fat diet-induced insulin resistance and inflammation in the liver of Wistar rats. Indeed, a high fat diet (HFD) increased plasma insulin levels associated with the impairment of insulin receptor signaling and the up-regulation of toll-like receptor 4 (TLR4) expressions. Importantly, Odontella aurita-enriched HFD (HFOA) reduces body weight and plasma insulin levels and maintains normal insulin receptor expression and responsiveness. Furthermore, HFOA decreased TLR4 expression, JNK/p38 phosphorylation and pro-inflammatory factors. In conclusion, we demonstrate for the first time, to our knowledge, that diet supplementation with whole Ondontella aurita overcomes HFD-induced insulin resistance through the inhibition of TLR4/JNK/p38 MAP kinase signaling pathways. © 2016 Society for Endocrinology.

  18. Inexpensive system protects megawatt resistance-heating furnace against high-voltage surges

    Science.gov (United States)

    Stearns, E. J.

    1971-01-01

    Coolant gas extinguishes arcing across the break in a heater element. Air-gap shunt which bypasses high voltage impressed across the circuit prevents damage if the resistance elements break and open the inductive circuit.

  19. A study on the improvement of oxidation resistance of OAE-added stainless steels for high temperature applications

    International Nuclear Information System (INIS)

    Kim, Dae Hwan; Kim, Gil Moo

    1996-01-01

    Since the manufacturing temperature of stainless steels is relatively high, oxidation at the elevated temperature becomes important. The chemical and physical properties of the protective oxide film which was formed on the stainless steels at high temperature for the oxidation resistance are important in determining the rate of oxidation and the life of equipment exposed to high temperature oxidizing environments. In this study, the oxidation behavior of STS 309S and STS 409L added by a small amount of oxygen active element(each + 0.5wt% Hf and Y) was studied to improve oxidation resistance. In the cyclic oxidation, while OAE-free specimens showed relatively poor oxidation resistance due to spallations and cracks of Cr-rich oxide layer, OAE-added specimens improved cyclic oxidation resistance assumably due to constant oxidation rate with stable oxide layers at high temperature. Especially Hf improved cyclic oxidation resistance by forming Cr-rich oxide layer preventing internal oxidation in STS 309S. (author)

  20. Group IV Materials for High Performance Methane Sensing in Novel Slot Optical Waveguides at 2.883 μm and 3.39 μm

    Directory of Open Access Journals (Sweden)

    Vittorio M. N. PASSARO

    2012-03-01

    Full Text Available In this paper a detailed investigation of novel photonic sensors based on slot waveguides has been carried out. Appropriate alloys of group IV materials, such as germanium (Ge, silicon (Si, carbon (C and tin (Sn, are applied in silicon-on-insulator (SOI technology for homogeneous optical sensing at 2.883 µm and 3.39 μm. Electronic and optical properties of group IV alloys have been investigated. In addition, we have designed novel group IV vertical slot waveguides in order to achieve ultra-high sensitivities, as well as good fabrication tolerances. All these features have been compared with well-known SOI slot waveguides for optical label-free homogeneous sensing at 1.55 µm. In conclusion, theoretical investigation of ring resonators based on these novel slot waveguides has revealed very good results in terms of ultra high sensing performance of methane gas, i.e., limit of detection ~ 3.6×10-5 RIU and wavelength sensitivity > 2×103 nm/RIU.

  1. Design and fabrication process of silicon micro-calorimeters on simple SOI technology for X-ray spectral imaging

    International Nuclear Information System (INIS)

    Aliane, A.; Agnese, P.; Pigot, C.; Sauvageot, J.-L.; Moro, F. de; Ribot, H.; Gasse, A.; Szeflinski, V.; Gobil, Y.

    2008-01-01

    Several successful development programs have been conducted on infra-red bolometer arrays at the 'Commissariat a l'Energie Atomique' (CEA-LETI Grenoble) in collaboration with the CEA-SAp (Saclay); taking advantage of this background, we are now developing an X-ray spectro-imaging camera for next generation space astronomy missions, using silicon only technology. We have developed monolithic silicon micro-calorimeters based on implanted thermistors in an improved array that could be used for future space missions. The 8x8 array consists of a grid of 64 suspended pixels fabricated on a silicon on insulator (SOI) wafer. Each pixel of this detector array is made of a tantalum (Ta) absorber, which is bound by means of indium bump hybridization, to a silicon thermistor. The absorber array is bound to the thermistor array in a collective process. The fabrication process of our detector involves a combination of standard technologies and silicon bulk micro-machining techniques, based on deposition, photolithography and plasma etching steps. Finally, we present the results of measurements performed on these four primary building blocks that are required to create a detector array up to 32x32 pixels in size

  2. HIGH TEMPERATURE CORROSION RESISTANCE OF METALLIC MATERIALS IN HARSH CONDITIONS

    OpenAIRE

    Novello, Frederic; Dedry, Olivier; De Noose, Vincent; Lecomte-Beckers, Jacqueline

    2014-01-01

    Highly efficient energy recovery from renewable sources and from waste incineration causes new problems of corrosion at high temperature. A similar situation exists for new recycling processes and new energy storage units. These corrosions are generally considered to be caused by ashes or molten salts, the composition of which differs considerably from one plant to another. Therefore, for the assessment of corrosion-resistance of advanced materials, it is essential to precisely evaluate the c...

  3. Superconducting fault current limiter using high-resistive YBCO tapes

    Energy Technology Data Exchange (ETDEWEB)

    Yazawa, T. [Power and Industrial System R and D Center, Toshiba Corporation, 2-4 Suehiro, Tsurumi, Yokohama 230-0045 (Japan)], E-mail: takashi.yazawa@toshiba.co.jp; Koyanagi, K.; Takahashi, M.; Ono, M.; Toba, K.; Takigami, H.; Urata, M. [Power and Industrial System R and D Center, Toshiba Corporation, 2-4 Suehiro, Tsurumi, Yokohama 230-0045 (Japan); Iijima, Y.; Saito, T. [Fujikura Ltd., 1-5-1 Kiba, Koto, Tokyo 135-0042 (Japan); Ameniya, N. [Yokohama National University, 79-1 Tokiwadai, Hodogaya, Yokohama 240-8501 (Japan); Shiohara, Y. [Superconductivity Research Laboratory, ISTEC, 1-10-13 Shinonome, Koto, Tokyo 135-0062 (Japan)

    2008-09-15

    One of the programs in the Ministry of Economy and Trade and Industry (METI) project regarding R and D on YBCO conductor is to evaluate the applicability of the developed conductor toward several applications. This paper focuses on a fault current limiter (FCL) as one of the expected power applications. YBCO tape conductors with ion beam assisted deposition (IBAD) substrate are used in this work. In order to obtain high resistance of the conductor, which is preferable to an FCL, the thickness of the protecting layer made of silver was decreased as possible. Then high-resistive metal stabilizing layer is attached on the silver layer to improve stability. Obtaining the relevant current limiting performance on short sample experiments, model coils were developed to aim the 6.6 kV-class FCL. Short circuit experiments were implemented with a short circuit generator. The coil successfully restricted the short circuit current over 17 kA to about 700 A by the applied voltage of 3.8 kV, which is nominal phase-to-ground voltage. The experimental results show good agreement with computer analyses and show promising toward the application.

  4. Low resistance bakelite RPC study for high rate working capability

    International Nuclear Information System (INIS)

    Dai, T.; Han, L.; Hou, S.; Liu, M.; Li, Q.; Song, H.; Xia, L.; Zhang, Z.

    2014-01-01

    This paper presents series efforts to lower resistance of bakelite electrode plate to improve the RPC capability under high rate working condition. New bakelite material with alkali metallic ion doping has been manufactured and tested. This bakelite is found unstable under large charge flux and need further investigation. A new structure of carbon-embedded bakelite RPC has been developed, which can reduce the effective resistance of electrode by a factor of 10. The prototype of the carbon-embedded chamber could function well under gamma radiation source at event rate higher than 10 kHz/cm 2 . The preliminary tests show that this kind of new structure performs as efficiently as traditional RPCs

  5. High-Performance Corrosion-Resistant Materials: Iron-Based Amorphous-Metal Thermal-Spray Coatings

    International Nuclear Information System (INIS)

    Farmer, J C; Haslam, J J; Wong, F; Ji, X; Day, S D; Branagan, D J; Marshall, M C; Meacham, B E; Buffa, E J; Blue, C A; Rivard, J K; Beardsley, M B; Weaver, D T; Aprigliano, L F; Kohler, L; Bayles, R; Lemieux, E J; Wolejsza, T M; Martin, F J; Yang, N; Lucadamo, G; Perepezko, J H; Hildal, K; Kaufman, L; Heuer, A H; Ernst, F; Michal, G M; Kahn, H; Lavernia, E J

    2004-01-01

    The multi-institutional High Performance Corrosion Resistant Materials (HPCRM) Team is cosponsored by the Defense Advanced Projects Agency (DARPA) Defense Science Office (DSO) and the Department of Energy (DOE) Office of Civilian Radioactive Waste Management (OCRWM), and has developed new corrosion-resistant, iron-based amorphous metals that can be applied as coatings with advanced thermal spray technology. Two compositions have corrosion resistance superior to wrought nickel-based Alloy C-22 (UNS No. N06022) in very aggressive environments, including concentrated calcium-chloride brines at elevated temperature. Corrosion costs the Department of Defense billions of dollars every year, with an immense quantity of material in various structures undergoing corrosion. For example, in addition to fluid and seawater piping, ballast tanks, and propulsions systems, approximately 345 million square feet of structure aboard naval ships and crafts require costly corrosion control measures. The use of advanced corrosion-resistant materials to prevent the continuous degradation of this massive surface area would be extremely beneficial. The Fe-based corrosion-resistant, amorphous-metal coatings under development may prove of importance for applications on ships. Such coatings could be used as an ''integral drip shield'' on spent fuel containers, as well as protective coatings that could be applied over welds, thereby preventing exposure to environments that might cause stress corrosion cracking. In the future, such new high-performance iron-based materials could be substituted for more-expensive nickel-based alloys, thereby enabling a reduction in the $58-billion life cycle cost for the long-term storage of the Nation's spent nuclear fuel by tens of percent

  6. Investigation on the effect of thermal resistances on a highly concentrated photovoltaic-thermoelectric hybrid system

    International Nuclear Information System (INIS)

    Zhang, Jin; Xuan, Yimin

    2016-01-01

    Highlights: • The highly concentrated PV-TE hybrid system is studied. • The performances of different cooling systems are analyzed and compared. • Sandwiching a copper plate between the PV and TE can improve the efficiency. • Four thermal design principles of the system are proposed. - Abstract: A thermal analysis of a highly concentrated photovoltaic-thermoelectric (PV-TE) hybrid system is carried out in this paper. Both the output power and the temperature distribution in the hybrid system are calculated by means of a three-dimensional numerical model. Three possible approaches for designing the highly concentrated PV-TE hybrid system are presented by analyzing the thermal resistance of the whole system. First, the sensitivity analysis shows that the thermal resistance between the TE module and the environment has a more great effect on the output power than the thermal resistance between the PV and the TE. The influence of the natural convection and the radiation can be ignored for the highly concentrated PV-TE hybrid system. Second, it is necessary to sandwich a copper plate between the PV and the TE for decreasing the thermal resistance between the PV and the TE. The role of the copper plate is to improve the temperature uniformity. Third, decreasing the area of PV cells can improve the efficiency of the highly concentrated PV-TE hybrid system. It should be pointed out that decreasing the area of PV cells also increases the total thermal resistance, but the raise of the efficiency is caused by the reduction of the heat transfer rate of the system. Therefore, the principle of minimizing the total thermal resistance may not be suitable for optimizing the area of PV cells.

  7. Development of Steel Fiber-Reinforced Expanded-Shale Lightweight Concrete with High Freeze-Thaw Resistance

    Directory of Open Access Journals (Sweden)

    Mingshuang Zhao

    2018-01-01

    Full Text Available For the popularized structural application, steel fiber-reinforced expanded-shale lightweight concrete (SFRELC with high freeze-thaw resistance was developed. The experimental study of this paper figured out the effects of air-entraining content, volume fraction of steel fibers, and fine aggregate type. Results showed that while the less change of mass loss rate was taken place for SFRELC after 300 freeze-thaw cycles, the relative dynamic modulus of elasticity and the relative flexural strength presented clear trends of freeze-thaw resistance of SFRELC. The compound effect of the air-entraining agent and the steel fibers was found to support the SFRELC with high freeze-thaw resistance, and the mechanisms were explored with the aid of the test results of water penetration of SFRELC. The beneficial effect was appeared from the replacement of lightweight sand with manufactured sand. Based on the test results, suggestions are given out for the optimal mix proportion of SFRELC to satisfy the durability requirement of freeze-thaw resistance.

  8. Developmental acclimation to low or high humidity conditions affect starvation and heat resistance of Drosophila melanogaster.

    Science.gov (United States)

    Parkash, Ravi; Ranga, Poonam; Aggarwal, Dau Dayal

    2014-09-01

    Several Drosophila species originating from tropical humid localities are more resistant to starvation and heat stress than populations from high latitudes but mechanistic bases of such physiological changes are largely unknown. In order to test whether humidity levels affect starvation and heat resistance, we investigated developmental acclimation effects of low to high humidity conditions on the storage and utilization of energy resources, body mass, starvation survival, heat knockdown and heat survival of D. melanogaster. Isofemale lines reared under higher humidity (85% RH) stored significantly higher level of lipids and showed greater starvation survival hours but smaller in body size. In contrast, lines reared at low humidity evidenced reduced levels of body lipids and starvation resistance. Starvation resistance and lipid storage level were higher in females than males. However, the rate of utilization of lipids under starvation stress was lower for lines reared under higher humidity. Adult flies of lines reared at 65% RH and acclimated under high or low humidity condition for 200 hours also showed changes in resistance to starvation and heat but such effects were significantly lower as compared with developmental acclimation. Isofemale lines reared under higher humidity showed greater heat knockdown time and heat-shock survival. These laboratory observations on developmental and adult acclimation effects of low versus high humidity conditions have helped in explaining seasonal changes in resistance to starvation and heat of the wild-caught flies of D. melanogaster. Thus, we may suggest that wet versus drier conditions significantly affect starvation and heat resistance of D. melanogaster. Copyright © 2014 Elsevier Inc. All rights reserved.

  9. Study on microstructure and high temperature wear resistance of laser cladded nuclear valve clack

    International Nuclear Information System (INIS)

    Zhang Chunliang; Chen Zichen

    2002-01-01

    Laser cladding of Co-base alloy on the nuclear valve-sealing surface are performed with a 5 kW CO 2 transverse flowing laser. The microstructure and the high temperature impact-slide wear resistance of the laser cladded coating and the plasma cladded coating are studied. The results show that the microstructure, the dilution rate and the high temperature impact-slide wear resistance of the laser cladded coating have obvious advantages over the spurt cladding processing

  10. Bipolar one diode-one resistor integration for high-density resistive memory applications.

    Science.gov (United States)

    Li, Yingtao; Lv, Hangbing; Liu, Qi; Long, Shibing; Wang, Ming; Xie, Hongwei; Zhang, Kangwei; Huo, Zongliang; Liu, Ming

    2013-06-07

    Different from conventional unipolar-type 1D-1R RRAM devices, a bipolar-type 1D-1R memory device concept is proposed and successfully demonstrated by the integration of Ni/TiOx/Ti diode and Pt/HfO2/Cu bipolar RRAM cell to suppress the undesired sneak current in a cross-point array. The bipolar 1D-1R memory device not only achieves self-compliance resistive switching characteristics by the reverse bias current of the Ni/TiOx/Ti diode, but also exhibits excellent bipolar resistive switching characteristics such as uniform switching, satisfactory data retention, and excellent scalability, which give it high potentiality for high-density integrated nonvolatile memory applications.

  11. Electrical resistance and magnetoresistance of UCoAl under high pressure

    Czech Academy of Sciences Publication Activity Database

    Honda, F.; Oomi, G.; Andreev, Alexander V.; Sechovský, V.; Shiokawa, Y.

    --, - (2002), s. 126-128 ISSN 0022-3131 R&D Projects: GA ČR GP202/01/D045 Institutional research plan: CEZ:AV0Z1010914 Keywords : UCoAl * non-Fermi liquid * itinerant metamagnetism * electrical resistance * high pressure Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.572, year: 2002

  12. High temperature chemically resistant polymer concrete

    Science.gov (United States)

    Sugama, T.; Kukacka, L.E.

    High temperature chemically resistant, non-aqueous polymer concrete composites consist of about 12 to 20% by weight of a water-insoluble polymer binder. The binder is polymerized in situ from a liquid vinyl-type monomer or mixture of vinyl containing monomers such as triallylcyanurate, styrene, acrylonitrile, acrylamide, methacrylamide, methyl-methacrylate, trimethylolpropane trimethacrylate and divinyl benzene. About 5 to 40% by weight of a reactive inorganic filler selected from the group consisting of tricalcium silicate and dicalcium silicate and mixtures containing less than 2% free lime, and about 48 to 83% by weight of silica sand/ and a free radical initiator such as di-tert-butyl peroxide, azobisisobutyronitrile, benzoyl peroxide, lauryl peroxide, other orgaic peroxides and combinations to initiate polymerization of the monomer in the presence of the inorganic filers are used.

  13. Frequency-domain analysis of intrinsic neuronal properties using high-resistant electrodes

    Directory of Open Access Journals (Sweden)

    Christian Rössert

    2009-08-01

    Full Text Available Intrinsic cellular properties of neurons in culture or slices are usually studied by the whole cell clamp method using low-resistant patch pipettes. These electrodes allow detailed analyses with standard electrophysiological methods such as current- or voltage-clamp. However, in these preparations large parts of the network and dendritic structures may be removed, thus preventing an adequate study of synaptic signal processing. Therefore, intact in vivo preparations or isolated in vitro whole brains have been used in which intracellular recordings are usually made with sharp, high-resistant electrodes to optimize the impalement of neurons. The general non-linear resistance properties of these electrodes, however, severely limit accurate quantitative studies of membrane dynamics especially needed for precise modelling. Therefore, we have developed a frequency-domain analysis of membrane properties that uses a Piece-wise Non-linear Electrode Compensation (PNEC method. The technique was tested in second-order vestibular neurons and abducens motoneurons of isolated frog whole brain preparations using sharp potassium chloride- or potassium acetate-filled electrodes. All recordings were performed without online electrode compensation. The properties of each electrode were determined separately after the neuronal recordings and were used in the frequency-domain analysis of the combined measurement of electrode and cell. This allowed detailed analysis of membrane properties in the frequency-domain with high-resistant electrodes and provided quantitative data that can be further used to model channel kinetics. Thus, sharp electrodes can be used for the characterization of intrinsic properties and synaptic inputs of neurons in intact brains.

  14. Electrical resistivity of liquid iron with high concentration of light element impurities

    Science.gov (United States)

    Wagle, F.; Steinle-Neumann, G.

    2017-12-01

    The Earth's outer core mainly consists of liquid iron, enriched with several weight percent of lighter elements, such as silicon, oxygen, sulfur or carbon. Electrical resistivities of alloys of this type determine the stability of the geodynamo. Both computational and experimental results show that resistivites of Fe-based alloys deviate significantly from values of pure Fe. Using optical conductivity values computed with the Kubo-Greenwood formalism for DFT-based molecular dynamics results, we analyze the high-P and T behavior of resitivities for Fe-alloys containing various concentrations of sulfur, oxygen and silicon. As the electron mean free path length in amorphous and liquid material becomes comparable to interatomic distances at high P and T, electron scattering is expected to be dominated by the short-range order, rather than T-dependent vibrational contributions, and we describe such correlations in our results. In analogy to macroscopic porous media, we further show that resistivity of a liquid metal-nonmetal alloy is determined to first order by the resistivity of the metallic matrix and the volume fraction of non-metallic impurities.

  15. The cytochrome P450 CYP6P4 is responsible for the high pyrethroid resistance in knockdown resistance-free Anopheles arabiensis.

    Science.gov (United States)

    Ibrahim, Sulaiman S; Riveron, Jacob M; Stott, Robert; Irving, Helen; Wondji, Charles S

    2016-01-01

    Pyrethroid insecticides are the front line vector control tools used in bed nets to reduce malaria transmission and its burden. However, resistance in major vectors such as Anopheles arabiensis is posing a serious challenge to the success of malaria control. Herein, we elucidated the molecular and biochemical basis of pyrethroid resistance in a knockdown resistance-free Anopheles arabiensis population from Chad, Central Africa. Using heterologous expression of P450s in Escherichia coli coupled with metabolism assays we established that the over-expressed P450 CYP6P4, located in the major pyrethroid resistance (rp1) quantitative trait locus (QTL), is responsible for resistance to Type I and Type II pyrethroid insecticides, with the exception of deltamethrin, in correlation with field resistance profile. However, CYP6P4 exhibited no metabolic activity towards non-pyrethroid insecticides, including DDT, bendiocarb, propoxur and malathion. Combining fluorescent probes inhibition assays with molecular docking simulation, we established that CYP6P4 can bind deltamethrin but cannot metabolise it. This is possibly due to steric hindrance because of the large vdW radius of bromine atoms of the dihalovinyl group of deltamethrin which docks into the heme catalytic centre. The establishment of CYP6P4 as a partial pyrethroid resistance gene explained the observed field resistance to permethrin, and its inability to metabolise deltamethrin probably explained the high mortality from deltamethrin exposure in the field populations of this Sudano-Sahelian An. arabiensis. These findings describe the heterogeneity in resistance towards insecticides, even from the same class, highlighting the need to thoroughly understand the molecular basis of resistance before implementing resistance management/control tools. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  16. Improvement of SOI microdosimeter performance using pulse shape discrimination techniques

    International Nuclear Information System (INIS)

    Cornelius, I.

    2002-01-01

    Full text: Microdosimetry is used to study the radiobiological properties of densely ionising radiations encountered in hadron therapy and space environments by measuring energy deposition in microscopic volumes. The creation of a solid state microdosimeter to replace the traditional tissue equivalent proportional counter is a topic of ongoing research. The Centre for Medical Radiation Physics has been investigating a technique using microscopic arrays of reverse biased pn junctions to measure the linear energy transfer of ions. A prototype silicon-on-insulator (SOI) microdosimeter was developed and measurements were conducted at boron neutron capture therapy, proton therapy, and fast neutron therapy facilities. Previous studies have shown the current microdosimeter possesses a poorly defined sensitive volume, a consequence of charge collection events being measured for ion strikes outside the pn junction via the diffusion of charge carriers. As a result, the amount of charge collected by the microdosimeter following an ion strike has a strong dependence on the location of the strike on the device and the angle of incidence of the ion. The aim of this work was to investigate the use of pulse shape discrimination (PSD) techniques to preclude the acquisition of events resulting from ion strikes outside the depletion region of the pn junction. Experiments were carried out using the Heavy Ion Microprobe (HIMP) at the Australian Nuclear Science and Technology Organisation, Lucas Heights, Australia. The HIMP was used to measure the charge collection time as a function of ion strike location on the microdosimeter array. As expected, the charge collection time was seen to increase monotonically as the distance of the ion strike from the junction increased. The charge collection time corresponding to ion strikes within the junction was determined. Through use of suitable electronics it was possible to gate the charge collection signal based on simultaneous measurements of

  17. High frequency of silver resistance genes in invasive isolates of Enterobacter and Klebsiella species.

    Science.gov (United States)

    Sütterlin, S; Dahlö, M; Tellgren-Roth, C; Schaal, W; Melhus, Å

    2017-07-01

    Silver-based products have been marketed as an alternative to antibiotics, and their consumption has increased. Bacteria may, however, develop resistance to silver. To study the presence of genes encoding silver resistance (silE, silP, silS) over time in three clinically important Enterobacteriaceae genera. Using polymerase chain reaction (PCR), 752 bloodstream isolates from the years 1990-2010 were investigated. Age, gender, and ward of patients were registered, and the susceptibility to antibiotics and silver nitrate was tested. Clonality and single nucleotide polymorphism were assessed with repetitive element sequence-based PCR, multi-locus sequence typing, and whole-genome sequencing. Genes encoding silver resistance were detected most frequently in Enterobacter spp. (48%), followed by Klebsiella spp. (41%) and Escherichia coli 4%. Phenotypical resistance to silver nitrate was found in Enterobacter (13%) and Klebsiella (3%) isolates. The lowest carriage rate of sil genes was observed in blood isolates from the neonatology ward (24%), and the highest in blood isolates from the oncology/haematology wards (66%). Presence of sil genes was observed in international high-risk clones. Sequences of the sil and pco clusters indicated that a single mutational event in the silS gene could have caused the phenotypic resistance. Despite a restricted consumption of silver-based products in Swedish health care, silver resistance genes are widely represented in clinical isolates of Enterobacter and Klebsiella species. To avoid further selection and spread of silver-resistant bacteria with a high potential for healthcare-associated infections, the use of silver-based products needs to be controlled and the silver resistance monitored. Copyright © 2017 The Healthcare Infection Society. Published by Elsevier Ltd. All rights reserved.

  18. High-resolution analysis of a QTL for resistance to Stagonospora nodorum glume blotch in wheat reveals presence of two distinct resistance loci in the target interval.

    Science.gov (United States)

    Shatalina, Margarita; Messmer, Monika; Feuillet, Catherine; Mascher, Fabio; Paux, Etienne; Choulet, Frédéric; Wicker, Thomas; Keller, Beat

    2014-03-01

    Stagonospora nodorum glume blotch (SNG), caused by the necrotrophic fungus Stagonospora nodorum, is one of the economically important diseases of bread wheat (Triticum aestivum L.). Resistance to SNG is known to be quantitative and previous studies of a recombinant inbred line (RIL) population identified a major quantitative trait locus (QTL) for resistance to SNG on the short arm of chromosome 3B. To localize this QTL (QSng.sfr-3BS) with high resolution, we constructed a genetic map for the QTL target region using information from sequenced flow-sorted chromosomes 3B of the two parental cultivars 'Arina' and 'Forno', the physical map of chromosome 3B of cultivar 'Chinese Spring' and BAC-clone sequences. The mapping population of near-isogenic lines (NIL) was evaluated for SNG resistance in field infection tests. NILs segregated for disease resistance as well as for plant height; additionally, we observed a high environmental influence on the trait. Our analysis detected a strong negative correlation of SNG resistance and plant height. Further analysis of the target region identified two linked loci associated with SNG resistance. One of them was also associated with plant height, revealing an effect of QSng.sfr-3BS on plant height that was hidden in the RIL population. This result demonstrates an unexpectedly high genetic complexity of resistance controlled by QSng.sfr-3BS and shows the importance of the study of QTL in mendelized form in NILs.

  19. microRNAs in High and Low Responders to Resistance Training in Breast Cancer Survivors.

    Science.gov (United States)

    Hagstrom, Amanda D; Denham, Joshua

    2018-04-26

    Accounting for one in three cancer diagnoses, breast cancer is the second most commonly diagnosed cancer in women. Exercise has a well-accepted role in the multi-disciplinary approach to rehabilitating breast cancer survivors. Despite the many known benefits of resistance training on women recovering from breast cancer, the molecular mechanisms are poorly understood. MicroRNAs are small non-coding RNAs that have crucial roles in growth and development. Here, we analysed the abundance of 9 miRNAs, with known roles in muscle physiology and some linked to cancer, in serum samples from 24 breast cancer survivors before and after a 16-week resistance training or usual care intervention. The resistance training group completed supervised thrice-weekly training. miRNA abundance was assessed before and after the intervention period using qPCR. There were no statistically significant changes in any of the miRNAs between groups after the intervention period (all p>0.05). After assessing miRNA abundance in context with high and low responders to resistance training, we observed that relative to low responders, high responders exhibited increased miR-133a-3p and a borderline statistically significant increase in miR-370-3p. Findings from our controlled study indicate the diverse interindividual miRNA responses to resistance training and reveal a discordant regulation between high and low responders. © Georg Thieme Verlag KG Stuttgart · New York.

  20. Effect of heat treatment conditions on stress corrosion cracking resistance of alloy X-750 in high temperature water

    International Nuclear Information System (INIS)

    Yonezawa, Toshio; Onimura, Kichiro; Sakamoto, Naruo; Sasaguri, Nobuya; Susukida, Hiroshi; Nakata, Hidenori.

    1984-01-01

    In order to improve the resistance of the Alloy X-750 in high temperature and high purity water, the authors investigated the influence of heat treatment condition on the stress corrosion cracking resistance of the alloy. This paper describes results of the stress corrosion cracking test and some discussion on the mechanism of the stress corrosion cracking of Alloy X-750 in deaerated high temperature water. The following results were obtained. (1) The stress corrosion cracking resistance of Alloy X-750 in deaerated high temperature water remarkably depended upon the heat treatment condition. The materials solution heat treated and aged within temperature ranges from 1065 to 1100 0 C and from 704 to 732 0 C, respectively, have a good resistance to the stress corrosion cracking in deaerated high temperature water. Especially, water cooling after the solution heat treatment gives an excellent resistance to the stress corrosion cracking in deaerated high temperature water. (2) Any correlations were not observed between the stress corrosion cracking susceptibility of Alloy X-750 in deaerated high temperature water and grain boundary chromium depleted zones, precipitate free zones and the grain boundary segregation of impurity elements and so on. It appears that there are good correlations between the stress corrosion cracking resistance of the alloy in the environment and the kinds, morphology and coherency of precipitates along the grain boundaries. (author)

  1. Berberine improves insulin resistance induced by high fat diet in rats

    International Nuclear Information System (INIS)

    Zhou Libin; Yang Ying; Shang Wenbin; Li Fengying; Tang Jinfeng; Wang Xiao; Liu Shangquan; Yuan Guoyue; Chen Mingdao

    2005-01-01

    Objective: To observe the effect of berberine on insulin resistance induced by high fat diet in rats. Methods: Normal male SD rats (8 weeks old) were divided into two groups taking either normal chow (NC, n=9) or high fat diet (HF, n=20). After fourteen weeks, HF rats were divided into two groups. Ten rats continued to take high fat diet. Another ten rats took additional berberine gavage (HF+B, 150mg/kg weight once a day). Six weeks later, oral glucose tolerance test and insulin tolerance test were performed for estimating insulin sensitivity. Results: The body weight, liver weight and epididyaml fat pads weight of HF group were significantly higher than those of HF+B group and NC group (all P<0.01). Fasting plasma glucose, insulin and plasma glucose, insulin 2h after taking glucose in HF+B rats were significantly lower than those in HF rats (all P<0.01). Plasma glucose and insulin levels at all time points in HF rats were significantly higher than those in NC rats. Homa-IR of HF group was markedly higher than that of HF+B group (P<0.01). The glucose-lowering effects after the administration of insuin (0.5u/kg intrapenitoneally) at all time points in HF+B rats were stronger than those in HF rats with 23% and 7% reduction at 15min respectively. Conclusion: Long term high fat diet resulted in insulin resistance. Berberine was able to reverse insulin resistance through promoting peripheral tissue up taking of glucose and decreasing insulin, which would be quite ideal for the intervention of IGT. (authors)

  2. Investigation on amorphous InGaZnO based resistive switching memory with low-power, high-speed, high reliability

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Yang-Shun [Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China); Liu, Po-Tsun, E-mail: ptliu@mail.nctu.edu.tw [Department of Photonics and Display Institute, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China); Hsu, Ching-Hui [Department of Photonics and Display Institute, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China)

    2013-12-31

    Recently, non-volatile memory (NVM) has been widely used in electronic devices. Nowadays, the prevailing NVM is Flash memory. However, it is generally believed that the conventional Flash memory will approach its scaling limit within about a decade. The resistive random access memory (RRAM) is emerging as one of the potential candidates for future memory replacement because of its high storage density, low power consumption as well as simple structure. The purpose of this work is to develop a reliable a-InGaZnO based resistive switching memory. We investigate the resistive switching characteristics of TiN/Ti/IGZO/Pt structure and TiN/IGZO/Pt structure. The device with TiN/Ti/IGZO/Pt structure exhibits stable bipolar resistive switching. The impact of inserting a Ti interlayer is studied by material analyses. The device shows excellent resistive switching properties. For example, the DC sweep endurance can achieve over 1000 times; and the pulse induced switching cycles can reach at least 10,000 times. Furthermore, the impact of different sputtering ambience, the variable temperature measurement, and the conduction mechanisms are also investigated. According to our experiments, we propose a model to explain the resistive switching phenomenon observed in our devices.

  3. High-damage-resistant tungsten disulfide saturable absorber mirror for passively Q-switched fiber laser.

    Science.gov (United States)

    Chen, Hao; Chen, YuShan; Yin, Jinde; Zhang, Xuejun; Guo, Tuan; Yan, Peiguang

    2016-07-25

    In this paper, we demonstrate a high-damage-resistant tungsten disulfide saturable absorber mirror (WS2-SAM) fabricated by magnetron sputtering technique. The WS2-SAM has an all-fiber-integrated configuration and high-damage-resistant merit because the WS2 layer is protected by gold film so as to avoid being oxidized and destroyed at high pump power. Employing the WS2-SAM in an Erbium-doped fiber laser (EDFL) with linear cavity, the stable Q-switching operation is achieved at central wavelength of 1560 nm, with the repetition rates ranging from 29.5 kHz to 367.8 kHz and the pulse duration ranging from 1.269 μs to 154.9 ns. For the condition of the maximum pump power of 600 mW, the WS2-SAM still works stably with an output power of 25.2 mW, pulse energy of 68.5 nJ, and signal-noise-ratio of 42 dB. The proposed WS2-SAM configuration provides a promising solution for advanced pulsed fiber lasers with the characteristics of high damage resistance, high output energy, and wide tunable frequency.

  4. Comparison of multi-drug resistant environmental methicillin-resistant Staphylococcus aureus [MRSA] isolated from recreational beaches and high touch surfaces in built environments

    Directory of Open Access Journals (Sweden)

    Marilyn C Roberts

    2013-04-01

    Full Text Available Over the last decade community-acquired methicillin-resistant Staphylococcus aureus [MRSA] has emerged as a major cause of disease in the general population with no health care exposure or known classical risk factors for MRSA infections. The potential community reservoirs have not been well defined though certain strains such as ST398 and USA300 have been well studied in some settings. MRSA has been isolated from recreational beaches, high-touch surfaces in homes, universities and other community environmental surfaces. However, in most cases the strains were not characterized to determine if they are related to community-acquired or hospital-acquired clinical strains. We compared 55 environmental MRSA from 805 samples including sand, fresh and marine water samples from local marine and fresh water recreational beaches (n=296, high touch surfaces on the University of Washington campus (n=294, surfaces in UW undergraduate housing (n=85, and the local community (n=130. Eleven USA300, representing 20% of the isolates, were found on the UW campus surfaces, student housing surfaces and on the community surfaces but not in the recreational beach samples from the Northwest USA. Similarly, the predominant animal ST133 was found in the recreational beach samples but not in the high touch surface samples. All USA300 isolates were multi-drug resistant carrying 2-6 different antibiotic resistance genes coding for kanamycin, macrolides and/or macrolides-lincosamides-streptogramin B and tetracycline, with the majority [72%] carrying 4-6 different antibiotic resistance genes. A surprising 98% of the 55 MRSA isolates were resistant to other classes of antibiotics and most likely represent reservoirs for these genes in the environment.

  5. Corrosion resistance of high performance stainless steels in cooling water and other refinery environments

    International Nuclear Information System (INIS)

    Kovach, C.W.; Redmerski, L.S.

    1984-01-01

    The recent successful introduction of high performance stainless steels as tubing for seawater cooled electric utility condensers suggests that these alloys can also provide useful service in refinery heat exchanger applications. Since many of these applications involve higher temperature exposure than steam condensers, a study was conducted to evaluate crevice corrsion resistance over a range of cooling water temperature and chloride concentrations, and also to evaluate general corrosion resistance in some strong chemical and refinery environments. These stainless steels display excellent crevice corrosion resistance as well as good resistance to a variety of chemical environments that may be encountered in refinery, petrochemical and chemical plant service

  6. Advanced Corrosion-Resistant Zr Alloys for High Burnup and Generation IV Application

    International Nuclear Information System (INIS)

    Jeong, Y. H.; Park, S. Y.; Lee, M. H.; Choi, B. K.; Baek, J. H.; Park, J. Y.; Kim, J. H.; Kim, H. G.; Jung, Y. H.; Bang, B. G.

    2006-08-01

    The systematic study was performed to develop the advanced corrosion-resistant Zr alloys for high burnup and Gen IV application. The corrosion behavior was significantly changed with the alloy composition and the corrosion environment. In general, the model alloys with a higher alloying elements showed a higher corrosion resistance. Among the model alloys tested in this study, Zr-10Cr-0.2Fe showed the best corrosion resistance regardless of the corrosion condition. The oxide on the higher corrosion-resistant alloy such as Zr-1.0Cr-0.2Fe consisted of mainly columnar grains, and it have a higher tetragonal phase stability. In comparison with other alloys being considered for the SCWR, the Zr alloys showed a lower corrosion rate than ferritic-martensitic steels. The results of this study imply that, at least from a corrosion standpoint, Zr alloys deserve consideration as potential cladding or structural materials in supercritical water cooled reactors

  7. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography.

    Science.gov (United States)

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Pérez-Galacho, Diego; Guerber, Sylvain; Vakarin, Vladyslav; Marcaud, Guillaume; Le Roux, Xavier; Cassan, Eric; Marris-Morini, Delphine; Cheben, Pavel; Boeuf, Frédéric; Baudot, Charles; Vivien, Laurent

    2017-09-01

    Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (-20  dB). A measured coupling efficiency of -2.7  dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

  8. [Resistance risk, cross-resistance and biochemical resistance mechanism of Laodelphax striatellus to buprofezin].

    Science.gov (United States)

    Mao, Xu-lian; Liu, Jin; Li, Xu-ke; Chi, Jia-jia; Liu, Yong-jie

    2016-01-01

    In order to investigate the resistance development law and biochemical resistance mechanism of Laodelphax striatellus to buprofezin, spraying rice seedlings was used to continuously screen resistant strains of L. striatellus and dipping rice seedlings was applied to determine the toxicity and cross-resistance of L. striatellus to insecticides. After 32-generation screening with buprofezin, L. striatellus developed 168.49 folds resistance and its reality heritability (h2) was 0.11. If the killing rate was 80%-90%, L. striatellus was expected to develop 10-fold resistance to buprofezin only after 5 to 6 generations breeding. Because the actual reality heritability of field populations was usually lower than that of the resistant strains, the production of field populations increasing with 10-fold resistance would need much longer time. The results of cross-resistance showed that resistant strain had high level cross-resistance with thiamethoxam and imidacloprid, low level cross-resistance with acetamiprid, and no cross-resistance with pymetrozine and chlorpyrifos. The activity of detoxification enzymes of different strains and the syergism of synergist were measured. The results showed that cytochrome P450 monooxygenase played a major role in the resistance of L. striatellus to buprofezin, the esterase played a minor role and the GSH-S-transferase had no effect. Therefore, L. striatellus would have high risk to develop resistance to buprofezin when used in the field and might be delayed by using pymetrozine and chlorpyrifos.

  9. Oxidation resistance of nickel alloys at high temperature

    International Nuclear Information System (INIS)

    Tyuvin, Yu.D.; Rogel'berg, I.L.; Ryabkina, M.M.; Plakushchaya, A.F.

    1977-01-01

    The heat resistance properties of nickel alloys Ni-Cr-Si, Ni-Si-Al, Ni-Si-Mn and Ni-Al-Mn have been studied by the weight method during oxidation in air at 1000 deg and 1200 deg C. It is demonstrated that manganese reduces the heat resistance properties of Ni-Si and Ni-Al alloys, whilst the addition of over 3% aluminium enhances the heat resistance properties of Ni-Si (over 1.5%) alloys. The maximum heat resistance properties are shown by Ni-Si-Al and Ni-Cr-Si alloys with over 2% Si. These alloys offer 3 to 4 times better oxidation resistance as compared with pure nickel at 1000 deg C and 10 times at 1200 deg C

  10. High resistance of some oligotrophic bacteria to ionizing radiation

    International Nuclear Information System (INIS)

    Nikitin, D.I.; Tashtemirova, M.A.; Pitryuk, I.A.; Sorokin, V.V.; Oranskaya, M.S.; Nikitin, L.E.

    1994-01-01

    The resistance of seven cultures of eutrophic and oligotrophic bacteria to gamma radiation (at doses up to 360 Gy) was investigated. The bacteria under study were divided into three groups according to their survival ability after irradiation. Methylobacterium organophilum and open-quotes Pedodermatophilus halotoleransclose quotes (LD 50 = 270 Gy) were highly tolerant. By their tolerance, these organisms approached Deinococcus radiodurans. Aquatic ring-shaped (toroidal) bacteria Flectobacillus major and open-quotes Arcocella aquaticaclose quotes (LD 5 = 173 and 210 Gy, respectively) were moderately tolerant. Eutrophic Pseudomonas fluorescens and Escherichia coli (LD 50 = 43 and 38 Gy, respectively) were the most sensitive. X-ray microanalysis showed that in tolerant bacteria the intracellular content of potassium increased and the content of calcium decreased after irradiation. No changes in the element composition of the eutrophic bacterium E. coli were detected. Possible mechanisms of the resistance of oligotrophic bacteria to gamma radiation are discussed

  11. A double EPSPS gene mutation endowing glyphosate resistance shows a remarkably high resistance cost.

    Science.gov (United States)

    Han, Heping; Vila-Aiub, Martin M; Jalaludin, Adam; Yu, Qin; Powles, Stephen B

    2017-12-01

    A novel glyphosate resistance double point mutation (T102I/P106S, TIPS) in the 5-enolpyruvylshikimate-3-phosphate synthase (EPSPS) gene has been recently identified for the first time only in the weed species Eleusine indica. Quantification of plant resistance cost associated with the TIPS and the often reported glyphosate resistance single P106S mutation was performed. A significant resistance cost (50% in seed number currency) associated with the homozygous TIPS but not the homozygous P106S EPSPS variant was identified in E. indica plants. The resistance cost associated with the TIPS mutation escalated to 85% in plants under resource competition with rice crops. The resistance cost was not detected in nonhomozygous TIPS plants denoting the recessive nature of the cost associated with the TIPS allele. An excess of 11-fold more shikimate and sixfold more quinate in the shikimate pathway was detected in TIPS plants in the absence of glyphosate treatment compared to wild type, whereas no changes in these compounds were observed in P106S plants when compared to wild type. TIPS plants show altered metabolite levels in several other metabolic pathways that may account for the expression of the observed resistance cost. © 2017 John Wiley & Sons Ltd.

  12. A method for detection and location of high resistance earth faults

    Energy Technology Data Exchange (ETDEWEB)

    Haenninen, S; Lehtonen, M [VTT Energy, Espoo (Finland); Antila, E [ABB Transmit Oy (Finland)

    1998-08-01

    In the first part of this presentation, the theory of earth faults in unearthed and compensated power systems is briefly presented. The main factors affecting the high resistance fault detection are outlined and common practices for earth fault protection in present systems are summarized. The algorithms of the new method for high resistance fault detection and location are then presented. These are based on the change of neutral voltage and zero sequence currents, measured at the high voltage / medium voltage substation and also at the distribution line locations. The performance of the method is analyzed, and the possible error sources discussed. Among these are, for instance, switching actions, thunder storms and heavy snow fall. The feasibility of the method is then verified by an analysis based both on simulated data, which was derived using an EMTP-ATP simulator, and by real system data recorded during field tests at three substations. For the error source analysis, some real case data recorded during natural power system events, is also used

  13. Waveguide-integrated vertical pin photodiodes of Ge fabricated on p+ and n+ Si-on-insulator layers

    Science.gov (United States)

    Ito, Kazuki; Hiraki, Tatsurou; Tsuchizawa, Tai; Ishikawa, Yasuhiko

    2017-04-01

    Vertical pin structures of Ge photodiodes (PDs) integrated with Si optical waveguides are fabricated by depositing Ge epitaxial layers on Si-on-insulator (SOI) layers, and the performances of n+-Ge/i-Ge/p+-SOI PDs are compared with those of p+-Ge/i-Ge/n+-SOI PDs. Both types of PDs show responsivities as high as 1.0 A/W at 1.55 µm, while the dark leakage current is different, which is consistent with previous reports on free-space PDs formed on bulk Si wafers. The dark current of the p+-Ge/i-Ge/n+-SOI PDs is higher by more than one order of magnitude. Taking into account the activation energies for dark current as well as the dependence on PD area, the dark current of the n+-Ge/i-Ge/p+-SOI PDs is dominated by the thermal generation of carriers via mid-gap defect levels in Ge, while for the p+-Ge/i-Ge/n+-SOI PDs, the dark current is ascribed to not only thermal generation but also other mechanisms such as locally formed conduction paths.

  14. High prevalence of clindamycin resistance in Staphylococcus aureus blood culture isolates in São Paulo, Brazil

    Directory of Open Access Journals (Sweden)

    Felipe S Lupinacci

    2017-01-01

    Conclusions: Our high prevalence of clindamycin resistance highlights the importance of performing D-test in a routine base, as well of maintaining continued surveillance for the prevalence of clindamycin resistance.

  15. Unaltered Prion Pathogenesis in a Mouse Model of High-Fat Diet-Induced Insulin Resistance.

    Directory of Open Access Journals (Sweden)

    Caihong Zhu

    Full Text Available Epidemiological, clinical, and experimental animal studies suggest a strong correlation between insulin resistance and Alzheimer's disease. In fact, type-2 diabetes is considered an important risk factor of developing Alzheimer's disease. In addition, impaired insulin signaling in the Alzheimer's disease brain may promote Aβ production, impair Aβ clearance and induce tau hyperphosphorylation, thereby leading to deterioration of the disease. The pathological prion protein, PrPSc, deposits in the form of extracellular aggregates and leads to dementia, raising the question as to whether prion pathogenesis may also be affected by insulin resistance. We therefore established high-fat diet-induced insulin resistance in tga20 mice, which overexpress the prion protein. We then inoculated the insulin-resistant mice with prions. We found that insulin resistance in tga20 mice did not affect prion disease progression, PrPSc deposition, astrogliosis or microglial activation, and had no effect on survival. Our study demonstrates that in a mouse model, insulin resistance does not significantly contribute to prion pathogenesis.

  16. Nuclear radiation detectors using high resistivity neutron transmutation doped silicon

    International Nuclear Information System (INIS)

    Gessner, T.; Irmer, K.

    1983-01-01

    A method for the production of semiconductor detectors based on high resistivity n-type silicon is described. The n-type silicon is produced by neutron irradiation of p-type silicon. The detectors are produced by planar technique. They are suitable for the spectrometry of alpha particles and for the pulse count measurement of beta particles at room temperature. (author)

  17. New high-temperature flame-resistant resin matrix for RP/C

    Science.gov (United States)

    Kourtides, D. A.

    1981-01-01

    The processing parameters of graphite composites utilizing graphite fabric and epoxy or other advanced thermoset and thermoplastic resins as matrices are discussed. The evaluated properties include anaerobic char yield, limiting oxygen index, smoke evolution, moisture absorption, and high-temperature mechanical properties. It is shown that graphite composites having the highest char yield exhibit optimum fire-resistant properties.

  18. Clostridium difficile Infection and Patient-Specific Antimicrobial Resistance Testing Reveals a High Metronidazole Resistance Rate.

    Science.gov (United States)

    Barkin, Jodie A; Sussman, Daniel A; Fifadara, Nimita; Barkin, Jamie S

    2017-04-01

    Clostridium difficile (CD) infection (CDI) causes marked morbidity and mortality, accounting for large healthcare expenditures annually. Current CDI treatment guidelines focus on clinical markers of patient severity to determine the preferred antibiotic regimen of metronidazole versus vancomycin. The antimicrobial resistance patterns for patients with CD are currently unknown. The aim of this study was to define the antimicrobial resistance patterns for CD. This study included all patients with stools sent for CD testing to a private laboratory (DRG Laboratory, Alpharetta, Georgia) in a 6-month period from across the USA. Patient data was de-identified, with only age, gender, and zip-code available per laboratory protocol. All samples underwent PCR testing followed by hybridization for CD toxin regions A and B. Only patients with CD-positive PCR were analyzed. Antimicrobial resistance testing using stool genomic DNA evaluated presence of imidazole- and vancomycin-resistant genes using multiplex PCR gene detection. Of 2743, 288 (10.5%) stool samples were positive for CD. Six were excluded per protocol. Of 282, 193 (69.4%) were women, and average age was 49.4 ± 18.7 years. Of 282, 62 were PCR positive for toxins A and B, 160 for toxin A positive alone, and 60 for toxin B positive alone. Antimicrobial resistance testing revealed 134/282 (47.5%) patients resistant to imidazole, 17 (6.1%) resistant to vancomycin, and 9 (3.2%) resistant to imidazole and vancomycin. CD-positive patients with presence of imidazole-resistant genes from stool DNA extract was a common phenomenon, while vancomycin resistance was uncommon. Similar to treatment of other infections, antimicrobial resistance testing should play a role in CDI clinical decision-making algorithms to enable more expedited and cost-effective delivery of patient care.

  19. Glucocorticoid Antagonism Reduces Insulin Resistance and Associated Lipid Abnormalities in High-Fructose-Fed Mice.

    Science.gov (United States)

    Priyadarshini, Emayavaramban; Anuradha, Carani Venkatraman

    2017-02-01

    High intake of dietary fructose causes perturbation in lipid metabolism and provokes lipid-induced insulin resistance. A rise in glucocorticoids (GCs) has recently been suggested to be involved in fructose-induced insulin resistance. The objective of the study was to investigate the effect of GC blockade on lipid abnormalities in insulin-resistant mice. Insulin resistance was induced in mice by administering a high-fructose diet (HFrD) for 60 days. Mifepristone (RU486), a GC antagonist, was administered to HFrD-fed mice for the last 18 days, and the intracellular and extracellular GC levels, the glucocorticoid receptor (GR) activation and the expression of GC-regulated genes involved in lipid metabolism were examined. HFrD elevated the intracellular GC content in both liver and adipose tissue and enhanced the GR nuclear translocation. The plasma GC level remained unchanged. The levels of free fatty acids and triglycerides in plasma were elevated, accompanied by increased plasma insulin and glucose levels and decreased hepatic glycogen content. Treatment with RU486 reduced plasma lipid levels, tissue GC levels and the expression of GC-targeted genes involved in lipid accumulation, and it improved insulin sensitivity. This study demonstrated that HFrD-induced lipid accumulation and insulin resistance are mediated by enhanced GC in liver and adipose tissue and that GC antagonism might reduce fructose-induced lipid abnormalities and insulin resistance. Copyright © 2016 Canadian Diabetes Association. Published by Elsevier Inc. All rights reserved.

  20. Outcomes after chemotherapy with WHO category II regimen in a population with high prevalence of drug resistant tuberculosis.

    Directory of Open Access Journals (Sweden)

    Francine Matthys

    Full Text Available Standard short course chemotherapy is recommended by the World Health Organization to control tuberculosis worldwide. However, in settings with high drug resistance, first line standard regimens are linked with high treatment failure. We evaluated treatment outcomes after standardized chemotherapy with the WHO recommended category II retreatment regimen in a prison with a high prevalence of drug resistant tuberculosis (TB. A cohort of 233 culture positive TB patients was followed through smear microscopy, culture, drug susceptibility testing and DNA fingerprinting at baseline, after 3 months and at the end of treatment. Overall 172 patients (74% became culture negative, while 43 (18% remained positive at the end of treatment. Among those 43 cases, 58% of failures were determined to be due to treatment with an inadequate drug regimen and 42% to either an initial mixed infection or re-infection while under treatment. Overall, drug resistance amplification during treatment occurred in 3.4% of the patient cohort. This study demonstrates that treatment failure is linked to initial drug resistance, that amplification of drug resistance occurs, and that mixed infection and re-infection during standard treatment contribute to treatment failure in confined settings with high prevalence of drug resistance.

  1. Investigation on powder metallurgy Cr-Si-Ta-Al alloy target for high-resistance thin film resistors with low temperature coefficient of resistance

    International Nuclear Information System (INIS)

    Wang, X.Y.; Zhang, Z.S.; Bai, T.

    2010-01-01

    The sputtering target for high-resistance thin film resistors plays a decisive role in temperature coefficient of resistance (TCR). Silicon-rich chromium (Cr)-silicon (Si) target was designed and smelted for high-resistance thin film resistors with low TCR. Valve metal tantalum (Ta) and aluminum (Al) were introduced to the Cr-Si target to improve the performance of the target prepared. The measures for grain refining in smelting Cr-Si-Ta-Al target were taken to improve the performance of the prepared target. The mechanism and role of grain refinement were discussed in the paper. The phase structure of the prepared target was detected by X-ray diffraction (XRD). Rate of temperature drop was studied to reduce the internal stress of alloy target and conquer the easy cracking disadvantage of silicon-rich target. The electrical properties of sputtered thin film resistors were tested to evaluate the performance of the prepared target indirectly.

  2. Estimating Hydraulic Resistance for Floodplain Mapping and Hydraulic Studies from High-Resolution Topography: Physical and Numerical Simulations

    Science.gov (United States)

    Minear, J. T.

    2017-12-01

    One of the primary unknown variables in hydraulic analyses is hydraulic resistance, values for which are typically set using broad assumptions or calibration, with very few methods available for independent and robust determination. A better understanding of hydraulic resistance would be highly useful for understanding floodplain processes, forecasting floods, advancing sediment transport and hydraulic coupling, and improving higher dimensional flood modeling (2D+), as well as correctly calculating flood discharges for floods that are not directly measured. The relationship of observed features to hydraulic resistance is difficult to objectively quantify in the field, partially because resistance occurs at a variety of scales (i.e. grain, unit and reach) and because individual resistance elements, such as trees, grass and sediment grains, are inherently difficult to measure. Similar to photogrammetric techniques, Terrestrial Laser Scanning (TLS, also known as Ground-based LiDAR) has shown great ability to rapidly collect high-resolution topographic datasets for geomorphic and hydrodynamic studies and could be used to objectively quantify the features that collectively create hydraulic resistance in the field. Because of its speed in data collection and remote sensing ability, TLS can be used both for pre-flood and post-flood studies that require relatively quick response in relatively dangerous settings. Using datasets collected from experimental flume runs and numerical simulations, as well as field studies of several rivers in California and post-flood rivers in Colorado, this study evaluates the use of high-resolution topography to estimate hydraulic resistance, particularly from grain-scale elements. Contrary to conventional practice, experimental laboratory runs with bed grain size held constant but with varying grain-scale protusion create a nearly twenty-fold variation in measured hydraulic resistance. The ideal application of this high-resolution topography

  3. Microstructure and wear resistance of high chromium cast iron containing niobium

    Directory of Open Access Journals (Sweden)

    Zhang Zhiguo

    2014-05-01

    Full Text Available In the paper, the effect of niobium addition on the microstructure, mechanical properties and wear resistance of high chromium cast iron has been studied. The results show that the microstructure of the heat-treated alloys is composed of M7C3 and M23C6 types primary carbide, eutectic carbide, secondary carbide and a matrix of martensite and retained austenite. NbC particles appear both inside and on the edge of the primary carbides. The hardness of the studied alloys maintains around 66 HRC, not significantly affected by the Nb content within the selected range of 0.48%-0.74%. The impact toughness of the alloys increases with increasing niobium content. The wear resistance of the specimens presents little variation in spite of the increase of Nb content under a light load of 40 N. However, when heavier loads of 70 and 100 N are applied, the wear resistance increases with increasing Nb content.

  4. An oxygen-insensitive degradable resist for fabricating metallic patterns on highly curved surfaces by UV-nanoimprint lithography.

    Science.gov (United States)

    Hu, Xin; Huang, Shisong; Gu, Ronghua; Yuan, Changsheng; Ge, Haixiong; Chen, Yanfeng

    2014-10-01

    In this paper, an oxygen-insensitive degradable resist for UV-nanoimprint is designed, com-prising a polycyclic degradable acrylate monomer, 2,10-diacryloyloxymethyl-1,4,9,12-tetraoxa-spiro [4.2.4.2] tetradecane (DAMTT), and a multifunctional thiol monomer pentaerythritol tetra(3-mercaptopropionate) (PETMP). The resist can be quickly UV-cured in the air atmosphere and achieve a high monomer conversion of over 98%, which greatly reduce the adhesion force between the resist and the soft mold. High conversion, in company with an adequate Young's modulus (about 1 GPa) and an extremely low shrinkage (1.34%), promises high nanoimprint resolution of sub-50 nm. The cross-linked resist is able to break into linear molecules in a hot acid solvent. As a result, metallic patterns are fabricated on highly curved surfaces via the lift off process without the assistance of a thermoplastic polymer layer. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. SALIVARY CORTISOL RESPONSES AND PERCEIVED EXERTION DURING HIGH INTENSITY AND LOW INTENSITY BOUTS OF RESISTANCE EXERCISE

    Directory of Open Access Journals (Sweden)

    Alison D. Egan

    2004-03-01

    Full Text Available The purpose of this study was to measure the salivary cortisol response to different intensities of resistance exercise. In addition, we wanted to determine the reliability of the session rating of perceived exertion (RPE scale to monitor resistance exercise intensity. Subjects (8 men, 9 women completed 2 trials of acute resistance training bouts in a counterbalanced design. The high intensity resistance exercise protocol consisted of six, ten-repetition sets using 75% of one repetition maximum (RM on a Smith machine squat and bench press exercise (12 sets total. The low intensity resistance exercise protocol consisted of three, ten-repetition sets at 30% of 1RM of the same exercises as the high intensity protocol. Both exercise bouts were performed with 2 minutes of rest between each exercise and sessions were repeated to test reliability of the measures. The order of the exercise bouts was randomized with least 72 hours between each session. Saliva samples were obtained immediately before, immediately after and 30 mins following each resistance exercise bout. RPE measures were obtained using Borg's CR-10 scale following each set. Also, the session RPE for the entire exercise session was obtained 30 minutes following completion of the session. There was a significant 97% increase in the level of salivary cortisol immediately following the high intensity exercise session (P<0.05. There was also a significant difference in salivary cortisol of 145% between the low intensity and high intensity exercise session immediately post-exercise (P<0.05. The low intensity exercise did not result in any significant changes in cortisol levels. There was also a significant difference between the session RPE values for the different intensity levels (high intensity 7.1 vs. low intensity 1.9 (P<0.05. The intraclass correlation coefficient for the session RPE measure was 0.95. It was concluded that the session RPE method is a valid and reliable method of

  6. Low-cycle fatigue of heat-resistant alloys in high-temperature gas-cooled reactor helium

    International Nuclear Information System (INIS)

    Tsuji, H.; Kondo, T.

    1984-01-01

    Strain controlled low-cycle fatigue tests were conducted on four nickel-base heat-resistant alloys at 900 0 C in simulated high-temperature gas-cooled reactor (HTGR) environments and high vacuums of about 10 -6 Pa. The observed behaviors of the materials were different and divided into two groups when tests were made in simulated HTGR helium, while all materials behaved similarly in vacuums. The materials that have relatively high ductility and compatibility with impure helium at test temperature showed considerable resistance to the fatigue damage in impure helium. On the other hand, the alloys qualified with their high creep strength were seen to suffer from the adverse effects of impure helium and the trend of intergranular cracking as well. The results were analyzed in terms of their susceptibility to the environmentenhanced fatigue damage by examining the ratios of the performance in impure helium to in vacuum. The materials that showed rather unsatisfactory resistance were considered to be characterized by their limited ductility partly due to their coarse grain structure and susceptibility to intergranular oxidation. Moderate carburization was commonly noted in all materials, particularly at the cracked portions, indicating that carbon intrusion had occurred during the crack growth stage

  7. Prototype of high resolution PET using resistive electrode position sensitive CdTe detectors

    International Nuclear Information System (INIS)

    Kikuchi, Yohei; Ishii, Keizo; Matsuyama, Shigeo; Yamazaki, Hiromichi

    2008-01-01

    Downsizing detector elements makes it possible that spatial resolutions of positron emission tomography (PET) cameras are improved very much. From this point of view, semiconductor detectors are preferable. To obtain high resolution, the pixel type or the multi strip type of semiconductor detectors can be used. However, in this case, there is a low packing ratio problem, because a dead area between detector arrays cannot be neglected. Here, we propose the use of position sensitive semiconductor detectors with resistive electrode. The CdTe detector is promising as a detector for PET camera because of its high sensitivity. In this paper, we report development of prototype of high resolution PET using resistive electrode position sensitive CdTe detectors. We made 1-dimensional position sensitive CdTe detectors experimentally by changing the electrode thickness. We obtained 750 A as an appropriate thickness of position sensitive detectors, and evaluated the performance of the detector using a collimated 241 Am source. A good position resolution of 1.2 mm full width half maximum (FWHM) was obtained. On the basis of the fundamental development of resistive electrode position sensitive detectors, we constructed a prototype of high resolution PET which was a dual head type and was consisted of thirty-two 1-dimensional position sensitive detectors. In conclusion, we obtained high resolutions which are 0.75 mm (FWHM) in transaxial, and 1.5 mm (FWHM) in axial. (author)

  8. Synthesis, antitubercular activity and mechanism of resistance of highly effective thiacetazone analogues.

    Directory of Open Access Journals (Sweden)

    Geoffrey D Coxon

    Full Text Available Defining the pharmacological target(s of currently used drugs and developing new analogues with greater potency are both important aspects of the search for agents that are effective against drug-sensitive and drug-resistant Mycobacterium tuberculosis. Thiacetazone (TAC is an anti-tubercular drug that was formerly used in conjunction with isoniazid, but removed from the antitubercular chemotherapeutic arsenal due to toxic side effects. However, several recent studies have linked the mechanisms of action of TAC to mycolic acid metabolism and TAC-derived analogues have shown increased potency against M. tuberculosis. To obtain new insights into the molecular mechanisms of TAC resistance, we isolated and analyzed 10 mutants of M. tuberculosis that were highly resistant to TAC. One strain was found to be mutated in the methyltransferase MmaA4 at Gly101, consistent with its lack of oxygenated mycolic acids. All remaining strains harbored missense mutations in either HadA (at Cys61 or HadC (at Val85, Lys157 or Thr123, which are components of the β-hydroxyacyl-ACP dehydratase complex that participates in the mycolic acid elongation step. Separately, a library of 31 new TAC analogues was synthesized and evaluated against M. tuberculosis. Two of these compounds, 15 and 16, exhibited minimal inhibitory concentrations 10-fold lower than the parental molecule, and inhibited mycolic acid biosynthesis in a dose-dependent manner. Moreover, overexpression of HadAB HadBC or HadABC in M. tuberculosis led to high level resistance to these compounds, demonstrating that their mode of action is similar to that of TAC. In summary, this study uncovered new mutations associated with TAC resistance and also demonstrated that simple structural optimization of the TAC scaffold was possible and may lead to a new generation of TAC-derived drug candidates for the potential treatment of tuberculosis as mycolic acid inhibitors.

  9. Highly radiation-resistant vacuum impregnation resin systems for fusion magnet insulation

    International Nuclear Information System (INIS)

    Fabian, P.E.; Munshi, N.A.; Denis, R.J.

    2002-01-01

    Magnets built for fusion devices such as the newly proposed Fusion Ignition Research Experiment (FIRE) need to be highly reliable, especially in a high radiation environment. Insulation materials are often the weak link in the design of superconducting magnets due to their sensitivity to high radiation doses, embrittlement at cryogenic temperatures, and the limitations on their fabricability. An insulation system capable of being vacuum impregnated with desirable properties such as a long pot-life, high strength, and excellent electrical integrity and which also provides high resistance to radiation would greatly improve magnet performance and reduce the manufacturing costs. A new class of insulation materials has been developed utilizing cyanate ester chemistries combined with other known radiation-resistant resins, such as bismaleimides and polyimides. These materials have been shown to meet the demanding requirements of the next generation of devices, such as FIRE. Post-irradiation testing to levels that exceed those required for FIRE showed no degradation in mechanical properties. In addition, the cyanate ester-based systems showed excellent performance at cryogenic temperatures and possess a wide range of processing variables, which will enable cost-effective fabrication of new magnets. This paper details the processing parameters, mechanical properties at 76 K and 4 K, as well as post-irradiation testing to dose levels surpassing 10 8 Gy

  10. Phenotypic and genomic comparisons of highly vancomycin-resistant Staphylococcus aureus strains developed from multiple clinical MRSA strains by in vitro mutagenesis.

    Science.gov (United States)

    Ishii, Kenichi; Tabuchi, Fumiaki; Matsuo, Miki; Tatsuno, Keita; Sato, Tomoaki; Okazaki, Mitsuhiro; Hamamoto, Hiroshi; Matsumoto, Yasuhiko; Kaito, Chikara; Aoyagi, Tetsuji; Hiramatsu, Keiichi; Kaku, Mitsuo; Moriya, Kyoji; Sekimizu, Kazuhisa

    2015-11-25

    The development of vancomycin (VCM) resistance in Staphylococcus aureus threatens global health. Studies of the VCM-resistance mechanism and alternative therapeutic strategies are urgently needed. We mutagenized S. aureus laboratory strains and methicillin-resistant S. aureus (MRSA) with ethyl methanesulfonate, and isolated mutants that exhibited high resistance to VCM (minimum inhibitory concentration = 32 μg/ml). These VCM-resistant strains were sensitive to linezolid and rifampicin, and partly to arbekacin and daptomycin. Beta-lactams had synergistic effects with VCM against these mutants. VCM-resistant strains exhibited a 2-fold increase in the cell wall thickness. Several genes were commonly mutated among the highly VCM-resistant mutants. These findings suggest that MRSA has a potential to develop high VCM resistance with cell wall thickening by the accumulation of mutations.

  11. High resisting alloy without Co used in nuclear industry

    International Nuclear Information System (INIS)

    Balleret, Alain.

    1976-01-01

    The description is given of a high resistance alloy characterised in that it includes by weight 5 to 14% molybdenum, 19 to 32% chromium, 2 to 8% tungsten, 6 to 50% nickel, 0.2 to 2.8% carbon, 0 to 5% vanadium, 0 to 5% zirconium, 0 to 5% niobium-tantalum, 0 to 3% manganese, 0 to 3% silicon, 0 to 1.5% boron and iron in an amount to ensure the global balance of this alloy [fr

  12. A Challenge to Improve High-Temperature Platinum Resistance Thermometer

    Science.gov (United States)

    Tanaka, Y.; Widiatmo, J. V.; Harada, K.; Kobayashi, T.; Yamazawa, K.

    2017-05-01

    High-temperature standard platinum resistance thermometers (HTSPRTs) are used to interpolate the international temperature scale of 1990 (ITS-90), especially for temperatures between the aluminum and the silver points. For this, long-term stability of the HTSPRT is essential. CHINO R800-3L type SPRT, which has a nominal resistance at the triple point of water (TPW) around 0.25 Ω , is the one developed earlier for the interpolation of the ITS-90 at this temperature range. Further development to this previous model has been carried out for the purpose of improving the thermal stability. The improvement was focused on reducing the effect coming from the difference in thermal expansion between platinum wire and the quartz frame on which the platinum wire is installed. New HTSPRTs were made by CHINO Corporation. Some series of tests were carried out at CHINO and at NMIJ. Initial tests after the HTSPRT fabrication were done at CHINO, where thermal cycles between 500°C and 980°C were applied to the HTSPRTs to see change in the resistances at the TPW (R_{TPW}) and at the gallium point (R_{Ga}). Repeated resistance measurements at the silver point (R_{Ag}) were performed after completing the thermal cycling test. Before and after every measurement at silver point, R_{TPW} was measured, while before and after every two silver point realization R_{Ga} were measured. After completing this test, the HTSPRTs were transported to NMIJ, where the same repeated measurements at the silver point were done at NMIJ. These were then repeated at CHINO and at NMIJ upon repeated transportation among the institutes, to evaluate some effect due to transportation. This paper reports the details of the above-mentioned tests, the results and the analysis.

  13. A high molybdenum stainless steel and its resistance to chloride environments in the welded condition

    International Nuclear Information System (INIS)

    Coppolecchia, V.D.; Jasner, M.; Rockel, M.B.

    1988-01-01

    Highly alloyed stainless steels, such as 1925 hMo UNS N08925 with 6 percent molybdenum, are finding widespread use in high chloride cooling water and process environments. This alloy has good general corrosion resistance to a variety of chloride environments but it's main attraction is excellent resistance to all forms of localized corrosion. In aggressive chloride environments weldments are generally the area of concern with regard to localized corrosion. Temperature-time-sensitization diagrams are presented that demonstrate the resistance of 1925 hMo weldments to intergranular attack. Immersion tests in 10% ferric chloride substantiate that autogenous tube welds, also have excellent pitting resistance. Various filler metals are compared both electrochemically and in immersion tests. These comparisons reveal that an overalloyed filler metal is required to achieve pitting and crevice corrosion resistance equal or better than that of the base metal. Alloy 625 (UNS NO6625) has been selected. Constant extension rate tests in boiling 62% calcium chloride reveal that 1925 hMo weldments are immune to stress corrosion cracking in this environment which virtually guarantees absence of SCC in seawater regardless of temperature as well as in most commercial chemical environments

  14. Cyclic fatigue of a high-strength corrosion-resistant sheet TRIP steel

    Science.gov (United States)

    Terent'ev, V. F.; Alekseeva, L. E.; Korableva, S. A.; Prosvirnin, D. V.; Pankova, M. N.; Filippov, G. A.

    2014-04-01

    The mechanical properties of 0.3- and 0.8-mm-thick high-strength corrosion-resistant TRIP steel having various levels of strength properties are studied during static and cyclic loading in the high-cycle fatigue range. The fatigue fracture surface is analyzed by fractography, and the obtained results demonstrate ductile and quasi-brittle fracture mechanisms of this steel depending on the strength properties of the steel and the content of deformation martensite in it.

  15. Une genese du «parler de soi » du deja-la a l’evocation de l’absent dans l’activite dialogique du tout jeune enfant

    Directory of Open Access Journals (Sweden)

    Amina Bensalah

    2010-12-01

    Full Text Available

    L’analyse porte sur des productions langagières verbales et non-verbales les plus ordinaires entre des adultes et de très jeunes enfants âgés de moins de deux ans. En articulant les notions de l’évocation de l’absent et du déjà-là, notions qui mettent en avant le processus d’une «temporalité-spatialisée», je problématise la genèse d’un soi comme objet qui se donne à voir dans et par l’activité discursive. Mon hypothèse est que, s’agissant du tout jeune enfant qui ne peut donc s’auto-thématiser ni référer à lui-même de façon explicite, c’est bien dans l’évocation d’autrui et d’autres objets du monde qu’indirectement, il nous «parle» de lui. Trois éléments viennent étayer ma réfl exion pour répondre à la problématique posée : les notions de temporalité, de spatialité et d’affect. Elles sont clairement présentes dans les initiatives de demande, dans les mouvements des échanges et dans les séquences «pré-narratives» produites par l’enfant. Au vu des corpus, ces trois notions m’ont paru inséparables du lieu même où elles font ancrage, à savoir : l’interaction et le dialogue avec l’autre. L’approche adoptée dans l’analyse pour argumenter l’idée de l’expression d’un «parler de soi» chez le tout jeune enfant n’est pas tant, au sens strict, de type linguistique que de type pragmatique. Aussi, j’analyse les effets réciproques entre l’interaction et les échanges qui la modèlent.

  16. A new contact electric resistance technique for in-situ measurement of the electric resistance of surface films on metals in electrolytes at high temperatures and pressures

    International Nuclear Information System (INIS)

    Saario, T.; Marichev, V.A.

    1993-01-01

    Surface films play a major role in corrosion assisted cracking. A new Contact Electric Resistance (CER) method has been recently developed for in situ measurement of the electric resistance of surface films. The method has been upgraded for high temperature high pressure application. The technique can be used for any electrically conductive material in any environment including liquid, gas or vacuum. The technique has been used to determine in situ the electric resistance of films on metals during adsorption of water and anions, formation and destruction of oxides and hydrides, electroplating of metals and to study the electric resistance of films on semiconductors. The resolution of the CER technique is 10 -9 Ω, which corresponds to about 0.03 monolayers of deposited copper during electrochemical deposition Cu/Cu 2+ . Electric resistance data can be measured with a frequency of the order of one hertz, which enables one to follow in situ the kinetics of surface film related processes. The kinetics of these processes and their dependence on the environment, temperature, pH and electrochemical potential can be investigated

  17. Peptides Displayed as High Density Brush Polymers Resist Proteolysis and Retain Bioactivity

    Science.gov (United States)

    2015-01-01

    We describe a strategy for rendering peptides resistant to proteolysis by formulating them as high-density brush polymers. The utility of this approach is demonstrated by polymerizing well-established cell-penetrating peptides (CPPs) and showing that the resulting polymers are not only resistant to proteolysis but also maintain their ability to enter cells. The scope of this design concept is explored by studying the proteolytic resistance of brush polymers composed of peptides that are substrates for either thrombin or a metalloprotease. Finally, we demonstrate that the proteolytic susceptibility of peptide brush polymers can be tuned by adjusting the density of the polymer brush and offer in silico models to rationalize this finding. We contend that this strategy offers a plausible method of preparing peptides for in vivo use, where rapid digestion by proteases has traditionally restricted their utility. PMID:25314576

  18. Low-load high volume resistance exercise stimulates muscle protein synthesis more than high-load low volume resistance exercise in young men.

    Directory of Open Access Journals (Sweden)

    Nicholas A Burd

    Full Text Available BACKGROUND: We aimed to determine the effect of resistance exercise intensity (%1 repetition maximum-1RM and volume on muscle protein synthesis, anabolic signaling, and myogenic gene expression. METHODOLOGY/PRINCIPAL FINDINGS: Fifteen men (21+/-1 years; BMI=24.1+/-0.8 kg/m2 performed 4 sets of unilateral leg extension exercise at different exercise loads and/or volumes: 90% of repetition maximum (1RM until volitional failure (90FAIL, 30% 1RM work-matched to 90%FAIL (30WM, or 30% 1RM performed until volitional failure (30FAIL. Infusion of [ring-13C6] phenylalanine with biopsies was used to measure rates of mixed (MIX, myofibrillar (MYO, and sarcoplasmic (SARC protein synthesis at rest, and 4 h and 24 h after exercise. Exercise at 30WM induced a significant increase above rest in MIX (121% and MYO (87% protein synthesis at 4 h post-exercise and but at 24 h in the MIX only. The increase in the rate of protein synthesis in MIX and MYO at 4 h post-exercise with 90FAIL and 30FAIL was greater than 30WM, with no difference between these conditions; however, MYO remained elevated (199% above rest at 24 h only in 30FAIL. There was a significant increase in AktSer473 at 24h in all conditions (P=0.023 and mTORSer2448 phosphorylation at 4 h post-exercise (P=0.025. Phosporylation of Erk1/2Tyr202/204, p70S6KThr389, and 4E-BP1Thr37/46 increased significantly (P<0.05 only in the 30FAIL condition at 4 h post-exercise, whereas, 4E-BP1Thr37/46 phosphorylation was greater 24 h after exercise than at rest in both 90FAIL (237% and 30FAIL (312% conditions. Pax7 mRNA expression increased at 24 h post-exercise (P=0.02 regardless of condition. The mRNA expression of MyoD and myogenin were consistently elevated in the 30FAIL condition. CONCLUSIONS/SIGNIFICANCE: These results suggest that low-load high volume resistance exercise is more effective in inducing acute muscle anabolism than high-load low volume or work matched resistance exercise modes.

  19. Nanosecond X-ray detector based on high resistivity ZnO single crystal semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Xiaolong; He, Yongning, E-mail: yongning@mail.xjtu.edu.cn; Peng, Wenbo; Huang, Zhiyong; Qi, Xiaomeng; Pan, Zijian; Zhang, Wenting [School of Electronic and Information Engineering, Xi' an Jiaotong University, Xi' an 710049 (China); Chen, Liang; Liu, Jinliang; Zhang, Zhongbing; Ouyang, Xiaoping [Radiation Detection Research Center, Northwest Institute of Nuclear Technology, Xi' an 710024 (China)

    2016-04-25

    The pulse radiation detectors are sorely needed in the fields of nuclear reaction monitoring, material analysis, astronomy study, spacecraft navigation, and space communication. In this work, we demonstrate a nanosecond X-ray detector based on ZnO single crystal semiconductor, which emerges as a promising compound-semiconductor radiation detection material for its high radiation tolerance and advanced large-size bulk crystal growth technique. The resistivity of the ZnO single crystal is as high as 10{sup 13} Ω cm due to the compensation of the donor defects (V{sub O}) and acceptor defects (V{sub Zn} and O{sub i}) after high temperature annealing in oxygen. The photoconductive X-ray detector was fabricated using the high resistivity ZnO single crystal. The rise time and fall time of the detector to a 10 ps pulse electron beam are 0.8 ns and 3.3 ns, respectively, indicating great potential for ultrafast X-ray detection applications.

  20. Prediction of the Chloride Resistance of Concrete Modified with High Calcium Fly Ash Using Machine Learning.

    Science.gov (United States)

    Marks, Michał; Glinicki, Michał A; Gibas, Karolina

    2015-12-11

    The aim of the study was to generate rules for the prediction of the chloride resistance of concrete modified with high calcium fly ash using machine learning methods. The rapid chloride permeability test, according to the Nordtest Method Build 492, was used for determining the chloride ions' penetration in concrete containing high calcium fly ash (HCFA) for partial replacement of Portland cement. The results of the performed tests were used as the training set to generate rules describing the relation between material composition and the chloride resistance. Multiple methods for rule generation were applied and compared. The rules generated by algorithm J48 from the Weka workbench provided the means for adequate classification of plain concretes and concretes modified with high calcium fly ash as materials of good, acceptable or unacceptable resistance to chloride penetration.

  1. Cavity resonance absorption in ultra-high bandwidth CRT deflection structure by a resistive load

    Science.gov (United States)

    Dunham, M.E.; Hudson, C.L.

    1993-05-11

    An improved ultra-high bandwidth helical coil deflection structure for a cathode ray tube is described comprising a first metal member having a bore therein, the metal walls of which form a first ground plane; a second metal member coaxially mounted in the bore of the first metal member and forming a second ground plane; a helical deflection coil coaxially mounted within the bore between the two ground planes; and a resistive load disposed in one end of the bore and electrically connected to the first and second ground planes, the resistive load having an impedance substantially equal to the characteristic impedance of the coaxial line formed by the two coaxial ground planes to inhibit cavity resonance in the structure within the ultra-high bandwidth of operation. Preferably, the resistive load comprises a carbon film on a surface of an end plug in one end of the bore.

  2. Accumulation of multiple mutations in linezolid-resistant Staphylococcus epidermidis causing bloodstream infections; in silico analysis of L3 amino acid substitutions that might confer high-level linezolid resistance.

    Science.gov (United States)

    Ikonomidis, Alexandros; Grapsa, Anastasia; Pavlioglou, Charikleia; Demiri, Antonia; Batarli, Alexandra; Panopoulou, Maria

    2016-12-01

    Fifty-six Staphylococcus epidermidis clinical isolates, showing high-level linezolid resistance and causing bacteremia in critically ill patients, were studied. All isolates belonged to ST22 clone and carried the T2504A and C2534T mutations in gene coding for 23SrRNA as well as the C189A, G208A, C209T and G384C missense mutations in L3 protein which resulted in Asp159Tyr, Gly152Asp and Leu94Val substitutions. Other silent mutations were also detected in genes coding for ribosomal proteins L3 and L22. In silico analysis of missense mutations showed that although L3 protein retained the sequence of secondary motifs, the tertiary structure was influenced. The observed alteration in L3 protein folding provides an indication on the putative role of L3-coding gene mutations in high-level linezolid resistance. Furthermore, linezolid pressure in health care settings where linezolid consumption is of high rates might lead to the selection of resistant mutants possessing L3 mutations that might confer high-level linezolid resistance.

  3. Detection and Control of Spin-Orbit Interactions in a GaAs Hole Quantum Point Contact

    Science.gov (United States)

    Srinivasan, A.; Miserev, D. S.; Hudson, K. L.; Klochan, O.; Muraki, K.; Hirayama, Y.; Reuter, D.; Wieck, A. D.; Sushkov, O. P.; Hamilton, A. R.

    2017-04-01

    We investigate the relationship between the Zeeman interaction and the inversion-asymmetry-induced spin-orbit interactions (Rashba and Dresselhaus SOIs) in GaAs hole quantum point contacts. The presence of a strong SOI results in the crossing and anticrossing of adjacent spin-split hole subbands in a magnetic field. We demonstrate theoretically and experimentally that the anticrossing energy gap depends on the interplay between the SOI terms and the highly anisotropic hole g tensor and that this interplay can be tuned by selecting the crystal axis along which the current and magnetic field are aligned. Our results constitute the independent detection and control of the Dresselhaus and Rashba SOIs in hole systems, which could be of importance for spintronics and quantum information applications.

  4. Apical serine protease activity is necessary for assembly of a high-resistance renal collecting duct epithelium

    DEFF Research Database (Denmark)

    Steensgaard, Mette; Svenningsen, Per; Tinning, Anne R

    2010-01-01

    Abstract AIM: We hypothesized that the serine protease prostasin is necessary for differentiation of a high resistance renal collecting duct epithelium governed by glucocorticoid. METHODS: Postnatal rat kidney and adult human kidney was used to study expression and localization of prostasin......-cadherin distribution did not change. CONCLUSION: Apical, GPI-anchored, lipid raft-associated serine protease activity, compatible with prostasin, is necessary for development of a high-resistance collecting duct epithelium....

  5. Electrostatic Comb-Drive Actuator with High In-Plane Translational Velocity

    Directory of Open Access Journals (Sweden)

    Yomna M. Eltagoury

    2016-10-01

    Full Text Available This work reports the design and opto-mechanical characterization of high velocity comb-drive actuators producing in-plane motion and fabricated using the technology of deep reactive ion etching (DRIE of silicon-on-insulator (SOI substrate. The actuators drive vertical mirrors acting on optical beams propagating in-plane with respect to the substrate. The actuator-mirror device is a fabrication on an SOI wafer with 80 μm etching depth, surface roughness of about 15 nm peak to valley and etching verticality that is better than 0.1 degree. The travel range of the actuators is extracted using an optical method based on optical cavity response and accounting for the diffraction effect. One design achieves a travel range of approximately 9.1 µm at a resonance frequency of approximately 26.1 kHz, while the second design achieves about 2 µm at 93.5 kHz. The two specific designs reported achieve peak velocities of about 1.48 and 1.18 m/s, respectively, which is the highest product of the travel range and frequency for an in-plane microelectromechanical system (MEMS motion under atmospheric pressure, to the best of the authors’ knowledge. The first design possesses high spring linearity over its travel range with about 350 ppm change in the resonance frequency, while the second design achieves higher resonance frequency on the expense of linearity. The theoretical predications and the experimental results show good agreement.

  6. Monitoring of high temperature area by resistivity tomography during in-situ heating test in sedimentary soft rocks

    International Nuclear Information System (INIS)

    Kubota, Kenji; Suzuki, Koichi; Ikenoya, Takafumi; Takakura, Nozomu; Tani, Kazuo

    2009-01-01

    One of the major issues in disposal of nuclear waste is that the long term behaviors of sedimentary soft rocks can be affected by various environmental factors such as temperature, mechanical conditions or hydraulic conditions. Therefore, it is necessary to develop a method for evaluating the long term stability of caverns in sedimentary soft rocks as subjected to changes of environment. We have conducted in-situ heating test to evaluate the influence of high temperature to the surrounding rock mass at a depth of 50 m. The well with a diameter of 30 cm and 60 cm of height, was drilled and filled with groundwater. The heater was installed in the well for heating the surrounding rock mass. During the heating, temperature and deformation around the well were measured. To evaluate the influence of heating on sedimentary soft rocks, it is important to monitor the extent of heated area. Resistivity monitoring is thought to be effective to map the extent of the high temperature area. So we have conducted resistivity tomography during the heating test. The results demonstrated that the resistivity of the rock mass around the heating well decreased and this area was gradually expanded from the heated area during the heating. The decreasing rate of resistivity on temperature is correlated to that of laboratory experimental result and existing empirical formula between aqueous solution resistivity and temperature. Resistivity is changed by many other factors, but it is expected that resistivity change by other factors is very few in this test. This suggests that high temperature area is detected and spatial distribution of temperature can be mapped by resistivity tomography. So resistivity tomography is expected to be one of the promising methods to monitor the area heated by nuclear waste. (author)

  7. Serum Cytokine Responses over the Entire Clinical-Immunological Spectrum of Human Leishmania (L. infantum chagasi Infection

    Directory of Open Access Journals (Sweden)

    Patrícia Karla Ramos

    2016-01-01

    Full Text Available The clinical-immunological spectrum of human Leishmania (L. infantum chagasi infection in Amazonian Brazil was recently reviewed based on clinical, DTH, and IFAT (IgG evaluations that identified five profiles: three asymptomatic (asymptomatic infection, AI; subclinical resistant infection, SRI; and indeterminate initial infection, III and two symptomatic (symptomatic infection, SI; American visceral leishmaniasis, AVL; and subclinical oligosymptomatic infection, SOI. TNF-α, IL-4, IL-6, and IL-10 serum cytokines were analyzed using multiplexed Cytometric Bead Array in 161 samples from endemic areas in the Brazilian Amazon: SI [AVL] (21 cases, III (49, SRI (19, SOI (12, AI (36, and a control group [CG] (24. The highest IL-6 serum levels were observed in the SI profile (AVL; higher IL-10 serum levels were observed in SI than in SOI or CG and in AI and III than in SOI; higher TNF-α serum levels were seen in SI than in CG. Positive correlations were found between IL-6 and IL-10 serum levels in the SI and III profiles and between IL-6 and TNF-α and between IL-4 and TNF-α in the III profile. These results provide strong evidence for associating IL-6 and IL-10 with the immunopathogenesis of AVL and help clarify the role of these cytokines in the infection spectrum.

  8. Report on achievements in fiscal 1998. Development on an immediately effective and innovative energy and environment technology (Research and development of an information terminal LSI requiring very low power consumption); 1998 nendo sokkoteki kakushinteki energy kankyo gijutsu kaihatsu seika hokokusho. Gokuteidenryoku joho tanmatsuyo LSI no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-09-01

    It is intended that the technology for SOI expected of consuming very small power and operating at high speed be realized as an information terminal LSI for the coming 21st century. Therefore, research and development is made on the fundamental technology for LSI which operates in CMOS devices at high speed even with as very low voltage as about 0.5V by applying the optimized digital and analog circuit technology. Specifically, the aim is placed on enhancement of drive capability of transistors over that in the present devices, reduction of load capacity, and a very thin film complete depletion type SOI device that can be most expected of reduction of area as the main subjects. It is also intended to establish a method to realize very low power consuming LSI by using a CMOS circuit (a multi threshold value type CMOS circuit) that uses transistors with different threshold voltages and is optimized for the above SOI device. The achievements in this fiscal year include: a prototype 256kbSRAM was fabricated by using different design rules and wafer sizes, and the performance evaluation thereon was obtained; investigations were carried out on selection of SOI models for circuit simulation and on a high accuracy complete depletion type SOI models; and design criteria required for LSI design were put in order for comprehensive trial fabrication. (NEDO)

  9. Electrical resistance, superconductivity and phase transformations of Rb and Cs under high pressure

    International Nuclear Information System (INIS)

    Ullrich, K.

    1980-01-01

    Four lead electrical resistance measurements were performed on Rb under pressures up to 210 kbar for temperatures in the range 0.05 K to 300 K. Pressure was applied using a Bridgman-anvil-configuration with dense sintered diamond in the highly stressed tip regions of the Carboloy pistons. The sample cell was pressurized at room temperature by a mechanical press connected to the mixing chamber of a 3 He- 4 He-cryostat. The pressure remained essentially constant during cooling. Discontinuous changes in resistance at pressures of 70 and 140 kbar indicate two phase transitions and confirm the results of other authors. The resistance of Rb increases after a minimum at 20 kbar by about two orders of magnitude. (orig.) [de

  10. Ballistic spin interferometer based on the Rashba and Dresselhaus spin-orbit interactions

    International Nuclear Information System (INIS)

    Ni Jiating; Chen Bin; Koga, T.

    2008-01-01

    By using the Al'tshuler-Aronov-Spivak (AAS) model, we give the amplitude changing with Rashba spin-orbit interaction (SOI) and Dresselhaus SOI strength. In the first idea 1D square loop (SL), Rashba SOI acts on two sides while Dresselhaus SOI acts on the other two sides. In the second SL, we consume Rashba SOI and Dresselhaus SOI act on four sides simultaneously. This model can be replaced by another one that Rashba SOI and Dresselhaus SOI act on every side independently, and each side is twice long. We theoretically illustrate the influence of the Dresselhaus SOI on node position and number. To explain the 'half oscillation' phenomenon found in experiment, we apply Dresselhaus SOI to the ideal 1D SL. The conclusion is that the Dresselhaus SOI has a strong effect on the emergence of 'half oscillation'

  11. Highly uniform and reliable resistive switching characteristics of a Ni/WOx/p+-Si memory device

    Science.gov (United States)

    Kim, Tae-Hyeon; Kim, Sungjun; Kim, Hyungjin; Kim, Min-Hwi; Bang, Suhyun; Cho, Seongjae; Park, Byung-Gook

    2018-02-01

    In this paper, we investigate the resistive switching behavior of a bipolar resistive random-access memory (RRAM) in a Ni/WOx/p+-Si RRAM with CMOS compatibility. Highly unifrom and reliable bipolar resistive switching characteristics are observed by a DC voltage sweeping and its switching mechanism can be explained by SCLC model. As a result, the possibility of metal-insulator-silicon (MIS) structural WOx-based RRAM's application to Si-based 1D (diode)-1R (RRAM) or 1T (transistor)-1R (RRAM) structure is demonstrated.

  12. 22. lecture meeting of the association for heat-resistant steels and the association for high temperature materials 'long-term performance of heat-resistant steels and high-temperature materials'. Proceedings

    International Nuclear Information System (INIS)

    1999-01-01

    The proceedings volume contains 14 full papers discussing the long-term performance of high-temperature resistant materials (creep, creep fatigue, crack growth). 13 papers have been analysed and processed for separate retrieval from the ENERGY database. (orig./CB) [de

  13. Sociosexuality from Argentina to Zimbabwe: a 48-nation study of sex, culture, and strategies of human mating.

    Science.gov (United States)

    Schmitt, David P

    2005-04-01

    The Sociosexual Orientation Inventory (SOI; Simpson & Gangestad 1991) is a self-report measure of individual differences in human mating strategies. Low SOI scores signify that a person is sociosexually restricted, or follows a more monogamous mating strategy. High SOI scores indicate that an individual is unrestricted, or has a more promiscuous mating strategy. As part of the International Sexuality Description Project (ISDP), the SOI was translated from English into 25 additional languages and administered to a total sample of 14,059 people across 48 nations. Responses to the SOI were used to address four main issues. First, the psychometric properties of the SOI were examined in cross-cultural perspective. The SOI possessed adequate reliability and validity both within and across a diverse range of modem cultures. Second, theories concerning the systematic distribution of sociosexuality across cultures were evaluated. Both operational sex ratios and reproductively demanding environments related in evolutionary-predicted ways to national levels of sociosexuality. Third, sex differences in sociosexuality were generally large and demonstrated cross-cultural universality across the 48 nations of the ISDP, confirming several evolutionary theories of human mating. Fourth, sex differences in sociosexuality were significantly larger when reproductive environments were demanding but were reduced to more moderate levels in cultures with more political and economic gender equality. Implications for evolutionary and social role theories of human sexuality are discussed.

  14. Increasing awareness about antibiotic use and resistance: a hands-on project for high school students.

    Science.gov (United States)

    Fonseca, Maria João; Santos, Catarina L; Costa, Patrício; Lencastre, Leonor; Tavares, Fernando

    2012-01-01

    Health-promoting education is essential to foster an informed society able to make decisions about socio-scientific issues based on scientifically sustained criteria. Antibiotic resistance is currently a major public health issue. Considering that irrational antibiotic use has been associated with the development and widespread of antibiotic resistant bacteria, educational interventions to promote prudent antibiotic consumption are required. This study focuses on the outcomes of an interventional program implemented at the University of Porto, Portugal, to promote awareness about antibiotic resistance at high school levels (15-17 year old). The project Microbiology recipes: antibiotics à la carte articulates a set of wet and dry lab activities designed to promote the participants' understanding of concepts and processes underlying antibiotics' production and activity, such as the notion of mechanisms of action of antibiotics. Following a mix-method approach based on a pre-/post design, the effectiveness of this project was assessed by gathering data from surveys, direct observation and analysis of artifacts of 42 high school students (aged 15 and 16 years). The results indicate that the participants developed a more comprehensive picture of antibiotic resistance. The project was shown to promote more sophisticated conceptualizations of bacteria and antibiotics, increased awareness about the perils of antibiotic resistance, and enhanced consciousness towards measures that can be undertaken to mitigate the problem. The participants regarded their experiences as enjoyable and useful, and believed that the project contributed to improve their understanding and raise their interest about the issues discussed. Furthermore, there were also improvements in their procedural skills concerning the laboratory techniques performed. This study evidences the possibility of increasing high school students' awareness about the consequences of antibiotic resistance and the

  15. Écritures de soi en souffrance: une lecture des régimes structurant l’imaginaire du texte social vivant

    Directory of Open Access Journals (Sweden)

    Orazio Maria Valastro

    2010-02-01

    Full Text Available Les études ici réunies vont nous permettre d’examiner différentes genres d’écritures et typologies d’écrivains (poétique et épistolaire, roman autobiographique et autofiction, narratif et témoignage, explorant un corpus considérable (œuvres littéraires et littératures personnelles et des pratiques significatives (activités narratives et autobiographiques. Le thème proposé, les écritures de soi en souffrance, se dénoue sollicitant une réflexion sur les rapports entre les œuvres et les différents contextes sociaux et historiques. Nous pouvons envisager et saisir l’ensemble du corpus et des pratiques considérées en tant que texte social vivant, inscrivant l’expérience de l’existence et du monde dans la pratique de l’écriture. (... Nous allons solliciter et proposer une lecture sociologique et anthropologique de l’ensemble des études proposés au sein du numéro monographique, privilégiant une analyse de la matrice du discours social structurant la conscience individuelle et collective.

  16. Long duration performance of high temperature irradiation resistant thermocouples

    International Nuclear Information System (INIS)

    Rempe, J.; Knudson, D.; Condie, K.; Cole, J.; Wilkins, S.C.

    2007-01-01

    Many advanced nuclear reactor designs require new fuel, cladding, and structural materials. Data are needed to characterize the performance of these new materials in high temperature, radiation conditions. However, traditional methods for measuring temperature in-pile degrade at temperatures above 1100 C degrees. To address this instrumentation need, the Idaho National Laboratory (INL) developed and evaluated the performance of a high temperature irradiation-resistant thermocouple that contains alloys of molybdenum and niobium. To verify the performance of INL's recommended thermocouple design, a series of high temperature (from 1200 to 1800 C) long duration (up to six months) tests has been initiated. This paper summarizes results from the tests that have been completed. Data are presented from 4000 hour tests conducted at 1200 and 1400 C that demonstrate the stability of this thermocouple (less than 2% drift). In addition, post test metallographic examinations are discussed which confirm the compatibility of thermocouple materials throughout these long duration, high temperature tests. (authors)

  17. High amylose resistant starch diet ameliorates oxidative stress, inflammation, and progression of chronic kidney disease.

    Directory of Open Access Journals (Sweden)

    Nosratola D Vaziri

    Full Text Available Inflammation is a major mediator of CKD progression and is partly driven by altered gut microbiome and intestinal barrier disruption, events which are caused by: urea influx in the intestine resulting in dominance of urease-possessing bacteria; disruption of epithelial barrier by urea-derived ammonia leading to endotoxemia and bacterial translocation; and restriction of potassium-rich fruits and vegetables which are common sources of fermentable fiber. Restriction of these foods leads to depletion of bacteria that convert indigestible carbohydrates to short chain fatty acids which are important nutrients for colonocytes and regulatory T lymphocytes. We hypothesized that a high resistant starch diet attenuates CKD progression. Male Sprague Dawley rats were fed a chow containing 0.7% adenine for 2 weeks to induce CKD. Rats were then fed diets supplemented with amylopectin (low-fiber control or high fermentable fiber (amylose maize resistant starch, HAM-RS2 for 3 weeks. CKD rats consuming low fiber diet exhibited reduced creatinine clearance, interstitial fibrosis, inflammation, tubular damage, activation of NFkB, upregulation of pro-inflammatory, pro-oxidant, and pro-fibrotic molecules; impaired Nrf2 activity, down-regulation of antioxidant enzymes, and disruption of colonic epithelial tight junction. The high resistant starch diet significantly attenuated these abnormalities. Thus high resistant starch diet retards CKD progression and attenuates oxidative stress and inflammation in rats. Future studies are needed to explore the impact of HAM-RS2 in CKD patients.

  18. Collateral Resistance and Sensitivity Modulate Evolution of High-Level Resistance to Drug Combination Treatment in Staphylococcus aureus

    DEFF Research Database (Denmark)

    de Evgrafov, Mari Cristina Rodriguez; Gumpert, Heidi; Munck, Christian

    2015-01-01

    As drug-resistant pathogens continue to emerge, combination therapy will increasingly be relied upon to treat infections and to help combat further development of multidrug resistance. At present a dichotomy exists between clinical practice, which favors therapeutically synergistic combinations......, to reflect drug concentrations more likely to be encountered during treatment. We performed a series of adaptive evolution experiments using Staphylococcus aureus. Interestingly, no relationship between drug interaction type and resistance evolution was found as resistance increased significantly beyond wild......-type levels. All drug combinations, irrespective of interaction types, effectively limited resistance evolution compared with monotreatment. Cross-resistance and collateral sensitivity were found to be important factors in the extent of resistance evolution toward a combination. Comparative genomic analyses...

  19. Tetradecylthioacetic acid prevents high fat diet induced adiposity and insulin resistance

    DEFF Research Database (Denmark)

    Madsen, Lise; Guerre-Millo, Michéle; Flindt, Esben N

    2002-01-01

    Tetradecylthioacetic acid (TTA) is a non-beta-oxidizable fatty acid analog, which potently regulates lipid homeostasis. Here we evaluate the ability of TTA to prevent diet-induced and genetically determined adiposity and insulin resistance. In Wistar rats fed a high fat diet, TTA administration...... completely prevented diet-induced insulin resistance and adiposity. In genetically obese Zucker (fa/fa) rats TTA treatment reduced the epididymal adipose tissue mass and improved insulin sensitivity. All three rodent peroxisome proliferator-activated receptor (PPAR) subtypes were activated by TTA...... that a TTA-induced increase in hepatic fatty acid oxidation and ketogenesis drains fatty acids from blood and extrahepatic tissues and that this contributes significantly to the beneficial effects of TTA on fat mass accumulation and peripheral insulin sensitivity....

  20. Engineered SOI slot waveguide ring resonator V-shape resonance combs for refraction index sensing up to 1300nm/RIU (Conference Presentation)

    Science.gov (United States)

    Zhang, Weiwei; Serna, Samuel; Le Roux, Xavier; Vivien, Laurent; Cassan, Eric

    2016-05-01

    Bio-detection based on CMOS technology boosts the miniaturization of detection systems and the success on highly efficient, robust, accurate, and low coast Lab-on-Chip detection schemes. Such on chip detection technologies have covered healthy related harmful gases, bio-chemical analytes, genetic micro RNA, etc. Their monitoring accuracy is mainly qualified in terms of sensitivity and limit of the detection (LOD) of the detection system. In this context, recently developed silicon on insulator (SOI) optical devices have displayed highly performant detection abilities that LOD could go beyond 10-8RIU and sensitivity could exceeds 103nm/RIU. The SOI integrated optical sensing devices include strip/slotted waveguide consisting in structures like Mach-Zehnder interferometers (MZI), ring resonators (RR), nano cavities, etc. Typically, hollow core RR and nano-cavities could exhibit higher sensitivity due to their optical mode confinement properties with a partial localization of the electric field in low index sensing regions than devices based on evanescent field tails outside of the optical cores. Furthermore, they also provide larger sensing areas for surface functionalization to reach higher sensitivities and lower LODs. The state of art of hollow core devices, either based on Bragg gratings formed from a slot waveguide cavity or photonic crystal slot cavities, show sensitivities (S) up to 400nm/RIU and Figure of Merit (FOM) around 3,000 in water environment, FOM being defined as the inverse of LOD and precisely as FOM=SQ/λ, with λ the resonance wavelength and Q the quality factor of the considered resonator. Such high achieved FOMs in nano cavities are mainly due to their large Q factors around 15,000. While for mostly used RR, which do not require particular design strategies, relatively low Q factors around 1800 in water are met and moderate sensitivities about 300nm/RIU are found. In this work, we present here a novel slot ring resonator design to make