WorldWideScience

Sample records for high quality gan

  1. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  2. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  3. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    Science.gov (United States)

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  4. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  5. (-201) β-Gallium oxide substrate for high quality GaN materials

    KAUST Repository

    Roqan, Iman S.

    2015-03-13

    (-201) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. The key advantages of Ga2O3 are its small lattice mismatches (4.7%), appropriate structural, thermal and electrical properties and a competitive price compared to other substrates. Optical characterization show that GaN layers grown on (-201) oriented β-Ga2O3 are dominated by intense bandedge emission with a high luminescence efficiency. Atomic force microscopy studies show a modest threading dislocation density of ~108 cm-2, while complementary Raman spectroscopy indicates that the GaN epilayer is of high quality with slight compressive strain. Room temperature time-findings suggest that the limitation of the photoluminescence lifetime (~500 ps) is due to nonradiative recombination arising from threading dislocation. Therefore, by optimizing the growth conditions, high quality material with significant optical efficiency can be obtained.

  6. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  7. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  8. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    Science.gov (United States)

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  9. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila; Peres, M.; Yamashita, Y.; Morishima, Y.; Sato, S.; Franco, N.; Lorenz, K.; Kuramata, A.; Roqan, Iman S.

    2014-01-01

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  10. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila

    2014-07-28

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  11. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    Science.gov (United States)

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  12. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  13. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  14. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    Science.gov (United States)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  15. N-polar GaN epitaxy and high electron mobility transistors

    International Nuclear Information System (INIS)

    Wong, Man Hoi; Keller, Stacia; Dasgupta, Nidhi Sansaptak; Denninghoff, Daniel J; Kolluri, Seshadri; Brown, David F; Lu, Jing; Fichtenbaum, Nicholas A; Ahmadi, Elaheh; DenBaars, Steven P; Speck, James S; Mishra, Umesh K; Singisetti, Uttam; Chini, Alessandro; Rajan, Siddharth

    2013-01-01

    This paper reviews the progress of N-polar (0001-bar) GaN high frequency electronics that aims at addressing the device scaling challenges faced by GaN high electron mobility transistors (HEMTs) for radio-frequency and mixed-signal applications. Device quality (Al, In, Ga)N materials for N-polar heterostructures are developed using molecular beam epitaxy and metalorganic chemical vapor deposition. The principles of polarization engineering for designing N-polar HEMT structures will be outlined. The performance, scaling behavior and challenges of microwave power devices as well as highly-scaled depletion- and enhancement-mode devices employing advanced technologies including self-aligned processes, n+ (In,Ga)N ohmic contact regrowth and high aspect ratio T-gates will be discussed. Recent research results on integrating N-polar GaN with Si for prospective novel applications will also be summarized. (invited review)

  16. Phonon replica dynamics in high quality GaN epilayers and AlGaN/GaN quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    Alderighi, D.; Vinattieri, A.; Colocci, M. [Ist. Nazionale Fisica della Materia, Firenze (Italy); Dipt. di Fisica and LENS, Firenze (Italy); Bogani, F. [Ist. Nazionale Fisica della Materia, Firenze (Italy); Dipt. di Energetica, Firenze (Italy); Gottardo, S. [Dipt. di Fisica and LENS, Firenze (Italy); Grandjean, N.; Massies, J. [Centre de Recherche sur l' Hetero-Epitaxie et ses Applications, CNRS, Valbonne (France)

    2001-01-01

    We present an experimental study of the exciton and phonon replica dynamics in high quality GaN epilayers and AlGaN/GaN quantum wells (QW) by means of picosecond time-resolved photoluminescence (PL) measurements. A non-exponential decay is observed both at the zero phonon line (ZPL) and at the n = 1 LO replica. Time-resolved spectra unambiguously assign the replica to the free exciton A recombination. Optical migration effects are detected both in the epilayer and the QWs samples and disappear as the temperature increases up to 60-90 K. Even though the sample quality is comparable to state-of-the-art samples, localization effects dominate the exciton dynamics at low temperature in the studied GaN based structures. (orig.)

  17. Growth of high quality GaN epilayer on AlInN/GaN/AlInN/GaN multilayer buffer and its device characteristics

    International Nuclear Information System (INIS)

    Lee, Suk-Hun; Lee, Hyun-Hwi; Jung, Jong-Jae; Moon, Young-Bu; Kim, Tae Hoon; Baek, Jong Hyeob; Yu, Young Moon

    2004-01-01

    The role of AlInN 1st /GaN/AlInN 2nd /GaN multi-layer buffer (MLB) on the growth of the high quality GaN epilayers was demonstrated by atomic force microscope (AFM), X-ray diffraction (XRD), photoluminescence, and Hall measurement. The surface morphology and crystalline quality of GaN epilayers were considerably dependent on AlInN layers thicknesses rather than those of GaN inter layers. With optimal thickness of 2 nd AlInN layer, the pit density of GaN epilayers was substantially reduced. Also, the RMS roughness of the well ordered terraces generated on the GaN surface was 1.8 A at 5 x 5 μm 2 . The omega-rocking width of GaN(0002) Bragg peak and Hall mobility of GaN epilayers grown on AlInN 1st /GaN/AlInN 2nd /GaN MLB were 190 arcsec and 500 cm 2 /Vs, while those values of GaN epilayers on single GaN buffer layer were 250 arcsec and 250 cm 2 /Vs, respectively. Especially, the light output power and operating voltage of the fabricated light emitting diodes with this new buffer layer was about 5 mW and 3.1 V (dominant luminous wavelength ∝460 nm) at 20 mA, respectively. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  19. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  20. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  1. GaN Nanowire Arrays for High-Output Nanogenerators

    KAUST Repository

    Huang, Chi-Te

    2010-04-07

    Three-fold symmetrically distributed GaN nanowire (NW) arrays have been epitaxially grown on GaN/sapphire substrates. The GaN NW possesses a triangular cross section enclosed by (0001), (2112), and (2112) planes, and the angle between the GaN NW and the substrate surface is ∼62°. The GaN NW arrays produce negative output voltage pulses when scanned by a conductive atomic force microscope in contact mode. The average of piezoelectric output voltage was about -20 mV, while 5-10% of the NWs had piezoelectric output voltages exceeding -(0.15-0.35) V. The GaN NW arrays are highly stable and highly tolerate to moisture in the atmosphere. The GaN NW arrays demonstrate an outstanding potential to be utilized for piezoelectric energy generation with a performance probably better than that of ZnO NWs. © 2010 American Chemical Society.

  2. The Formation and Characterization of GaN Hexagonal Pyramids

    Science.gov (United States)

    Zhang, Shi-Ying; Xiu, Xiang-Qian; Lin, Zeng-Qin; Hua, Xue-Mei; Xie, Zi-Li; Zhang, Rong; Zheng, You-Dou

    2013-05-01

    GaN with hexagonal pyramids is fabricated using the photo-assisted electroless chemical etching method. Defective areas of the GaN substrate are selectively etched in a mixed solution of KOH and K2S2O8 under ultraviolet illumination, producing submicron-sized pyramids. Hexagonal pyramids on the etched GaN with well-defined {101¯1¯} facets and very sharp tips are formed. High-resolution x-ray diffraction shows that etched GaN with pyramids has a higher crystal quality, and micro-Raman spectra reveal a tensile stress relaxation in GaN with pyramids compared with normal GaN. The cathodoluminescence intensity of GaN after etching is significantly increased by three times, which is attributed to the reduction in the internal reflection, high-quality GaN with pyramids and the Bragg effect.

  3. Investigation of different mechanisms of GaN growth induced on AlN and GaN nucleation layers

    International Nuclear Information System (INIS)

    Tasco, V.; Campa, A.; Tarantini, I.; Passaseo, A.; Gonzalez-Posada, F.; Munoz, E.; Redondo-Cubero, A.; Lorenz, K.; Franco, N.

    2009-01-01

    The evolution of GaN growth on AlN and GaN nucleation layers is compared through morphological and structural analyses, including ion beam analysis. By using AlN nucleation layer grown at high temperature, improved crystalline quality is exhibited by 300 nm thin GaN epilayers. GaN (002) x-ray rocking curve as narrow as 168 arc sec and atomic-step surface morphology characterize such a thin GaN film on AlN. Defects are strongly confined into the first 50 nm of growth, whereas a fast laterally coherent growth is observed when increasing thickness, as an effect of high temperature AlN surface morphology and Ga adatom dynamics over this template

  4. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  5. High-Sensitivity GaN Microchemical Sensors

    Science.gov (United States)

    Son, Kyung-ah; Yang, Baohua; Liao, Anna; Moon, Jeongsun; Prokopuk, Nicholas

    2009-01-01

    Systematic studies have been performed on the sensitivity of GaN HEMT (high electron mobility transistor) sensors using various gate electrode designs and operational parameters. The results here show that a higher sensitivity can be achieved with a larger W/L ratio (W = gate width, L = gate length) at a given D (D = source-drain distance), and multi-finger gate electrodes offer a higher sensitivity than a one-finger gate electrode. In terms of operating conditions, sensor sensitivity is strongly dependent on transconductance of the sensor. The highest sensitivity can be achieved at the gate voltage where the slope of the transconductance curve is the largest. This work provides critical information about how the gate electrode of a GaN HEMT, which has been identified as the most sensitive among GaN microsensors, needs to be designed, and what operation parameters should be used for high sensitivity detection.

  6. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  7. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  8. High surface hole concentration p-type GaN using Mg implantation

    International Nuclear Information System (INIS)

    Long Tao; Yang Zhijian; Zhang Guoyi

    2001-01-01

    Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 17 cm -3 ) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration

  9. StackGAN++: Realistic Image Synthesis with Stacked Generative Adversarial Networks

    OpenAIRE

    Zhang, Han; Xu, Tao; Li, Hongsheng; Zhang, Shaoting; Wang, Xiaogang; Huang, Xiaolei; Metaxas, Dimitris

    2017-01-01

    Although Generative Adversarial Networks (GANs) have shown remarkable success in various tasks, they still face challenges in generating high quality images. In this paper, we propose Stacked Generative Adversarial Networks (StackGAN) aiming at generating high-resolution photo-realistic images. First, we propose a two-stage generative adversarial network architecture, StackGAN-v1, for text-to-image synthesis. The Stage-I GAN sketches the primitive shape and colors of the object based on given...

  10. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer.

    Science.gov (United States)

    Muhammed, M M; Roldan, M A; Yamashita, Y; Sahonta, S-L; Ajia, I A; Iizuka, K; Kuramata, A; Humphreys, C J; Roqan, I S

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1-xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 10(7) cm(-2)) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1-xN epilayers can be achieved with high optical quality of InxGa1-xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  11. High surface hole concentration p-type GaN using Mg implantation

    CERN Document Server

    Long Tao; Zhang Guo Yi

    2001-01-01

    Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 sup 1 sup 7 cm sup - sup 3) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration

  12. P-type doping of GaN

    International Nuclear Information System (INIS)

    Wong, R.K.

    2000-01-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover

  13. P-type doping of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Raechelle Kimberly [Univ. of California, Berkeley, CA (United States)

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.

  14. Control of residual carbon concentration in GaN high electron mobility transistor and realization of high-resistance GaN grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    He, X.G. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhao, D.G., E-mail: dgzhao@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Jiang, D.S.; Liu, Z.S.; Chen, P.; Le, L.C.; Yang, J.; Li, X.J. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhang, S.M.; Zhu, J.J.; Wang, H.; Yang, H. [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2014-08-01

    GaN films were grown by metal-organic chemical vapor deposition (MOCVD) under various growth conditions. The influences of MOCVD growth parameters, i.e., growth pressure, ammonia (NH{sub 3}) flux, growth temperature, trimethyl-gallium flux and H{sub 2} flux, on residual carbon concentration ([C]) were systematically investigated. Secondary ion mass spectroscopy measurements show that [C] can be effectively modulated by growth conditions. Especially, it can increase by reducing growth pressure up to two orders of magnitude. High-resistance (HR) GaN epilayer with a resistivity over 1.0 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. The mechanism of the formation of HR GaN epilayer is discussed. An Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor structure with a HR GaN buffer layer and an additional low-carbon GaN channel layer is presented, exhibiting a high two dimensional electron gas mobility of 1815 cm{sup 2}/Vs. - Highlights: • Influence of MOCVD parameters on residual carbon concentration in GaN is studied. • GaN layer with a resistivity over 1 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. • High electron mobility transistor (HEMT) structures were prepared. • Control of residual carbon content results in HEMT with high 2-D electron gas mobility.

  15. Piezotronic Effect in Polarity-Controlled GaN Nanowires.

    Science.gov (United States)

    Zhao, Zhenfu; Pu, Xiong; Han, Changbao; Du, Chunhua; Li, Linxuan; Jiang, Chunyan; Hu, Weiguo; Wang, Zhong Lin

    2015-08-25

    Using high-quality and polarity-controlled GaN nanowires (NWs), we studied the piezotronic effect in crystal orientation defined wurtzite structures. By applying a normal compressive force on c-plane GaN NWs with an atomic force microscopy tip, the Schottky barrier between the Pt tip and GaN can be effectively tuned by the piezotronic effect. In contrast, the normal compressive force cannot change the electron transport characteristics in m-plane GaN NWs whose piezoelectric polarization axis is turned in the transverse direction. This observation provided solid evidence for clarifying the difference between the piezotronic effect and the piezoresistive effect. We further demonstrated a high sensitivity of the m-plane GaN piezotronic transistor to collect the transverse force. The integration of c-plane GaN and m-plane GaN indicates an overall response to an external force in any direction.

  16. Integrated Production of Ultra-Low Defect GaN Films and Devices for High-Power Amplifiers, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — High quality GaN epitaxial films are key to current efforts for development of both high-power/high-speed electronic devices and optoelectronic devices. In fact,...

  17. Integrated Production of Ultra-Low Defect GaN Films and Devices for High-Power Amplifiers, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — High quality GaN epitaxial films are one of the keys to current efforts for development of both high-power/high-speed electronic devices and optoelectronic devices....

  18. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    KAUST Repository

    Mumthaz Muhammed, Mufasila

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  19. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    KAUST Repository

    Mumthaz Muhammed, Mufasila; Roldan, M. A.; Yamashita, Y.; Sahonta, S.-L.; Ajia, Idris A.; Iizuka, K.; Kuramata, A.; Humphreys, C. J.; Roqan, Iman S.

    2016-01-01

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  20. Fabrications and application of single crystalline GaN for high-performance deep UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Velazquez, R.; Rivera, M.; Feng, P., E-mail: p.feng@upr.edu [Department of Physics, College of Natural Sciences, University of Puerto Rico, San Juan, 00936-8377, PR/USA (Puerto Rico); Aldalbahi, A. [Department of Chemistry, College of Science, King Saud University, Riyadh 11451 (Saudi Arabia)

    2016-08-15

    High-quality single crystalline Gallium Nitride (GaN) semiconductor has been synthesized using molecule beam epitaxy (MBE) technique for development of high-performance deep ultraviolet (UV) photodetectors. Thickness of the films was estimated by using surface profile meter and scanning electron microscope. Electronic states and elemental composition of the films were obtained using Raman scattering spectroscopy. The orientation, crystal structure and phase purity of the films were examined using a Siemens x-ray diffractometer radiation. The surface microstructure was studied using high resolution scanning electron microscopy (SEM). Two types of metal pairs: Al-Al, Al-Cu or Cu-Cu were used for interdigital electrodes on GaN film in order to examine the Schottky properties of the GaN based photodetector. The characterizations of the fabricated prototype include the stability, responsivity, response and recovery times. Typical time dependent photoresponsivity by switching different UV light source on and off five times for each 240 seconds at a bias of 2V, respectively, have been obtained. The detector appears to be highly sensitive to various UV wavelengths of light with very stable baseline and repeatability. The obtained photoresponsivity was up to 354 mA/W at the bias 2V. Higher photoresponsivity could be obtained if higher bias was applied but it would unavoidably result in a higher dark current. Thermal effect on the fabricated GaN based prototype was discussed.

  1. Direct growth of freestanding GaN on C-face SiC by HVPE.

    Science.gov (United States)

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  2. Growth on nonpolar and semipolar GaN: The substrate dilemma

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institute, Berlin (Germany); Kneissl, M. [Ferdinand-Braun-Institute, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2009-07-01

    Growth of nonpolar and semipolar GaN is very promising for achieving green laser diodes (LDs). However, the choice of the substrate is a difficult one: Heteroepitaxial growth on sapphire, SiC, LiAlO{sub 2} yields GaN films with a poor surface quality and high defect densities. On the other hand non- and semipolar bulk GaN substrates provide excellent crystal quality, but are so far only available in very small sizes. In this paper hetero- and homoepitaxial growth is compared. For all heteroepitaxially grown semi- and nonpolar GaN layers threading dislocations (TD) and basal plane stacking faults (BSF) can be found. There are four possible mechanisms for the generation of BSF: Growth of the N-polar basal plane, formation during nucleation at substrate steps, formation at the coalescence front of differently stacked nucleation islands, and generation at planar defects occurring in m-plane GaN on LiAlO{sub 2}. BSF induce surface roughening and are associated with partial dislocations causing nonradiative recombination. Thus they affect the performance of devices. We show that BSFs and TDs can be reduced by epitaxial lateral overgrowth resulting in several micrometer wide defect free areas. However, for LEDs larger defect-free areas are required. GaN layers grown on bulk GaN substrates exhibit a high crystal quality, but show in many cases long-range surface structures with a height of {approx}1{mu}m.

  3. Structure guided GANs

    Science.gov (United States)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  4. AlGaN/GaN high electron mobility transistors with a low sub-threshold swing on free-standing GaN wafer

    Directory of Open Access Journals (Sweden)

    Xinke Liu

    2017-09-01

    Full Text Available This paper reported AlGaN/GaN high electron mobility transistors (HEMTs with low sub-threshold swing SS on free-standing GaN wafer. High quality AlGaN/GaN epi-layer has been grown by metal-organic chemical vapor deposition (MOCVD on free-standing GaN, small full-width hall maximum (FWHM of 42.9 arcsec for (0002 GaN XRD peaks and ultralow dislocation density (∼104-105 cm-2 were obtained. Due to these extremely high quality material properties, the fabricated AlGaN/GaN HEMTs achieve a low SS (∼60 mV/decade, low hysteresis of 54 mV, and high peak electron mobility μeff of ∼1456 cm2V-1s-1. Systematic study of materials properties and device characteristics exhibits that GaN-on-GaN AlGaN/GaN HEMTs are promising candidate for next generation high power device applications.

  5. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban; Janzén, Erik [Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE 581 83 Linköping (Sweden)

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon and oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.

  6. Implantation doping of GaN

    International Nuclear Information System (INIS)

    Zolper, J.C.

    1996-01-01

    Ion implantation has played an enabling role in the realization of many high performance photonic and electronic devices in mature semiconductor materials systems such as Si and GaAs. This can also be expected to be the case in III-Nitride based devices as the material quality continues to improve. This paper reviews the progress in ion implantation processing of the III-Nitride materials, namely, GaN, AlN, InN and their alloys. Details are presented of the successful demonstrations of implant isolation as well as n- and p-type implantation doping of GaN. Implant doping has required activation annealing at temperatures in excess of 1,000 C. The nature of the implantation induced damage and its response to annealing is addressed using Rutherford Backscattering. Finally, results are given for the first demonstration of a GaN device fabricated using ion implantation doping, a GaN junction field effect transistor (JFET)

  7. Heteroepitaxial growth of basal plane stacking fault free a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Hempel, Thomas; Noltemeyer, Martin; Witte, Hartmut; Dadgar, Armin; Blaesing, Juergen; Christen, Juergen; Krost, Alois [Otto-von-Guericke Universitaet Magdeburg, FNW/IEP, Magdeburg (Germany)

    2010-07-01

    Growth of light emitting quantum-wells based on a-plane GaN is a possibility to reduce or even to avoid polarization correlated luminescence red shift and reduction of radiative recombination efficiency. But until now heteroepitaxially grown a-plane GaN films are characterized by a poor crystalline quality expressed by a high density of basal plane stacking faults (BSF) and partial dislocations. We present Si doped a-plane GaN films grown on r-plane sapphire substrates by metal organic vapor phase epitaxy using high temperature AlGaN nucleation layers. FE-SEM images revealed three dimensionally grown GaN crystallites sized up to tenth micrometer in the basal plane and a few tenth micrometers along the c-axes. Though, the full width at half maxima of the X-ray diffraction {omega}-scans of the in-plane GaN(1 anti 100) and GaN(0002) Bragg reflections exhibited a very high crystal quality. Furthermore, luminescence spectra were dominated by near band gap emission, while there was no separated peak of the basal plane stacking fault. In summary we present heteroepitaxially grown a-plane GaN without an evidence of basal plane stacking faults in X-ray diffraction measurements and luminescence spectra.

  8. Growth of InGaN multiple quantum wells and GaN eplilayer on GaN substrate

    International Nuclear Information System (INIS)

    Lee, Sung-Nam; Paek, H.S.; Son, J.K.; Sakong, T.; Yoon, E.; Nam, O.H.; Park, Y.

    2006-01-01

    We investigated that the surface morphology of GaN epilayers was significantly affected by the surface tilt orientation of GaN substrate. Surface morphologies of GaN epilayers on GaN substrates show three types: mirror, wavy, and hillock. These surface morphologies are dependent on the surface orientation of GaN substrates. It is found that the hillock morphology of GaN epilayer was formed on the GaN substrate with surface tilt orientation less than 0.1 o . As the surface tilt angle increased to 0.35 o , the surface morphology varied from hillock to wavy morphology. Above a surface tilt angle of 0.4 o , surface morphology changed to the mirror-like type morphology. Additionally, these three types of GaN surface morphology also affected the optical quality of GaN epilayers as well as InGaN multiple quantum wells on GaN substrates by non-uniform In incorporation on the different surface morphologies of GaN epilayers

  9. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    Science.gov (United States)

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  10. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    Science.gov (United States)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  11. High Temperature Terahertz Detectors Realized by a GaN High Electron Mobility Transistor

    Science.gov (United States)

    Hou, H. W.; Liu, Z.; Teng, J. H.; Palacios, T.; Chua, S. J.

    2017-04-01

    In this work, a high temperature THz detector based on a GaN high electron mobility transistor (HEMT) with nano antenna structures was fabricated and demonstrated to be able to work up to 200 °C. The THz responsivity and noise equivalent power (NEP) of the device were characterized at 0.14 THz radiation over a wide temperature range from room temperature to 200 °C. A high responsivity Rv of 15.5 and 2.7 kV/W and a low NEP of 0.58 and 10 pW/Hz0.5 were obtained at room temperature and 200 °C, respectively. The advantages of the GaN HEMT over other types of field effect transistors for high temperature terahertz detection are discussed. The physical mechanisms responsible for the temperature dependence of the responsivity and NEP of the GaN HEMT are also analyzed thoroughly.

  12. Effect of High-Temperature Annealing on Yellow and Blue Luminescence of Undoped GaN

    International Nuclear Information System (INIS)

    Chai Xu-Zhao; Zhou Dong; Liu Bin; Xie Zi-Li; Han Ping; Xiu Xiang-Qian; Chen Peng; Lu Hai; Zhang Rong; Zheng You-Dou

    2015-01-01

    The effect of high-temperature annealing on the yellow and blue luminescence of the undoped GaN is investigated by photoluminescence (PL) and x-ray photoelectron spectroscopy (XPS). It is found that the band-edge emission in the GaN apparently increases, and the yellow luminescence (YL) and blue luminescence (BL) bands dramatically decrease after annealing at 700°C. At the annealing temperature higher than 900°C, the YL and BL intensities show an enhancement for the nitrogen annealed GaN. This fact should be attributed to the increment of the Ga and N vacancies in the GaN decomposition. However, the integrated PL intensity of the oxygen annealed GaN decreases at the temperature ranging from 900°C to 1000°C. This results from the capture of many photo-generated holes by high-density surface states. XPS characterization confirms that the high-density surface states mainly originate from the incorporation of oxygen atoms into GaN at the high annealing temperature, and even induces the 0.34eV increment of the upward band bending for the oxygen annealed GaN at 1000°C. (paper)

  13. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    Science.gov (United States)

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  14. Ultra-high Efficiency DC-DC Converter using GaN Devices

    DEFF Research Database (Denmark)

    Ramachandran, Rakesh

    2016-01-01

    properties of GaN devices can be utilized in power converters to make them more compact and highly efficient. This thesis entitled “Ultra-high Efficiency DC-DC Converter using GaN devices” focuses on achieving ultra-high conversion efficiency in an isolated dc-dc converter by the optimal utilization of Ga...... for many decades. However, the rate of improvement slowed as the silicon power materials asymptotically approached its theoretical bounds. Compared to Si, wideband gap materials such as Silicon Carbide (SiC) and Gallium Nitride (GaN) are promising semiconductors for power devices due to their superior...... in this thesis. Efficiency measurements from the hardware prototype of both the topologies are also presented in this thesis. Finally, the bidirectional operation of an optimized isolated dc-dc converter is presented. The optimized converter has achieved an ultra-high efficiency of 98.8% in both directions...

  15. Application of Generative Adversarial Networks (GANs) to jet images

    CERN Multimedia

    CERN. Geneva

    2017-01-01

    https://arxiv.org/abs/1701.05927 We provide a bridge between generative modeling in the Machine Learning community and simulated physical processes in High Energy Particle Physics by applying a novel Generative Adversarial Network (GAN) architecture to the production of jet images -- 2D representations of energy depositions from particles interacting with a calorimeter. We propose a simple architecture, the Location-Aware Generative Adversarial Network, that learns to produce realistic radiation patterns from simulated high energy particle collisions. The pixel intensities of GAN-generated images faithfully span over many orders of magnitude and exhibit the desired low-dimensional physical properties (i.e., jet mass, n-subjettiness, etc.). We shed light on limitations, and provide a novel empirical validation of image quality and validity of GAN-produced simulations of the natural world. This work provides a base for further explorations of GANs for use in faster simulation in High Energy Particle Physics.

  16. GaN epilayers on nanopatterned GaN/Si(1 1 1) templates: Structural and optical characterization

    International Nuclear Information System (INIS)

    Wang, L.S.; Tripathy, S.; Wang, B.Z.; Chua, S.J.

    2006-01-01

    Template-based nanoscale epitaxy has been explored to realize high-quality GaN on Si(1 1 1) substrates. We have employed polystyrene-based nanosphere lithography to form the nano-hole array patterns on GaN/Si(1 1 1) template and then, subsequent regrowth of GaN is carried out by metalorganic chemical vapor deposition (MOCVD). During the initial growth stage of GaN on such nanopatterned substrates, we have observed formation of nanoislands with hexagonal pyramid shape due to selective area epitaxy. With further epitaxial regrowth, these nanoislands coalesce and form continuous GaN film. The overgrown GaN on patterned and non-patterned regions is characterized by high-resolution X-ray diffraction (HRXRD) and high-spatial resolution optical spectroscopic methods. Micro-photoluminescence (PL), micro-Raman scattering and scanning electron microscopy (SEM) have been used to assess the microstructural and optical properties of GaN. Combined PL and Raman data analyses show improved optical quality when compared to GaN simultaneously grown on non-patterned bulk Si(1 1 1). Such thicker GaN templates would be useful to achieve III-nitride-based opto- and electronic devices integrated on Si substrates

  17. Hydrogen-surfactant-assisted coherent growth of GaN on ZnO substrate

    Science.gov (United States)

    Zhang, Jingzhao; Zhang, Yiou; Tse, Kinfai; Zhu, Junyi

    2018-01-01

    Heterostructures of wurtzite based devices have attracted great research interest because of the tremendous success of GaN in light emitting diodes (LED) industry. High-quality GaN thin films on inexpensive and lattice matched ZnO substrates are both commercially and technologically desirable. Intrinsic wetting conditions, however, forbid such heterostructures as the energy of ZnO polar surfaces is much lower than that of GaN polar surfaces, resulting in 3D growth mode and poor crystal quality. Based on first-principles calculations, we propose the use of surfactant hydrogen to dramatically alter the growth mode of the heterostructures. Stable H-involved surface configurations and interfaces are investigated with the help of our newly developed modelling techniques. The temperature and chemical potential dependence of our proposed strategy, which is critical in experiments, is predicted by applying the experimental Gibbs free energy of H2. Our thermodynamic wetting condition analysis is a crucial step for the growth of GaN on ZnO, and we find that introducing H will not degrade the stability of ZnO substrate. This approach will allow the growth of high-quality GaN thin films on ZnO substrates. We believe that our new strategy may reduce the manufactory cost, improve the crystal quality, and improve the efficiency of GaN-based devices.

  18. GaN and ZnO nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Soekmen, Uensal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, Technische Universitaet Braunschweig, Braunschweig (Germany); Laehnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-10-15

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self-organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  19. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    Science.gov (United States)

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  20. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  1. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    Science.gov (United States)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  2. Homoepitaxial growth of a-plane GaN layers by reaction between Ga2O vapor and NH3 gas

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    Growth of high-quality a-plane GaN layers was performed by reaction between Ga 2 O vapor and NH 3 gas at a high temperature. Smooth a-plane GaN epitaxial layers were obtained on a-plane GaN seed substrates sliced from thick c-plane GaN crystals. Growth rate increased with increasing Ga 2 O partial pressure. An a-plane GaN layer with a growth rate of 48 μm/h was obtained. The X-ray rocking curve (XRC) measurement showed that the full widths at half maximum (FWHMs) of GaN(112-bar0) with the incident beam parallel and perpendicular to the [0001] direction were 29–43 and 29–42 arcsec, respectively. Secondary ion mass spectrometry (SIMS) measurement revealed that oxygen concentration decreased at a high temperature. These results suggest that growth of a-GaN layers using Ga 2 O vapor and NH 3 gas at a high temperature enables the generation of high-quality crystals. (author)

  3. Understanding the Room Temperature Ferromagnetism in GaN Nanowires with Pd Doping

    International Nuclear Information System (INIS)

    Manna, S; De, S K

    2011-01-01

    We report the first synthesis and characterization of 4d transition metal palladium-doped GaN nanowires (NWs). Room temperature ferromagnetism has been observed in high quality Vapor Liquid Solid (VLS) epitaxy grown undoped n-type GaN nanowires. It was proposed that this type of magnetism is due to defects which are not observed in Bulk GaN because of large formation energy of defects in bulk GaN. Here we have successfully doped 4d transition metal Pd in GaN NWs. We find fairly strong and long-range ferromagnetic coupling between Pd substituted for Ga in GaN . The results suggest that 4d metals such as Pd may also be considered as candidates for ferromagnetic dopants in semiconductors.

  4. Luminescence of highly excited nonpolar a-plane GaN and AlGaN/GaN multiple quantum wells

    International Nuclear Information System (INIS)

    Jursenas, S.; Kuokstis, E.; Miasojedovas, S.; Kurilcik, G.; Zukauskas, A.; Chen, C.Q.; Yang, J.W.; Adivarahan, V.; Asif Khan, M.

    2004-01-01

    Carrier recombination dynamics in polar and nonpolar GaN epilayers and GaN/AlGaN multiple quantum wells grown over sapphire substrates with a various crystallographic orientation were studied under high photoexcitation by 20 ps laser pulses. The transient luminescence featured a significant enhancement on nonradiative recombination of free carriers for nonpolar a-plane GaN epilayers compared to conventional c-plane samples. The epitaxial layer overgrowth technique was demonstrated to significantly improve the quality of nonpolar a-plane films. This was proved by more than 40-fold increase in luminescence decay time (430 ps compared to ≤ 10 ps in the ordinary a-plane epilayer). Under high-excitation regime, a complete screening of built-in electric field by free carriers in multiple quantum wells grown on c-plane and r-plane sapphire substrates was achieved. Under such high excitation, luminescence efficiency and carrier lifetime of multiple quantum wells were shown to be determined by the substrate quality. (author)

  5. GaN transistors on Si for switching and high-frequency applications

    Science.gov (United States)

    Ueda, Tetsuzo; Ishida, Masahiro; Tanaka, Tsuyoshi; Ueda, Daisuke

    2014-10-01

    In this paper, recent advances of GaN transistors on Si for switching and high-frequency applications are reviewed. Novel epitaxial structures including superlattice interlayers grown by metal organic chemical vapor deposition (MOCVD) relieve the strain and eliminate the cracks in the GaN over large-diameter Si substrates up to 8 in. As a new device structure for high-power switching application, Gate Injection Transistors (GITs) with a p-AlGaN gate over an AlGaN/GaN heterostructure successfully achieve normally-off operations maintaining high drain currents and low on-state resistances. Note that the GITs on Si are free from current collapse up to 600 V, by which the drain current would be markedly reduced after the application of high drain voltages. Highly efficient operations of an inverter and DC-DC converters are presented as promising applications of GITs for power switching. The high efficiencies in an inverter, a resonant LLC converter, and a point-of-load (POL) converter demonstrate the superior potential of the GaN transistors on Si. As for high-frequency transistors, AlGaN/GaN heterojuction field-effect transistors (HFETs) on Si designed specifically for microwave and millimeter-wave frequencies demonstrate a sufficiently high output power at these frequencies. Output powers of 203 W at 2.5 GHz and 10.7 W at 26.5 GHz are achieved by the fabricated GaN transistors. These devices for switching and high-frequency applications are very promising as future energy-efficient electronics because of their inherent low fabrication cost and superior device performance.

  6. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  7. Ga induced superstructures as templates for lattice matched hetroepitaxial growth of GaN on Si(111) substrate

    International Nuclear Information System (INIS)

    Kumar, Praveen; Kuyyalil, Jithesh; Shivaprasad, S. M.

    2010-01-01

    High quality GaN is grown by plasma assisted molecular beam epitaxy on Ga induced superstructural phases of Si(111)7x7. Three stable surface phases induced by Ga adsorption, viz., (1x1), (6.3x6.3), and (√3x√3)R30 deg., are employed as templates to grow epitaxial (0001) GaN thin films. GaN grown on Si(√3x√3)R30 deg. -Ga is found to be highly crystalline with intense (0002) x-ray diffraction and photoluminescence peaks with low full width at half maximum, low surface roughness, and stoichiometric surface composition. The high quality of these GaN films formed at a low temperature of 400 deg. C is explained by the integral (x2) lattice matching between the unit cell of GaN and the (√3x√3) phase. The experiments demonstrate a plausible approach of adsorbate induced surface modifications as templates for III-V hetroepitaxy on Si surfaces.

  8. Improved InGaN/GaN quantum wells on treated GaN template with a Ga-rich GaN interlayer

    International Nuclear Information System (INIS)

    Fang, Zhilai; Shen, Xiyang; Wu, Zhengyuan; Zhang, Tong-Yi

    2015-01-01

    Treated GaN template was achieved by in situ droplet epitaxy of a Ga-rich GaN interlayer on the conventional GaN template. InGaN/GaN quantum wells (QWs) were grown on the conventional and treated GaN templates under the same growth conditions and then comprehensively characterized. The indium homogeneity in the InGaN layers and the interface sharpness between InGaN and GaN layers of the InGaN/GaN QWs on the treated GaN template were significantly improved. The emission intensity from the InGaN/GaN QWs on the treated GaN template was enhanced by 20% than that on the conventional GaN template, which was attributed to the strain reduction and the improvement in crystalline quality. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. BInGaN alloys nearly lattice-matched to GaN for high-power high-efficiency visible LEDs

    Science.gov (United States)

    Williams, Logan; Kioupakis, Emmanouil

    2017-11-01

    InGaN-based visible light-emitting diodes (LEDs) find commercial applications for solid-state lighting and displays, but lattice mismatch limits the thickness of InGaN quantum wells that can be grown on GaN with high crystalline quality. Since narrower wells operate at a higher carrier density for a given current density, they increase the fraction of carriers lost to Auger recombination and lower the efficiency. The incorporation of boron, a smaller group-III element, into InGaN alloys is a promising method to eliminate the lattice mismatch and realize high-power, high-efficiency visible LEDs with thick active regions. In this work, we apply predictive calculations based on hybrid density functional theory to investigate the thermodynamic, structural, and electronic properties of BInGaN alloys. Our results show that BInGaN alloys with a B:In ratio of 2:3 are better lattice matched to GaN compared to InGaN and, for indium fractions less than 0.2, nearly lattice matched. Deviations from Vegard's law appear as bowing of the in-plane lattice constant with respect to composition. Our thermodynamics calculations demonstrate that the solubility of boron is higher in InGaN than in pure GaN. Varying the Ga mole fraction while keeping the B:In ratio constant enables the adjustment of the (direct) gap in the 1.75-3.39 eV range, which covers the entire visible spectrum. Holes are strongly localized in non-bonded N 2p states caused by local bond planarization near boron atoms. Our results indicate that BInGaN alloys are promising for fabricating nitride heterostructures with thick active regions for high-power, high-efficiency LEDs.

  10. Flexible GaN for High Performance, Strainable Radio Frequency Devices (Postprint)

    Science.gov (United States)

    2017-11-02

    wireless systems where consumers will benefit significantly from the high power densities achievable in GaN devices.[8] Further complicating the...future strainable and conformal devices for transmission of radio-frequency (RF) signals over large distances for more efficient wireless communication... power density of traditional RF amplifier materials at different frequencies and wireless generation bands, as well as an image of the flexible GaN

  11. Surface state of GaN after rapid-thermal-annealing using AlN cap-layer

    Energy Technology Data Exchange (ETDEWEB)

    El-Zammar, G., E-mail: georgio.elzammar@univ-tours.fr [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Khalfaoui, W. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Oheix, T. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Yvon, A.; Collard, E. [STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Cayrel, F.; Alquier, D. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France)

    2015-11-15

    Graphical abstract: Surface state of a crack-free AlN cap-layer reactive sputtered on GaN and annealed at high temperature showing a smooth, pit-free surface. - Highlights: • We deposit a crystalline AlN layer by reactive magnetron sputtering on GaN. • We show the effect of deposition parameters of AlN by reactive magnetron sputtering on the quality of the grown layer. • We demonstrate the efficiency of double cap-layer for GaN protection during high temperature thermal treatments. • We show an efficient selective etch of AlN without damaging GaN surface. - Abstract: Critical issues need to be overcome to produce high performance Schottky diodes on gallium nitride (GaN). To activate dopant, high temperature thermal treatments are required but damage GaN surface where hexagonal pits appear and prevent any device processing. In this paper, we investigated the efficiency of cap-layers on GaN during thermal treatments to avoid degradation. Aluminum nitride (AlN) and silicon oxide (SiO{sub x}) were grown on GaN by direct current reactive magnetron sputtering and plasma-enhanced chemical vapor deposition, respectively. AlN growth parameters were studied to understand their effect on the grown layers and their protection efficiency. Focused ion beam was used to measure AlN layer thickness. Crystalline quality and exact composition were verified using X-ray diffraction and energy dispersive X-ray spectroscopy. Two types of rapid thermal annealing at high temperatures were investigated. Surface roughness and pits density were evaluated using atomic force microscopy and scanning electron microscopy. Cap-layers wet etching was processed in H{sub 3}PO{sub 4} at 120 °C for AlN and in HF (10%) for SiO{sub x}. This work reveals effective protection of GaN during thermal treatments at temperatures as high as 1150 °C. Low surface roughness was obtained. Furthermore, no hexagonal pit was observed on the surface.

  12. High temperature dielectric function of silicon, germanium and GaN

    Energy Technology Data Exchange (ETDEWEB)

    Leyer, Martin; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin (Germany). Institut fuer Festkoerperphysik

    2010-07-01

    In the last few years accurate values for the optical properties of silicon, germanium and GaN at high temperatures have become important as a reference for in-situ analysis, e.g. reflectometry. Precise temperature dependent dielectric measurements are necessary for the growth of GaInP/GaInAs/Ge triple-junction solar cells and the hetero epitaxy of GaN on silicon and sapphire. We performed spectroscopic ellipsometry (SE) measurements of the dielectric function of silicon, germanium and GaN between 1.5 eV and 6.5 eV in the temperature range from 300 K to 1300 K. The Samples were deoxidized chemically or by heating. High resolution SE spectra were taken every 50 K while cooling down to room temperature. The temperature dependence of the critical energies is compared to literature. Measurements for germanium showed a shift of the E{sub 2} critical point of {proportional_to}0.1 eV toward lower energies. The reason for this behavior is a non-negligible oxide layer on the samples in the literature.

  13. Structural analysis of GaN using high-resolution X-ray diffraction at variable temperatures; Analyse struktureller Eigenschaften von GaN mittels hochaufloesender Roentgenbeugung bei variabler Messtemperatur

    Energy Technology Data Exchange (ETDEWEB)

    Roder, C.

    2007-02-26

    The main topic of this thesis was the study of stress phenomena in GaN layers by application of high-resolution X-ray diffractometry at variable measurement temperature. For this a broad spectrum of different GaN samples was studied, which extended from bulk GaN crystals as well as thick c-plane oriented HVPE-GaN layers on c-plane sapphire over laterlaly overgrown c-plane GaN Layers on Si(111) substrates toon-polar a-plnae GaN layers on r-plane sapphire. The main topic of the measurements was the determination of the lattice parameters. Supplementarily the curvature of the waver as well as the excitonic resosance energies were studied by means of photoluminescence respectively photoreflection spectroscopy. By the measurement of the temperature-dependent lattice parameters of different GaN bulk crystals for the first time a closed set of thermal-expansion coefficients of GaN was determined from 12 to 1205 K with large accuracy. Analoguously the thermal-expansion coefficents of the substrate material sapphire were determinde over a temperature range from 10 to 1166 K.

  14. Investigation of GaN LED with Be-implanted Mg-doped GaN layer

    International Nuclear Information System (INIS)

    Huang, H.-W.; Kao, C.C.; Chu, J.T.; Kuo, H.C.; Wang, S.C.; Yu, C.C.; Lin, C.F.

    2004-01-01

    We report the electrical and optical characteristics of GaN light emitting diode (LED) with beryllium (Be) implanted Mg-doped GaN layer. The p-type layer of Be-implanted GaN LED showed a higher hole carrier concentration of 2.3 x 10 18 cm -3 and low specific contact resistance value of 2.0 x 10 -4 Ωcm 2 than as-grown p-GaN LED samples without Be-implantation. The Be-implanted GaN LEDs with InGaN/GaN MQW show slightly lower light output (about 10%) than the as-grown GaN LEDs, caused by the high RTA temperature annealing process

  15. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    Science.gov (United States)

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  16. Electron transport properties of degenerate n-type GaN prepared by pulsed sputtering

    Science.gov (United States)

    Ueno, Kohei; Fudetani, Taiga; Arakawa, Yasuaki; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2017-12-01

    We report a systematic investigation of the transport properties of highly degenerate electrons in Ge-doped and Si-doped GaN epilayers prepared using the pulsed sputtering deposition (PSD) technique. Secondary-ion mass spectrometry and Hall-effect measurements revealed that the doping efficiency of PSD n-type GaN is close to unity at electron concentrations as high as 5.1 × 1020 cm-3. A record low resistivity for n-type GaN of 0.16 mΩ cm was achieved with an electron mobility of 100 cm2 V-1 s-1 at a carrier concentration of 3.9 × 1020 cm-3. We explain this unusually high electron mobility of PSD n-type GaN within the framework of conventional scattering theory by modifying a parameter related to nonparabolicity of the conduction band. The Ge-doped GaN films show a slightly lower electron mobility compared with Si-doped films with the same carrier concentrations, which is likely a consequence of the formation of a small number of compensation centers. The excellent electrical properties presented in this letter clearly demonstrate the striking advantages of the low-temperature PSD technique for growing high-quality and highly conductive n-type GaN.

  17. Electron transport properties of degenerate n-type GaN prepared by pulsed sputtering

    Directory of Open Access Journals (Sweden)

    Kohei Ueno

    2017-12-01

    Full Text Available We report a systematic investigation of the transport properties of highly degenerate electrons in Ge-doped and Si-doped GaN epilayers prepared using the pulsed sputtering deposition (PSD technique. Secondary-ion mass spectrometry and Hall-effect measurements revealed that the doping efficiency of PSD n-type GaN is close to unity at electron concentrations as high as 5.1 × 1020 cm−3. A record low resistivity for n-type GaN of 0.16 mΩ cm was achieved with an electron mobility of 100 cm2 V−1 s−1 at a carrier concentration of 3.9 × 1020 cm−3. We explain this unusually high electron mobility of PSD n-type GaN within the framework of conventional scattering theory by modifying a parameter related to nonparabolicity of the conduction band. The Ge-doped GaN films show a slightly lower electron mobility compared with Si-doped films with the same carrier concentrations, which is likely a consequence of the formation of a small number of compensation centers. The excellent electrical properties presented in this letter clearly demonstrate the striking advantages of the low-temperature PSD technique for growing high-quality and highly conductive n-type GaN.

  18. High-pressure X-ray diffraction study of bulk- and nanocrystalline GaN

    DEFF Research Database (Denmark)

    Jorgensen, J.E.; Jakobsen, J.M.; Jiang, Jianzhong

    2003-01-01

    Bulk- and nanocrystalline GaN have been studied by high-pressure energy-dispersive X-ray diffraction. Pressure-induced structural phase transitions from the wurtzite to the NaCl phase were observed in both materials. The transition pressure was found to be 40 GPa for the bulk-crystalline GaN, while...... the wurtzite phase was retained up to 60 GPa in the case of nanocrystalline GaN. The bulk moduli for the wurtzite phases were determined to be 187 ( 7) and 319 ( 10) GPa for the bulk- and nanocrystalline phases, respectively, while the respective NaCl phases were found to have very similar bulk moduli [ 208...

  19. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  20. Study of GaN nanowires converted from β-Ga2O3 and photoconduction in a single nanowire

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Sudheer; Chauhan, Neha; Sakthi Kumar, D.; Kumar, Vikram; Singh, R.

    2017-08-01

    The formation of GaN nanowires from β-Ga2O3 nanowires and photoconduction in a fabricated single GaN nanowire device has been studied. Wurtzite phase GaN were formed from monoclinic β-Ga2O3 nanowires with or without catalyst particles at their tips. The formation of faceted nanostructures from catalyst droplets presented on a nanowire tip has been discussed. The nucleation of GaN phases in β-Ga2O3 nanowires and their subsequent growth due to interfacial strain energy has been examined using a high resolution transmission electron microscope. The high quality of the converted GaN nanowire is confirmed by fabricating single nanowire photoconducting devices which showed ultra high responsivity under ultra-violet illumination.

  1. Optical and Structural Characterizations of GaN Nano structures

    International Nuclear Information System (INIS)

    Shekari, L.; Abu Hassan, H.; Thahab, S.M.

    2011-01-01

    We have grown wurtzite GaN nano wires (NWs) on polished silicon (Si) either with or without Au as catalyst, using commercial GaN powder by thermal evaporation in an atmosphere of argon (Ar) gas. Structural and optical characterizations were performed using high resolution X-ray diffraction (HR-XRD), scanning electron microscopy (SEM), photoluminescence (PL) and energy-dispersive X-ray spectroscopy (EDX) spectroscopy. Results indicate that the nano wires are of single-crystal hexagonal GaN and the nano wires on Si with Au catalyst are more oriented than those without Au catalyst; and using catalyst make the NWs grow much faster and quite well-ordered. The compositional quality of the grown nano wires on the substrates are mostly same, however the nano wires on the Au coated silicon are of low density, while the nano wires on the Si are of high density. (author)

  2. Botulinum toxin detection using AlGaN /GaN high electron mobility transistors

    Science.gov (United States)

    Wang, Yu-Lin; Chu, B. H.; Chen, K. H.; Chang, C. Y.; Lele, T. P.; Tseng, Y.; Pearton, S. J.; Ramage, J.; Hooten, D.; Dabiran, A.; Chow, P. P.; Ren, F.

    2008-12-01

    Antibody-functionalized, Au-gated AlGaN /GaN high electron mobility transistors (HEMTs) were used to detect botulinum toxin. The antibody was anchored to the gate area through immobilized thioglycolic acid. The AlGaN /GaN HEMT drain-source current showed a rapid response of less than 5s when the target toxin in a buffer was added to the antibody-immobilized surface. We could detect a range of concentrations from 1to10ng/ml. These results clearly demonstrate the promise of field-deployable electronic biological sensors based on AlGaN /GaN HEMTs for botulinum toxin detection.

  3. A novel GaN HEMT with double recessed barrier layer for high efficiency-energy applications

    Science.gov (United States)

    Jia, Hujun; Luo, Yehui; Wu, Qiuyuan; Yang, Yintang

    2017-11-01

    In this paper, a novel GaN HEMT with high efficiency-energy characteristic is proposed. Different from the conventional structure, the proposed structure contains double recessed barriers layer (DRBL) beside the gate. The key idea in this work is to improve the microwave output characteristics. The simulated results show that the drain saturation current and peak transconductance of DRBL GaN HEMT is slightly decreased, the transconductance saturation flatness is increased by 0.5 V and the breakdown voltage is also enhanced too. Due to the both recessed barrier layer, the gate-drain/gate-source capacitance is decreased by 6.3% and 11.3%, respectively. The RF simulated results show that the maximum oscillation frequency for DRBL GaN HEMT is increased from 57 GHz to 64 GHz and the saturation power density is 8.7 W/mm at 600 MHz, 6.9 W/mm at 1200 MHz with the higher power added efficiency (PAE). Further investigation show that DRBL GaN HEMT can achieve to 6.4 W/mm and the maximum PAE 83.8% at 2400 MHz. Both are higher than the 5.0 W/mm and 80.3% for the conventional structure. When the operating frequency increases to X band, the DRBL GaN HEMT still exhibits the superior output performances. All the results show that the advantages and the potential capacities of DRBL GaN HEMT at high efficiency-energy are greater than the conventional GaN HEMT.

  4. Selective heteroepitaxy on deeply grooved substrate: A route to low cost semipolar GaN platforms of bulk quality

    Energy Technology Data Exchange (ETDEWEB)

    Tendille, Florian, E-mail: florian.tendille@crhea.cnrs.fr; Vennéguès, Philippe; De Mierry, Philippe [CRHEA - CNRS (Centre de Recherche sur l' Hétéro-Epitaxie et ses Applications), Rue Bernard Gregory, Parc de Sophia Antipolis, 06560 Valbonne (France); Martin, Denis; Grandjean, Nicolas [Institute of Physics, EPFL, CH-1015 Lausanne (Switzerland)

    2016-08-22

    Semipolar GaN crystal stripes larger than 100 μm with dislocation densities below 5 × 10{sup 6} cm{sup −2} are achieved using a low cost fabrication process. An original sapphire patterning procedure is proposed, enabling selective growth of semipolar oriented GaN stripes while confining the defects to specific areas. Radiative and non-radiative crystalline defects are investigated by cathodoluminescence and can be correlated to the development of crystal microstructure during the growth process. A dislocation reduction mechanism, supported by transmission electron microscopy, is proposed. This method represents a step forward toward low-cost quasi-bulk semipolar GaN epitaxial platforms with an excellent structural quality which will allow for even more efficient III-nitride based devices.

  5. 3D conditional generative adversarial networks for high-quality PET image estimation at low dose.

    Science.gov (United States)

    Wang, Yan; Yu, Biting; Wang, Lei; Zu, Chen; Lalush, David S; Lin, Weili; Wu, Xi; Zhou, Jiliu; Shen, Dinggang; Zhou, Luping

    2018-07-01

    Positron emission tomography (PET) is a widely used imaging modality, providing insight into both the biochemical and physiological processes of human body. Usually, a full dose radioactive tracer is required to obtain high-quality PET images for clinical needs. This inevitably raises concerns about potential health hazards. On the other hand, dose reduction may cause the increased noise in the reconstructed PET images, which impacts the image quality to a certain extent. In this paper, in order to reduce the radiation exposure while maintaining the high quality of PET images, we propose a novel method based on 3D conditional generative adversarial networks (3D c-GANs) to estimate the high-quality full-dose PET images from low-dose ones. Generative adversarial networks (GANs) include a generator network and a discriminator network which are trained simultaneously with the goal of one beating the other. Similar to GANs, in the proposed 3D c-GANs, we condition the model on an input low-dose PET image and generate a corresponding output full-dose PET image. Specifically, to render the same underlying information between the low-dose and full-dose PET images, a 3D U-net-like deep architecture which can combine hierarchical features by using skip connection is designed as the generator network to synthesize the full-dose image. In order to guarantee the synthesized PET image to be close to the real one, we take into account of the estimation error loss in addition to the discriminator feedback to train the generator network. Furthermore, a concatenated 3D c-GANs based progressive refinement scheme is also proposed to further improve the quality of estimated images. Validation was done on a real human brain dataset including both the normal subjects and the subjects diagnosed as mild cognitive impairment (MCI). Experimental results show that our proposed 3D c-GANs method outperforms the benchmark methods and achieves much better performance than the state

  6. Epitaxy of GaN on silicon-impact of symmetry and surface reconstruction

    International Nuclear Information System (INIS)

    Dadgar, A; Schulze, F; Wienecke, M; Gadanecz, A; Blaesing, J; Veit, P; Hempel, T; Diez, A; Christen, J; Krost, A

    2007-01-01

    GaN-on-silicon is a low-cost alternative to growth on sapphire or SiC. Today epitaxial growth is usually performed on Si(111), which has a threefold symmetry. The growth of single crystalline GaN on Si(001), the material of the complementary metal oxide semiconductor (CMOS) industry, is more difficult due to the fourfold symmetry of this Si surface leading to two differently aligned domains. We show that breaking the symmetry to achieve single crystalline growth can be performed, e.g. by off-oriented substrates to achieve single crystalline device quality GaN layers. Furthermore, an exotic Si orientation for GaN growth is Si(110), which we show is even better suited as compared to Si(111) for the growth of high quality GaN-on-silicon with a nearly threefold reduction in the full width at half maximum (FWHM) of the (1 1-bar 0 0)ω-scan. It is found that a twofold surface symmetry is in principal suitable for the growth of single crystalline GaN on Si

  7. Study of using aqueous NH{sub 3} to synthesize GaN nanowires on Si(1 1 1) by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saron, K.M.A., E-mail: kamalmohammedabdalla@yahoo.com [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia, Penang 11800 (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia, Penang 11800 (Malaysia)

    2013-03-20

    Highlights: ► This study presents a facile, low cost and safe method to synthesize high quality GaN NWs, by using NH{sub 3} solution as N source. ► Moderating the N{sub 2} flow rate improved the crystalline quality of the NWs and also produced zigzag shaped NWs. ► Raman spectra showed that the synthesized GaN NWs had hexagonal wurtzite structures as a result of increased tensile stress. ► By moderating N{sub 2} flow, strong NBE emission peaks at about 364 nm and YB is subsided. -- Abstract: High-quality GaN nanowires (NWs) and zigzag-shaped NWs were grown on catalyst-free Si(1 1 1) substrate by thermal chemical vapor deposition (TCVD). Gallium (Ga) metal and aqueous NH{sub 3} solution are used as a source of materials. Ga vapor was directly reacts with gaseous NH{sub 3} under controlled nitrogen flow at 1050 °C. Scanning electron microscopy (SEM) images showed that the morphology of GaN displayed various densities of NWs and zigzag NWs depending on the gas flow rate, and increased nitrogen flow rate caused density reduction. The GaN NWs exhibited clear X-ray diffraction analysis (XRD) peaks that corresponded to GaN with hexagonal wurtzite structures. The photoluminescence spectra showed that the ultraviolet band emission of GaN NWs had a strong near band-edge emission (NBE) at 361–367 nm. Yellow band emissions were observed at low and high flow rates due to nitrogen and Ga vacancies, respectively. Moderate N{sub 2} flow resulted in a strong NBE emission and a high optical quality of the NWs. This study shows the possibility of low-cost synthesis of GaN nanostructures on Si wafers using aqueous NH{sub 3} solution.

  8. Photoluminescence enhancement from GaN by beryllium doping

    Science.gov (United States)

    García-Gutiérrez, R.; Ramos-Carrazco, A.; Berman-Mendoza, D.; Hirata, G. A.; Contreras, O. E.; Barboza-Flores, M.

    2016-10-01

    High quality Be-doped (Be = 0.19 at.%) GaN powder has been grown by reacting high purity Ga diluted alloys (Be-Ga) with ultra high purity ammonia in a horizontal quartz tube reactor at 1200 °C. An initial low-temperature treatment to dissolve ammonia into the Ga melt produced GaN powders with 100% reaction efficiency. Doping was achieved by dissolving beryllium into the gallium metal. The powders synthesized by this method regularly consist of two particle size distributions: large hollow columns with lengths between 5 and 10 μm and small platelets in a range of diameters among 1 and 3 μm. The GaN:Be powders present a high quality polycrystalline profile with preferential growth on the [10 1 bar 1] plane, observed by means of X-ray diffraction. The three characteristics growth planes of the GaN crystalline phase were found by using high resolution TEM microscopy. The optical enhancing of the emission in the GaN powder is attributed to defects created with the beryllium doping. The room temperature photoluminescence emission spectra of GaN:Be powders, revealed the presence of beryllium on a shoulder peak at 3.39 eV and an unusual Y6 emission at 3.32eV related to surface donor-acceptor pairs. Also, a donor-acceptor-pair transition at 3.17 eV and a phonon replica transition at 3.1 eV were observed at low temperature (10 K). The well-known yellow luminescence band coming from defects was observed in both spectra at room and low temperature. Cathodoluminescence emission from GaN:Be powders presents two main peaks associated with an ultraviolet band emission and the yellow emission known from defects. To study the trapping levels related with the defects formed in the GaN:Be, thermoluminescence glow curves were obtained using UV and β radiation in the range of 50 and 150 °C.

  9. Semi-polar GaN heteroepitaxy an high index Si-surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Magdeburg (Germany)

    2011-07-01

    Due to the lack of GaN homosubstrates, the growth of GaN-based devices is usually performed on heterosubstrates as sapphire or SiC. These substrates are either insulating or expensive, and both unavailable in large diameters. Meanwhile, silicon can meet the requirements for a low price and thermally well conducting substrate and also enabling the integration of optoelectronic devices with Si-based electronics. Up to now, the good matching of hexagonal GaN with the three-fold symmetry of Si(111) greatly promotes the c-axis orientated growth of GaN on this surface plane. A large spontaneous and piezoelectric polarization oriented along the c-axis exists in such hexagonal structure leading to low efficiencies for thick quantum wells. The attention to the growth of non-polar or semi-polar GaN based epitaxial structures has been increased recently because of reducing the effect of the polarization fields in these growth directions. Therefore we studied semi-polar GaN epilayers grown by metalorganic vapor phase epitaxy on silicon substrates with different orientations from Si(211) to Si(711). We observed that AlN seeding layer growth time play a significant role in obtaining the different GaN texture.

  10. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    Science.gov (United States)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  11. Identification of deep levels in GaN associated with dislocations

    International Nuclear Information System (INIS)

    Soh, C B; Chua, S J; Lim, H F; Chi, D Z; Liu, W; Tripathy, S

    2004-01-01

    To establish a correlation between dislocations and deep levels in GaN, a deep-level transient spectroscopy study has been carried out on GaN samples grown by metalorganic chemical vapour deposition. In addition to typical undoped and Si-doped GaN samples, high-quality crack-free undoped GaN film grown intentionally on heavily doped cracked Si-doped GaN and cracked AlGaN templates are also chosen for this study. The purpose of growth of such continuous GaN layers on top of the cracked templates is to reduce the screw dislocation density by an order of magnitude. Deep levels in these layers have been characterized and compared with emphasis on their thermal stabilities and capture kinetics. Three electron traps at E c -E T ∼0.10-0.11, 0.24-0.27 and 0.59-0.63 eV are detected common to all the samples while additional levels at E c -E T ∼0.18 and 0.37-0.40 eV are also observed in the Si-doped GaN. The trap levels exhibit considerably different stabilities under rapid thermal annealing. Based on the observations, the trap levels at E c -E T ∼0.18 and 0.24-0.27 eV can be associated with screw dislocations, whereas the level at E c -E T ∼0.59-0.63 eV can be associated with edge dislocations. This is also in agreement with the transmission electron microscopy measurements conducted on the GaN samples

  12. Laser-induced local activation of Mg-doped GaN with a high lateral resolution for high power vertical devices

    Science.gov (United States)

    Kurose, Noriko; Matsumoto, Kota; Yamada, Fumihiko; Roffi, Teuku Muhammad; Kamiya, Itaru; Iwata, Naotaka; Aoyagi, Yoshinobu

    2018-01-01

    A method for laser-induced local p-type activation of an as-grown Mg-doped GaN sample with a high lateral resolution is developed for realizing high power vertical devices for the first time. As-grown Mg-doped GaN is converted to p-type GaN in a confined local area. The transition from an insulating to a p-type area is realized to take place within about 1-2 μm fine resolution. The results show that the technique can be applied in fabricating the devices such as vertical field effect transistors, vertical bipolar transistors and vertical Schottkey diode so on with a current confinement region using a p-type carrier-blocking layer formed by this technique.

  13. High temperature refractive indices of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.; Stepanov, S.; Gott, A.; Shields, P.A.; Zhirnov, E.; Wang, W.N. [Department of Physics, University of Bath, Bath, BA2 7AY (United Kingdom); Steimetz, E.; Zettler, J.T. [LayTec, Helmholtzstr. 13-14, 10587 Berlin (Germany)

    2006-06-15

    Undoped GaN (u-GaN) films were grown by low pressure metalorganic vapour phase epitaxy (LP-MOVPE) on sapphire substrates. In situ optical monitoring was applied to the growth process either using a LayTec EpiR-DA TT spectroscopic reflectometer or Filmetrics F30. Refractive indices of u-GaN films at 1060 C were obtained in a spectral range from 370-900 nm. A peak at 412{+-}5 nm in refractive index spectra was observed, which most likely corresponds to the band-gap of hexagonal GaN at a temperature of 1060 C. Refractive indices below this band-gap are fitted well to the first-order Sellmeier formula. As an example of the applications of the refractive indices, the effective film thicknesses of GaN during the resumption from 3 dimensional (3D) to 2 dimensional (2D) growth have been calculated from the spectra recorded by a LayTec system using the optical constants obtained. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Fang-Wei [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China); Ke, Wen-Cheng, E-mail: wcke@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China)

    2016-07-01

    Highlights: • Nanoscale patterned sapphire substrate was prepared by anodic-aluminum-oxide etching mask. • Influence of aspect ratio of NPSS on structural and electrical properties of GaN films was studied. • Low dislocation density and high carrier mobility of GaN films were grown on high aspect ratio NPSS. - Abstract: This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 10{sup 8} cm{sup −2} for GaN on bare sapphire to 4.9 × 10{sup 8} cm{sup −2} for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm{sup 2}/Vs for GaN on bare sapphire to 199 cm{sup 2}/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with

  15. Demonstration of a High Open-Circuit Voltage GaN Betavoltaic Microbattery

    International Nuclear Information System (INIS)

    Cheng Zai-Jun; San Hai-Sheng; Chen Xu-Yuan; Liu Bo; Feng Zhi-Hong

    2011-01-01

    A high open-circuit voltage betavoltaic microbattery based on a GaN p-i-n diode is demonstrated. Under the irradiation of a 4×4 mm 2 planar solid 63 Ni source with an activity of 2 mCi, the open-circuit voltage V oc of the fabricated single 2×2mm 2 cell reaches as high as 1.62 V, the short-circuit current density J sc is measured to be 16nA/cm 2 . The microbattery has a fill factor of 55%, and the energy conversion efficiency of beta radiation into electricity reaches to 1.13%. The results suggest that GaN is a highly promising potential candidate for long-life betavoltaic microbatteries used as power supplies for microelectromechanical system devices. (cross-disciplinary physics and related areas of science and technology)

  16. Characterization and growth mechanism of nonpolar and semipolar GaN layers grown on patterned sapphire substrates

    International Nuclear Information System (INIS)

    Okada, Narihito; Tadatomo, Kazuyuki

    2012-01-01

    Nonpolar and semipolar GaN layers with markedly improved crystalline quality can be obtained by selective-area growth from the sapphire sidewalls of patterned sapphire substrates (PSSs). In this paper, we review the crystalline qualities of GaN layers grown on PSSs and their growth mechanism. We grew semipolar {1 1 −2 2} and {1 0 −1 1} GaN layers on r- and n-PSSs. The crystalline qualities of the GaN layers grown on the PSSs were higher than those of GaN layers grown directly on heteroepitaxial substrates. To reveal the growth mechanism of GaN layers grown on PSSs, we also grew various nonpolar and semipolar GaN layers such as m-GaN on a-PSS, {1 1 −2 2} GaN on r-PSS, {1 0 − 1  1} GaN on n-PSS, m-GaN on c-PSS and a-GaN on m-PSS. It was found that the nucleation of GaN on the c-plane-like sapphire sidewall results in selective growth from the sapphire sidewall, and nonpolar or semipolar GaN can be obtained. Finally, we demonstrated a light-emitting diode fabricated on a {1 1 −2 2} GaN layer grown on an r-PSS. (paper)

  17. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  18. Study of GaN nanorods converted from β-Ga2O3

    Science.gov (United States)

    Li, Yuewen; Xiong, Zening; Zhang, Dongdong; Xiu, Xiangqian; Liu, Duo; Wang, Shuang; Hua, Xuemei; Xie, Zili; Tao, Tao; Liu, Bin; Chen, Peng; Zhang, Rong; Zheng, Youdou

    2018-05-01

    We report here high-quality β-Ga2O3 nanorods (NRs) grown on sapphire substrates by hydrothermal method. Ammoniating the β-Ga2O3 NRs results in strain-free wurtzite gallium nitride (GaN) NRs. It was shown by XRD and Raman spectroscopy that β-Ga2O3 was partially converted to GaN/β-Ga2O3 at 1000 °C and then completely converted to GaN NRs at 1050 °C, as confirmed by high-resolution transmission electron microscopy (HRTEM). There is no band-edge emission of β-Ga2O3 in the cathodoluminescence spectrum, and only a deep-level broad emission observed at 3.68-3.73 eV. The band edge emission (3.39 eV) of GaN NRs converted from β-Ga2O3 can also be observed.

  19. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Hoffmann, Veit; Netzel, Carsten; Knauer, Arne; Weyers, Markus [FBH, Berlin (Germany); Ploch, Simon; Rass, Jens [Institute of Solid State Physics, TU Berlin (Germany); Schade, Lukas; Schwarz, Ulrich [IAF, Freiburg (Germany); Kneissl, Michael [FBH, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2010-07-01

    Recently a number of groups have reported laser diodes in the green spectral range on semi- and nonpolar GaN. Nevertheless the growth process on semipolar surfaces is not well understood. In this study 3.5 {mu} m thick MOVPE grown GaN layers on bulk m-plane, (11 anti 22), (10 anti 12), and (10 anti 11) GaN substrates were investigated. XRD rocking curves exhibit a FWHM of less than 150{sup ''}, indicating excellent crystalline quality. But the surface morphology exhibits hillocks with a height of 1 {mu}m and lateral extension of 150 {mu}m in many cases. Depending on the substrate orientation and the growth temperature different hillock shapes were observed. Morphology and luminescence data point to threading dislocations as formation sources. In QWs the hillock structure is reproduced in the emission intensity and wavelength distribution on (10 anti 11) but not on the m-plane surfaces. The hillocks could be eliminated for the semipolar planes (not for the m-plane) by increasing the reactor pressure and lowering the growth temperature. Hillock free separate confinement laser structures emitting at 405 nm feature a very homogeneous luminescence in micro-PL and show amplified spontaneous emission under high power stripe excitation. Furthermore the In incorporation was found to be highest in QWs on (10 anti 11).

  20. UMA/GAN network architecture analysis

    Science.gov (United States)

    Yang, Liang; Li, Wensheng; Deng, Chunjian; Lv, Yi

    2009-07-01

    This paper is to critically analyze the architecture of UMA which is one of Fix Mobile Convergence (FMC) solutions, and also included by the third generation partnership project(3GPP). In UMA/GAN network architecture, UMA Network Controller (UNC) is the key equipment which connects with cellular core network and mobile station (MS). UMA network could be easily integrated into the existing cellular networks without influencing mobile core network, and could provides high-quality mobile services with preferentially priced indoor voice and data usage. This helps to improve subscriber's experience. On the other hand, UMA/GAN architecture helps to integrate other radio technique into cellular network which includes WiFi, Bluetooth, and WiMax and so on. This offers the traditional mobile operators an opportunity to integrate WiMax technique into cellular network. In the end of this article, we also give an analysis of potential influence on the cellular core networks ,which is pulled by UMA network.

  1. GaN への Mg イオン注入によるp 型層形成の検討

    OpenAIRE

    西城, 祐亮

    2016-01-01

    A selective area doping technology is required for making high performance GaN devices. Usually,ion implantation is used as a method of the selective area doping, but formation of the p-type conductive layer by ion implantation has been difficult for GaN. Mg-ion implanted layers in n--GaN on a high quality free-standing GaN substrate show p-type conduction after high temperature annealing at 1230°C,but Implanted layer consisted of uniform p-type crystalline area and localized crystal defect...

  2. Spectroscopic XPEEM of highly conductive SI-doped GaN wires

    Energy Technology Data Exchange (ETDEWEB)

    Renault, O., E-mail: olivier.renault@cea.fr [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Morin, J. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Tchoulfian, P. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); CNRS, Inst. NEEL, F-38042 Grenoble (France); Chevalier, N. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Feyer, V. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, D-52425 Jülich (Germany); Pernot, J. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Inst. NEEL, F-38042 Grenoble (France); Institut Universitaire de France, F-75005 Paris (France); Schneider, C.M. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, D-52425 Jülich (Germany)

    2015-12-15

    Using soft X-ray photoelectron emission microscopy (XPEEM), complemented by scanning Auger microscopy (SAM) and scanning capacitance microscopy, we have quantitatively studied the incorporation of silicon and band bending at the surface (m-facet) of an individual, highly conductive Si-doped GaN micro-wires (Tchoulfian et al., Applied Physics Letters 102 (12), 2013). Electrically active n-dopants Si atoms in Ga interstitial sites are detected as nitride bonding states in the high-resolution Si2p core level spectra, and represent only a small fraction (<10%) of the overall Si surface concentration measured by SAM. The derived carrier concentration of 2×10{sup 21} at cm{sup −3} is in reasonable agreement with electrical measurements. A consistent surface band bending of ~1 eV is directly evidenced by surface photo-voltage measurements. Such an approach combining different surface-sensitive microscopies is of interest for studying other heavily doped semiconducting wires. - Highlights: • XPEEM analysis of state-of-the-art, heavily doped GaN wires with insights on the issue of the origin of the increased conductivity. • Combined microscopic approach with Scanning Auger microscopy and X-ray Photoeletron Emission Microscopy, to quantity the electrically active Si-dopants in GaN. • The determined concentration is found in reasonable agreement with the one derived from bulk electrical measurements. • The proposed method is of interest for studying the electronics and chemistry of doping in other heavily doped semiconducting wires.

  3. Extremely high hole concentrations in c-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Trybus, Elaissa; Moseley, Michael; Henderson, Walter; Billingsley, Daniel [Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA (United States); Namkoong, Gon [Old Dominion University, Applied Research Center, Newport News, VA (United States); Look, David C. [Wright State University, Semiconductor Research Center, Dayton, OH (United States); Doolittle, W.A.

    2009-06-15

    Metal Modulated Epitaxy (S. D. Burnham et al., J. Appl. Phys. 104, 024902 (2008)[1]) is extended to include modulation of both the shutters of Ga and Mg, the Mg being delivered from a Veeco corrosive series valved cracker (S. D. Burnham et al., Mater. Res. Soc. Proc. 798, Y8.11 (2003)[2]). The Ga fluxes used are sufficiently large that droplets rapidly form when the Ga shutter opens and are subsequently depleted when the Ga shutter closes. The result is the ability to limit surface faceting while predominantly growing under average N-rich growth conditions and thus, possibly reduce N-vacancy defects. N-vacancy defects are known to result in compensation. This ability to grow higher quality materials under N-rich conditions results in very high hole concentrations and low resistivity p-type materials. Hole concentrations as high as 2 x 10{sup 19} cm{sup -3} have been achieved on c-plane GaN resulting in resistivities as low as 0.38 ohm-cm. The dependence on Ga flux, shutter timing, the corresponding RHEED images for each condition is detailed and clearly show minimization of faceting and crystal quality variations as determined by X-ray diffraction. Quantification of the Mg incorporation and residual impurities such as hydrogen, oxygen, and carbon by SIMS, eliminates co-doping, while temperature dependent hall measurements show reduced activation energies. X-ray diffraction data compares crystalline quality with hole concentration. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Excitonic transitions in homoepitaxial GaN

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Criado, G.; Cros, A.; Cantarero, A. [Materials Science Inst. and Dept. of Applied Physics, Univ. of Valencia (Spain); Miskys, C.R.; Ambacher, O.; Stutzmann, M. [Technische Univ. Muenchen, Garching (Germany). Walter-Schottky-Inst. fuer Physikalische Grundlagen der Halbleiterelektronik

    2001-11-08

    The photoluminescence spectrum of a high quality homoepitaxial GaN film has been measured as a function of temperature. As temperature increases the recombination of free excitons dominates the spectra. Their energy shift has successfully fitted in that temperature range by means of the Bose-Einstein expression instead of Varshni's relationship. Values for the parameters of both semi-empirical relations describing the energy shift are reported and compared with the literature. (orig.)

  5. Defect analysis in GaN films of HEMT structure by cross-sectional cathodoluminescence

    Science.gov (United States)

    Isobe, Yasuhiro; Hung, Hung; Oasa, Kohei; Ono, Tasuku; Onizawa, Takashi; Yoshioka, Akira; Takada, Yoshiharu; Saito, Yasunobu; Sugiyama, Naoharu; Tsuda, Kunio; Sugiyama, Toru; Mizushima, Ichiro

    2017-06-01

    Defect analysis of GaN films in high electron mobility transistor (HEMT) structures by cross-sectional cathodoluminescence (X-CL) is demonstrated as a useful technique for improving the current collapse of GaN-HEMT devices, and the relationship between crystal quality and device characteristics is also investigated. The crystal quality of intrinsic-GaN (i-GaN) and carbon-doped GaN produced clearly different peak intensities of blue luminescence (BL), yellow luminescence (YL), and band-edge emission (BE), which is independently detected by X-CL. Current collapse in GaN-HEMT devices is found to be determined by the BL/BE and YL/BE ratios at the top of the i-GaN layer, which is close to the channel. Moreover, the i-GaN thickness required in order to minimize the BL/BE and YL/BE ratios and the thickness dependency of GaN for minimizing the BL/BE and YL/BE ratios depending on the growth conditions can be evaluated by X-CL. However, there is no correlation between current collapse in GaN-HEMT devices and the YL/BE ratio by conventional photoluminescence because HEMT devices consist of multiple GaN layers and the YL signal is detected from the carbon-doped GaN layer. Thus, the X-CL analysis method is a useful technique for device design in order to suppress current collapse.

  6. Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN: Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, T. [Kansas State Univ., Manhattan, KS (United States); Wei, D. [Kansas State Univ., Manhattan, KS (United States); Nepal, N. [Naval Research Lab. (NRL), Washington, DC (United States); Garces, N. Y. [Naval Research Lab. (NRL), Washington, DC (United States); Hite, J. K. [Naval Research Lab. (NRL), Washington, DC (United States); Meyer, H. M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Eddy, C. R. [Naval Research Lab. (NRL), Washington, DC (United States); Baker, Troy [Nitride Solutions, Wichita, KS (United States); Mayo, Ashley [Nitride Solutions, Wichita, KS (United States); Schmitt, Jason [Nitride Solutions, Wichita, KS (United States); Edgar, J. H. [Kansas State Univ., Manhattan, KS (United States)

    2014-02-24

    We report the benefits of dry oxidation of n -GaN for the fabrication of metal-oxide-semiconductor structures. GaN thin films grown on sapphire by MOCVD were thermally oxidized for 30, 45 and 60 minutes in a pure oxygen atmosphere at 850 °C to produce thin, smooth GaOx layers. Moreover, the GaN sample oxidized for 30 minutes had the best properties. Its surface roughness (0.595 nm) as measured by atomic force microscopy (AFM) was the lowest. Capacitance-voltage measurements showed it had the best saturation in accumulation region and the sharpest transition from accumulation to depletion regions. Under gate voltage sweep, capacitance-voltage hysteresis was completely absent. The interface trap density was minimum (Dit = 2.75×1010 cm–2eV–1) for sample oxidized for 30 mins. These results demonstrate a high quality GaOx layer is beneficial for GaN MOSFETs.

  7. Radar Waveform Pulse Analysis Measurement System for High-Power GaN Amplifiers

    Science.gov (United States)

    Thrivikraman, Tushar; Perkovic-Martin, Dragana; Jenabi, Masud; Hoffman, James

    2012-01-01

    This work presents a measurement system to characterize the pulsed response of high-power GaN amplifiers for use in space-based SAR platforms that require very strict amplitude and phase stability. The measurement system is able to record and analyze data on three different time scales: fast, slow, and long, which allows for greater detail of the mechanisms that impact amplitude and phase stability. The system is fully automated through MATLAB, which offers both instrument control capability and in-situ data processing. To validate this system, a high-power GaN HEMT amplifier operated in saturation was characterized. The fast time results show that variations to the amplitude and phase are correlated to DC supply transients, while long time characteristics are correlated to temperature changes.

  8. Improved performance of GaN based light emitting diodes with ex-situ sputtered AlN nucleation layers

    Directory of Open Access Journals (Sweden)

    Shuo-Wei Chen

    2016-04-01

    Full Text Available The crystal quality, electrical and optical properties of GaN based light emitting diodes (LEDs with ex-situ sputtered physical vapor deposition (PVD aluminum nitride (AlN nucleation layers were investigated. It was found that the crystal quality in terms of defect density and x-ray diffraction linewidth was greatly improved in comparison to LEDs with in-situ low temperature GaN nucleation layer. The light output power was 3.7% increased and the reverse bias voltage of leakage current was twice on LEDs with ex-situ PVD AlN nucleation layers. However, larger compressive strain was discovered in LEDs with ex-situ PVD AlN nucleation layers. The study shows the potential and constrain in applying ex-situ PVD AlN nucleation layers to fabricate high quality GaN crystals in various optoelectronics.

  9. The GaN trench gate MOSFET with floating islands: High breakdown voltage and improved BFOM

    Science.gov (United States)

    Shen, Lingyan; Müller, Stephan; Cheng, Xinhong; Zhang, Dongliang; Zheng, Li; Xu, Dawei; Yu, Yuehui; Meissner, Elke; Erlbacher, Tobias

    2018-02-01

    A novel GaN trench gate (TG) MOSFET with P-type floating islands (FLI) in drift region, which can suppress the electric field peak at bottom of gate trench during the blocking state and prevent premature breakdown in gate oxide, is proposed and investigated by TCAD simulations. The influence of thickness, position, doping concentration and length of the FLI on breakdown voltage (BV) and specific on-resistance (Ron_sp) is studied, providing useful guidelines for design of this new type of device. Using optimized parameters for the FLI, GaN FLI TG-MOSFET obtains a BV as high as 2464 V with a Ron_sp of 3.0 mΩ cm2. Compared to the conventional GaN TG-MOSFET with the same structure parameters, the Baliga figure of merit (BFOM) is enhanced by 150%, getting closer to theoretical limit for GaN devices.

  10. Density-dependent electron transport and precise modeling of GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Bajaj, Sanyam, E-mail: bajaj.10@osu.edu; Shoron, Omor F.; Park, Pil Sung; Krishnamoorthy, Sriram; Akyol, Fatih; Hung, Ting-Hsiang [Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio 43210 (United States); Reza, Shahed; Chumbes, Eduardo M. [Raytheon Integrated Defense Systems, Andover, Massachusetts 01810 (United States); Khurgin, Jacob [Department of Electrical and Computer Engineering, Johns Hopkins University, Baltimore, Maryland 21218 (United States); Rajan, Siddharth [Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio 43210 (United States); Department of Material Science and Engineering, The Ohio State University, Columbus, Ohio 43210 (United States)

    2015-10-12

    We report on the direct measurement of two-dimensional sheet charge density dependence of electron transport in AlGaN/GaN high electron mobility transistors (HEMTs). Pulsed IV measurements established increasing electron velocities with decreasing sheet charge densities, resulting in saturation velocity of 1.9 × 10{sup 7 }cm/s at a low sheet charge density of 7.8 × 10{sup 11 }cm{sup −2}. An optical phonon emission-based electron velocity model for GaN is also presented. It accommodates stimulated longitudinal optical (LO) phonon emission which clamps the electron velocity with strong electron-phonon interaction and long LO phonon lifetime in GaN. A comparison with the measured density-dependent saturation velocity shows that it captures the dependence rather well. Finally, the experimental result is applied in TCAD-based device simulator to predict DC and small signal characteristics of a reported GaN HEMT. Good agreement between the simulated and reported experimental results validated the measurement presented in this report and established accurate modeling of GaN HEMTs.

  11. Density-dependent electron transport and precise modeling of GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Bajaj, Sanyam; Shoron, Omor F.; Park, Pil Sung; Krishnamoorthy, Sriram; Akyol, Fatih; Hung, Ting-Hsiang; Reza, Shahed; Chumbes, Eduardo M.; Khurgin, Jacob; Rajan, Siddharth

    2015-01-01

    We report on the direct measurement of two-dimensional sheet charge density dependence of electron transport in AlGaN/GaN high electron mobility transistors (HEMTs). Pulsed IV measurements established increasing electron velocities with decreasing sheet charge densities, resulting in saturation velocity of 1.9 × 10 7  cm/s at a low sheet charge density of 7.8 × 10 11  cm −2 . An optical phonon emission-based electron velocity model for GaN is also presented. It accommodates stimulated longitudinal optical (LO) phonon emission which clamps the electron velocity with strong electron-phonon interaction and long LO phonon lifetime in GaN. A comparison with the measured density-dependent saturation velocity shows that it captures the dependence rather well. Finally, the experimental result is applied in TCAD-based device simulator to predict DC and small signal characteristics of a reported GaN HEMT. Good agreement between the simulated and reported experimental results validated the measurement presented in this report and established accurate modeling of GaN HEMTs

  12. Mg doping of GaN by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lieten, R R; Buchowicz, G; Dubon, O; Motsnyi, V; Zhang, L; Cheng, K; Leys, M; Degroote, S; Borghs, G

    2011-01-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% 17 cm -3 and a mobility of 15 cm 2 V -1 s -1 . Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 x 10 17 cm -3 . The corresponding Mg concentration is 5 x 10 19 cm -3 , indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 deg. or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 deg. C.

  13. High hole mobility p-type GaN with low residual hydrogen concentration prepared by pulsed sputtering

    Science.gov (United States)

    Arakawa, Yasuaki; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-08-01

    We have grown Mg-doped GaN films with low residual hydrogen concentration using a low-temperature pulsed sputtering deposition (PSD) process. The growth system is inherently hydrogen-free, allowing us to obtain high-purity Mg-doped GaN films with residual hydrogen concentrations below 5 × 1016 cm-3, which is the detection limit of secondary ion mass spectroscopy. In the Mg profile, no memory effect or serious dopant diffusion was detected. The as-deposited Mg-doped GaN films showed clear p-type conductivity at room temperature (RT) without thermal activation. The GaN film doped with a low concentration of Mg (7.9 × 1017 cm-3) deposited by PSD showed hole mobilities of 34 and 62 cm2 V-1 s-1 at RT and 175 K, respectively, which are as high as those of films grown by a state-of-the-art metal-organic chemical vapor deposition apparatus. These results indicate that PSD is a powerful tool for the fabrication of GaN-based vertical power devices.

  14. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  15. Energy Assisted Epitaxy of GaN Using a Low Flux Nitrogen Atom Source

    National Research Council Canada - National Science Library

    Myers, Thomas

    1997-01-01

    .... The grant was successful in all three areas. As detailed in this report, we have performed high quality research on fundamental issues of growth in GaN, a strategic material, in addition to training many students...

  16. High Quality, Low Cost Bulk Gallium Nitride Substrates Grown by the Electrochemical Solution Growth Method

    Energy Technology Data Exchange (ETDEWEB)

    Seacrist, Michael [SunEdison Inc., St. Peters, MO (United States)

    2017-08-15

    The objective of this project was to develop the Electrochemical Solution Growth (ESG) method conceived / patented at Sandia National Laboratory into a commercially viable bulk gallium nitride (GaN) growth process that can be scaled to low cost, high quality, and large area GaN wafer substrate manufacturing. The goal was to advance the ESG growth technology by demonstrating rotating seed growth at the lab scale and then transitioning process to prototype commercial system, while validating the GaN material and electronic / optical device quality. The desired outcome of the project is a prototype commercial process for US-based manufacturing of high quality, large area, and lower cost GaN substrates that can drive widespread deployment of energy efficient GaN-based power electronic and optical devices. In year 1 of the project (Sept 2012 – Dec 2013) the overall objective was to demonstrate crystalline GaN growth > 100um on a GaN seed crystal. The development plan included tasks to demonstrate and implement a method for purifying reagent grade salts, develop the reactor 1 process for rotating seed Electrochemical Solution Growth (ESG) of GaN, grow and characterize ESG GaN films, develop a fluid flow and reaction chemistry model for GaN film growth, and design / build an improved growth reactor capable of scaling to 50mm seed diameter. The first year’s project objectives were met in some task areas including salt purification, film characterization, modeling, and reactor 2 design / fabrication. However, the key project objective of the growth of a crystalline GaN film on the seed template was not achieved. Amorphous film growth on the order of a few tenths of a micron has been detected with a film composition including Ga and N, plus several other impurities originating from the process solution and hardware. The presence of these impurities, particularly the oxygen, has inhibited the demonstration of crystalline GaN film growth on the seed template. However, the

  17. Design and simulation of a novel GaN based resonant tunneling high electron mobility transistor on a silicon substrate

    International Nuclear Information System (INIS)

    Chowdhury, Subhra; Biswas, Dhrubes; Chattaraj, Swarnabha

    2015-01-01

    For the first time, we have introduced a novel GaN based resonant tunneling high electron mobility transistor (RTHEMT) on a silicon substrate. A monolithically integrated GaN based inverted high electron mobility transistor (HEMT) and a resonant tunneling diode (RTD) are designed and simulated using the ATLAS simulator and MATLAB in this study. The 10% Al composition in the barrier layer of the GaN based RTD structure provides a peak-to-valley current ratio of 2.66 which controls the GaN based HEMT performance. Thus the results indicate an improvement in the current–voltage characteristics of the RTHEMT by controlling the gate voltage in this structure. The introduction of silicon as a substrate is a unique step taken by us for this type of RTHEMT structure. (paper)

  18. A Compact Two-Stage 120 W GaN High Power Amplifier for SweepSAR Radar Systems

    Science.gov (United States)

    Thrivikraman, Tushar; Horst, Stephen; Price, Douglas; Hoffman, James; Veilleux, Louise

    2014-01-01

    This work presents the design and measured results of a fully integrated switched power two-stage GaN HEMT high-power amplifier (HPA) achieving 60% power-added efficiency at over 120Woutput power. This high-efficiency GaN HEMT HPA is an enabling technology for L-band SweepSAR interferometric instruments that enable frequent repeat intervals and high-resolution imagery. The L-band HPA was designed using space-qualified state-of-the-art GaN HEMT technology. The amplifier exhibits over 34 dB of power gain at 51 dBm of output power across an 80 MHz bandwidth. The HPA is divided into two stages, an 8 W driver stage and 120 W output stage. The amplifier is designed for pulsed operation, with a high-speed DC drain switch operating at the pulsed-repetition interval and settles within 200 ns. In addition to the electrical design, a thermally optimized package was designed, that allows for direct thermal radiation to maintain low-junction temperatures for the GaN parts maximizing long-term reliability. Lastly, real radar waveforms are characterized and analysis of amplitude and phase stability over temperature demonstrate ultra-stable operation over temperature using integrated bias compensation circuitry allowing less than 0.2 dB amplitude variation and 2 deg phase variation over a 70 C range.

  19. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  20. Basic Equations for the Modeling of Gallium Nitride (gan) High Electron Mobility Transistors (hemts)

    Science.gov (United States)

    Freeman, Jon C.

    2003-01-01

    Gallium nitride (GaN) is a most promising wide band-gap semiconductor for use in high-power microwave devices. It has functioned at 320 C, and higher values are well within theoretical limits. By combining four devices, 20 W has been developed at X-band. GaN High Electron Mobility Transistors (HEMTs) are unique in that the two-dimensional electron gas (2DEG) is supported not by intentional doping, but instead by polarization charge developed at the interface between the bulk GaN region and the AlGaN epitaxial layer. The polarization charge is composed of two parts: spontaneous and piezoelectric. This behavior is unlike other semiconductors, and for that reason, no commercially available modeling software exists. The theme of this document is to develop a self-consistent approach to developing the pertinent equations to be solved. A Space Act Agreement, "Effects in AlGaN/GaN HEMT Semiconductors" with Silvaco Data Systems to implement this approach into their existing software for III-V semiconductors, is in place (summer of 2002).

  1. Effect of H, O intentionally doping on photoelectric properties in MOVPE-growth GaN layers

    KAUST Repository

    Ohkawa, Kazuhiro

    2017-10-24

    GaN crystal growth requires higher purity of materials. Some contaminants in NH3 gas could be the causal factor of defects in GaN crystals. These atoms act as donor or acceptor. In order to clearly demonstrate the effect of gaseous impurities such as H2O on the properties of undoped-GaN layer, high purity NH3 (N70) was used as NH3 source. The concentration of H2O in NH3 was varied at 32, 49, 75, 142, 266, 489, and 899 ppb, respectively. Under the same recipe, we deposited undoped-GaN epitaxial layer with purifier, and H2O-doped GaN series layers. As similar to the results of CO and CO2-doped GaN series, the increase tendency of carrier density changing with increasing H2O concentration. The FWHMs of XRC around (0002) remain stable, witnessing that the crystal quality of GaN layer remain good. LT (15K) PL of undoped-GaN and H2O-doped GaN were measured, the D0X emission peak intensity of all H2O-doped GaN are decreased drastically compared with undoped-GaN. H2O impurity was doped into GaN layer, which not only effects electrical properties and but also effects the radiative emission and furthermore effects PL intensity, its mechanism is discussed.

  2. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    Science.gov (United States)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  3. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  4. Control of strain in GaN by a combination of H2 and N2 carrier gases

    International Nuclear Information System (INIS)

    Yamaguchi, Shigeo; Kariya, Michihiko; Kosaki, Masayoshi; Yukawa, Yohei; Nitta, Shugo; Amano, Hiroshi; Akasaki, Isamu

    2001-01-01

    We study the effect of a combination of N 2 and H 2 carrier gases on the residual strain and crystalline properties of GaN, and we propose its application to the improvement of crystalline quality of GaN/Al 0.17 Ga 0.83 N multiple quantum well (MQW) structures. GaN was grown with H 2 or N 2 carrier gas (H 2 - or N 2 - GaN) on an AlN low-temperature-deposited buffer layer. A (0001) sapphire substrate was used. N 2 - GaN was grown on H 2 - GaN. The total thickness was set to be 1.5 μm, and the ratio of N 2 - GaN thickness to the total thickness, x, ranged from 0 to 1. With increasing x, the tensile stress in GaN increased. Photoluminescence intensity at room temperature was much enhanced. Moreover, the crystalline quality of GaN/Al 0.17 Ga 0.83 N MQW was much higher when the MQW was grown with N 2 on H 2 - GaN than when it was grown with H 2 on H 2 - GaN. These results were due to the achievement of control of strain in GaN using a combination of N 2 - GaN and H 2 - GaN. [copyright] 2001 American Institute of Physics

  5. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    Science.gov (United States)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  6. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  7. Counting Tm dopant atoms around GaN dots using high-angle annular dark field images

    International Nuclear Information System (INIS)

    Rouvière, J-L; Okuno, H; Jouneau, P H; Bayle-Guillemaud, P; Daudin, B

    2011-01-01

    High resolution Z-contrast STEM imaging is used to study the Tm doping of GaN quantum dots grown in AlN by molecular beam epitaxy (MBE). High-angle annular dark field (HAADF) imaging allows us to visualize directly individual Tm atoms in the AlN matrix and even to count the number of Tm atoms in a given AlN atomic column. A new visibility coefficient to determine quantitatively the number of Tm atoms in a given atomic column is introduced. It is based on locally integrated intensities rather than on peak intensities of HAADF images. STEM image simulations shows that this new visibility is less sensitive to the defocus-induced blurring or to the position of the Tm atom within the thin lamella. Most of the Tm atoms diffuse out of GaN dots. Tm atoms are found at different positions in the AlN matrix, (i) Above the wetting layer, Tm atoms are spread within a thickness of 14 AlN monolayers (MLs). (ii) Above the quantum dots all the Tm are located in the same plane situated at 2-3 MLs above the apex of the GaN dot, i.e. at a distance of 14 MLs from the wetting layer, (iii) In addition, Tm can diffuse very far from the GaN dot by following threading dislocations lines.

  8. Growth of GaN single crystals by a Ca- and Ba-added Na flux method

    Science.gov (United States)

    Ukegawa, H.; Konishi, Y.; Fujimori, T.; Miyoshi, N.; Imade, M.; Yoshimura, M.; Kitaoka, Y.; Sasaki, T.; Mori, Y.

    2011-02-01

    GaN substrates are desirable for fabricating ultra-violet LEDs and LDs, and high-power and high-frequency transistors. High-quality GaN single crystals can be obtained by using Na flux method, but the growth habit of bulk crystals must be controlled. In this study, we investigated the effects of additives (Ca, Ba) on the growth habit and impurity concentration in the crystals. The aspect ratio (c/a) of the crystals was increased by increasing the amount of additives, showing that the growth habit could be changed from the pyramidal shape to the prism shape. Ba concentration was below the detection limit (1x1015 atoms/cm3).

  9. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    Science.gov (United States)

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  10. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    Energy Technology Data Exchange (ETDEWEB)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che; Hassan, Haslan Abu; Abdullah, Mat Johar [Nano-Optoelectronics Research and Technology Laboratory School of Physics, Universiti Sains Malaysia, 11800 Penang, Malaysia and Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia); Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia)

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign of cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.

  11. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    Energy Technology Data Exchange (ETDEWEB)

    Erofeev, E. V., E-mail: erofeev@micran.ru [Tomsk State University of Control Systems and Radioelectronics, Research Institute of Electrical-Communication Systems (Russian Federation); Fedin, I. V.; Kutkov, I. V. [Research and Production Company “Micran” (Russian Federation); Yuryev, Yu. N. [National Research Tomsk Polytechnic University, Institute of Physics and Technology (Russian Federation)

    2017-02-15

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping level makes it possible to attain a threshold voltage of GaN transistors close to V{sub th} = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V{sub th} = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.

  12. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    International Nuclear Information System (INIS)

    Erofeev, E. V.; Fedin, I. V.; Kutkov, I. V.; Yuryev, Yu. N.

    2017-01-01

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping level makes it possible to attain a threshold voltage of GaN transistors close to V_t_h = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V_t_h = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.

  13. GaN based nanorods for solid state lighting

    Energy Technology Data Exchange (ETDEWEB)

    Li Shunfeng; Waag, Andreas [Institute of Semiconductor Technology, Braunschweig University of Technology, 38106 Braunschweig (Germany)

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  14. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    Science.gov (United States)

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  15. High-quality vertical light emitting diodes fabrication by mechanical lift-off technique

    Science.gov (United States)

    Tu, Po-Min; Hsu, Shih-Chieh; Chang, Chun-Yen

    2011-10-01

    We report the fabrication of mechanical lift-off high quality thin GaN with Hexagonal Inversed Pyramid (HIP) structures for vertical light emitting diodes (V-LEDs). The HIP structures were formed at the GaN/sapphire substrate interface under high temperature during KOH wet etching process. The average threading dislocation density (TDD) was estimated by transmission electron microscopy (TEM) and found the reduction from 2×109 to 1×108 cm-2. Raman spectroscopy analysis revealed that the compressive stress of GaN epilayer was effectively relieved in the thin-GaN LED with HIP structures. Finally, the mechanical lift-off process is claimed to be successful by using the HIP structures as a sacrificial layer during wafer bonding process.

  16. Benefits and Drawbacks of A High Frequency Gan Zvzcps Converter

    Directory of Open Access Journals (Sweden)

    Blanes J. M.

    2017-01-01

    Full Text Available This paper presents the benefits and drawbacks of replacing the traditional Si Mosfets transistors with enhancement mode GaN transistors in a Half-Bridge Zero Voltage and Zero Current Switching Power Switching (ZVZCPS converter. This type of converters is usually used as Electronic Power Converters (EPC for telecommunication satellites travelling-wave tube amplifiers (TWTAs. In this study, firstly the converter is theoretically analysed, obtaining its operation, losses and efficiency equations. From these equations, optimizations maps based on the main system parameters are obtained. These optimization maps are the key to quantify the potential benefits of GaN transistors in this type of converters. Theoretical results show that using GaN transistors, the frequency of the converter can be pushed from 125kHz to 830kHz without sacrificing the converter efficiency. This frequency increase is directly related to reduction on the EPC size and weight.

  17. Novel oxide buffer approach for GaN integration on Si(111) platform through Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bi-layer

    Energy Technology Data Exchange (ETDEWEB)

    Tarnawska, Lidia

    2012-12-19

    Motivation: Preparation of GaN virtual substrates on large-scale Si wafers is intensively pursued as a cost-effective approach for high power/high frequency electronics (HEMT's etc.) and optoelectronic applications (LED, LASER). However, the growth of high quality GaN layers on Si is hampered by several difficulties mainly related to a large lattice mismatch (-17%) and a huge difference in the thermal expansion coefficient (56%). As a consequence, GaN epitaxial layers grown on Si substrates show a high number of defects (threading dislocations etc.), which severely deteriorate the overall quality of the GaN films. Additionally, due to the different thermal expansion coefficients of the substrate and the film, um-thick GaN layers crack during post-growth cooling. To solve these integration problems, different semiconducting (e.g. AlN, GaAs, ZnO, HfN) and insulating (e.g. Al{sub 2}O{sub 3}, MgO, LiGaO{sub 2}) buffer layers, separating the Si substrate from the GaN film, are applied. Goal: In this thesis, a novel buffer approach for the integration of GaN on Si is proposed and investigated. The new approach employs Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bilayer templates as a step-graded buffer to reduce the lattice mismatch between GaN and the Si(111) substrate. According to the bulk crystal lattices, since the Y{sub 2}O{sub 3} has an in-plane lattice misfit of -2% to Si, Sc{sub 2}O{sub 3} -7% to Y{sub 2}O{sub 3}, the lattice misfit between GaN and the substrate can be theoretically reduced by about 50% from -17% (GaN/Si) to -8% (GaN/Sc{sub 2}O{sub 3}). Experimental: The GaN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) heterostructures are prepared in a multichamber molecular beam epitaxy system on 4 inch Si(111) wafers. In order to obtain complete information on the structural quality of the oxide buffer as well as the GaN layer, synchrotron- and laboratory-based X-ray diffraction, transmission electron microscopy and photoluminescence measurements are performed. The

  18. GaN Nanowires Synthesized by Electroless Etching Method

    KAUST Repository

    Najar, Adel; Anjum, Dalaver H.; Ng, Tien Khee; Ooi, Boon S.; Ben Slimane, Ahmed

    2012-01-01

    Ultra-long Gallium Nitride Nanowires is synthesized via metal-electroless etching method. The morphologies and optical properties of GaN NWs show a single crystal GaN with hexagonal Wurtzite structure and high luminescence properties.

  19. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  20. Hole-induced d"0 ferromagnetism enhanced by Na-doping in GaN

    International Nuclear Information System (INIS)

    Zhang, Yong; Li, Feng

    2017-01-01

    The d"0 ferromagnetism in wurtzite GaN is investigated by the first-principle calculations. It is found that spontaneous magnetization occurs if sufficient holes are injected in GaN. Both Ga vacancy and Na doping can introduce holes into GaN. However, Ga vacancy has a high formation energy, and is thus unlikely to occur in a significant concentration. In contrast, Na doping has relatively low formation energy. Under N-rich growth condition, Na doping with a sufficient concentration can be achieved, which can induce half-metallic ferromagnetism in GaN. Moreover, the estimated Curie temperature of Na-doped GaN is well above the room temperature. - Highlights: • Hole-induced ferromagnetism in GaN is confirmed. • Both Ga Vacancy and Na-doping can introduce hole into GaN. • The concentration of Ga vacancy is too low to induce detectable ferromagnetism. • Na-doped GaN is a possible ferromagnet with a high curie-temperature.

  1. MOVPE growth of position-controlled InGaN / GaN core-shell nanorods

    Energy Technology Data Exchange (ETDEWEB)

    Mandl, Martin [Osram Opto Semiconductors GmbH, Regensburg (Germany); Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Schimpke, Tilman; Binder, Michael; Galler, Bastian; Lugauer, Hans-Juergen; Strassburg, Martin [Osram Opto Semiconductors GmbH, Regensburg (Germany); Wang, Xue; Ledig, Johannes; Ehrenburg, Milena; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Kong, Xiang; Trampert, Achim [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2013-07-01

    Core-shell group III-nitride nano- and microrods (NAMs) enable a significant increase of the active layer area by exploiting the non-polar side facets (m-planes) and thus can potentially contribute to mitigating the so-called efficiency droop in LEDs. GaN NAMs exhibiting high aspect ratios were grown in a production-type MOVPE system. Low V/III ratio, hydrogen-rich carrier gas mixture and surfactants supported the 3D growth of the pencil-shape n-type GaN core. Desired narrow distributions of shape, diameter and height were achieved. The arrangement of the NAMs was controlled by patterns etched into SiO{sub 2} masks deposited on GaN templates. The active layer (InGaN/GaN SQW and MQWs) and the layer for the p-side were deposited with 2D-like conditions wrapped around the core. The crystalline quality of the NAMs, shell growth rates and the Indium distribution were investigated by high resolution transmission electron microscopy. Furthermore, optical emission was studied using density-dependent photoluminescence spectroscopy.

  2. Ab initio molecular dynamics simulation of structural transformation in zinc blende GaN under high pressure

    International Nuclear Information System (INIS)

    Xiao, H.Y.; Gao, Fei; Zu, X.T.; Weber, W.J.

    2010-01-01

    High-pressure induced zinc blende to rocksalt phase transition in GaN has been investigated by ab initio molecular dynamics method to characterize the transformation mechanism at the atomic level. It was shown that at 100 GPa GaN passes through tetragonal and monoclinic states before rocksalt structure is formed. The transformation mechanism is consistent with that for other zinc blende semiconductors obtained from the same method. Detailed structural analysis showed that there is no bond breaking involved in the phase transition.

  3. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  4. Polarization Raman spectroscopy of GaN nanorod bundles

    International Nuclear Information System (INIS)

    Tite, T.; Lee, C. J.; Chang, Y.-M.

    2010-01-01

    We performed polarization Raman spectroscopy on single wurtzite GaN nanorod bundles grown by plasma-assisted molecular beam epitaxy. The obtained Raman spectra were compared with those of GaN epilayer. The spectral difference between the GaN nanorod bundles and epilayer reveals the relaxation of Raman selection rules in these GaN nanorod bundles. The deviation of polarization-dependent Raman spectroscopy from the prediction of Raman selection rules is attributed to both the orientation of the crystal axis with respect to the polarization vectors of incident and scattered light and the structural defects in the merging boundary of GaN nanorods. The presence of high defect density induced by local strain at the merging boundary was further confirmed by transmission electron microscopy. The averaged defect interspacing was estimated to be around 3 nm based on the spatial correlation model.

  5. Influence of AlGaN Buffer Growth Temperature on GaN Epilayer based on Si(lll) Substrate

    International Nuclear Information System (INIS)

    Wei Meng; Wang Xiaoliang; Pan Xu; Xiao Hongling; Wang Cuimei; Zhang Minglan; Wang Zhanguo

    2011-01-01

    This paper investigated the influence of AlGaN buffer growth temperature on strain status and crystal quality of the GaN film on Si(111) sbustrates by metal organic chemical vapor deposition. It was demonstrated by the optical microscopy that AlGaN buffer gorwth temperature had a remarkable effect on compensating tensil stress in top GaN layer and preventing the formation of cracks. X-ray diffraction and atomic force microscopy analysis showed crystal quality and surface morphology of the GaN epilayer could be improved through increasing AlGaN buffer growth temperature. 1μm crack-free GaN epilayer on Si (111) substrates was obtained with graded AlGaN buffer layer at optimized temperature of 1050 deg. C. Transmission electron microscopy analysis revealed that a significant reduction in threading dislocations was achieved in GaN epilayer.

  6. Characterization of GaN quantum discs embedded in AlxGa1-xN nanocolumns grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ristic, J.; Calleja, E.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Sanchez-Paramo, J.; Calleja, J.M.; Jahn, U.; Trampert, A.; Ploog, K.H.

    2003-01-01

    GaN quantum discs embedded in AlGaN nanocolumns with outstanding crystal quality and very high luminescence efficiency were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy under highly N-rich conditions. Nanocolumns with diameters in the range of 30-150 nm, with no traces of any extended defects, as confirmed by transmission electron microscopy, were obtained. GaN quantum discs, 2 and 4 nm thick, were grown embedded in AlGaN nanocolumns by switching on and off the Al flux during variable time spans. Strong optical emissions from GaN quantum discs, observed by photoluminescence and cathodoluminescence measurements, reveal quantum confinement effects. While Raman data indicate that the nanocolumns are fully relaxed, the quantum discs appear to be fully strained. These nanostructures have a high potential for application in efficient vertical cavity emitters

  7. Synthesis of p-type GaN nanowires.

    Science.gov (United States)

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  8. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    Science.gov (United States)

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  9. Fabrication and optical characterization of GaN waveguides on (−201)-oriented β-Ga_2O_3

    KAUST Repository

    Awan, Kashif M.; Muhammad, Mufasila M.; Sivan, Madhavi; Bonca, Spencer; Roqan, Iman S.; Dolgaleva, Ksenia

    2017-01-01

    Gallium nitride (GaN), a wide-bandgap III-V semiconductor material with a bandgap wavelength λ = 366 nm (for Wurtzite GaN) and transparency window covering the visible spectrum, has a large number of applications for photonics and optoelectronics. However, the optical quality of this material suffers from growth imperfections due to the lack of a suitable substrate. Recent studies have shown that GaN grown on (-201) β - GaO (gallium oxide) has better lattice matching and hence superior optical quality as compared to GaN grown traditionally on AlO (sapphire). In this work, we report on the fabrication of GaN waveguides on GaO substrate, followed by a wet-etch process aimed at the reduction of waveguide surface roughness and improvement of side-wall verticality in these waveguides. The propagation loss in the resulting waveguides has been experimentally determined to be 7.5 dB/cm.

  10. Fabrication and optical characterization of GaN waveguides on (−201)-oriented β-Ga_2O_3

    KAUST Repository

    Awan, Kashif M.

    2017-12-19

    Gallium nitride (GaN), a wide-bandgap III-V semiconductor material with a bandgap wavelength λ = 366 nm (for Wurtzite GaN) and transparency window covering the visible spectrum, has a large number of applications for photonics and optoelectronics. However, the optical quality of this material suffers from growth imperfections due to the lack of a suitable substrate. Recent studies have shown that GaN grown on (-201) β - GaO (gallium oxide) has better lattice matching and hence superior optical quality as compared to GaN grown traditionally on AlO (sapphire). In this work, we report on the fabrication of GaN waveguides on GaO substrate, followed by a wet-etch process aimed at the reduction of waveguide surface roughness and improvement of side-wall verticality in these waveguides. The propagation loss in the resulting waveguides has been experimentally determined to be 7.5 dB/cm.

  11. 4 Gbps direct modulation of 450 nm GaN laser for high-speed visible light communication

    KAUST Repository

    Lee, Changmin; Zhang, Chong; Cantore, Michael; Farrell, Robert M.; Oh, Sang Ho; Margalith, Tal; Speck, James S.; Nakamura, Shuji; Bowers, John E.; DenBaars, Steven P.

    2015-01-01

    We demonstrate high-speed data transmission with a commercial high power GaN laser diode at 450 nm. 2.6 GHz bandwidth was achieved at an injection current of 500 mA using a high-speed visible light communication setup. Record high 4 Gbps free

  12. GaN growth on silane exposed AlN seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Ruiz-Zepeda, F. [Posgrado en Fisica de Materiales, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Km. 107 Carret, Tijuana-Ensenada, C.P. 22860, Ensenada, B.C. (Mexico); Contreras, O. [Centro de Ciencias de la Materia Condesada, Universidad Nacional Autonoma de Mexico, Apdo. Postal 356, C.P. 22800, Ensenada, B.C. (Mexico); Dadgar, A.; Krost, A. [Otto-von-Guericke-Universitaet Magdeburg, FNW-IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2008-07-01

    The microstructure and surface morphology of GaN films grown on AlN seed layers exposed to silane flow has been studied by TEM and AFM. The epilayers were grown on silicon(111) substrates by MOCVD. The AlN seed layer surface was treated at different SiH{sub 4} exposure times prior to the growth of the GaN film. A reduction in the density of threading dislocations is observed in the GaN films and their surface roughness is minimized for an optimal SiH{sub 4} exposure time between 75-90 sec. At this optimal condition a step-flow growth mode of GaN film is predominant. The improvement of the surface and structure quality of the epilayers is observed to be related to an annihilation process of threading dislocations done by SiN{sub x} masking. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    Science.gov (United States)

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  14. Taevo Gans / Ene Ammer

    Index Scriptorium Estoniae

    Ammer, Ene

    1998-01-01

    Sisearhitekt Taevo Gansist. Tudengipõlvest, selle aja projektidest, sõpruskonnast, tandemist Summatavet & Gans, Venemaa tellimustest, kaastöölistest. Üksinda Hommilkumaal vene tarbekunsti näitusega 1974. a. 1988. a. loodud perefirmast "GaDis" (omanikud Taevo, Helle Gans, Riia Oja), mis nõustab ka "Wermot" mööbli osas. "GaDise" sisekujundusprojektidest, millega Taevo ja Helle Gans tegelevad üheskoos

  15. Electronic Transport Mechanism for Schottky Diodes Formed by Au/HVPE a-Plane GaN Templates Grown via In Situ GaN Nanodot Formation

    Directory of Open Access Journals (Sweden)

    Moonsang Lee

    2018-06-01

    Full Text Available We investigate the electrical characteristics of Schottky contacts for an Au/hydride vapor phase epitaxy (HVPE a-plane GaN template grown via in situ GaN nanodot formation. Although the Schottky diodes present excellent rectifying characteristics, their Schottky barrier height and ideality factor are highly dependent upon temperature variation. The relationship between the barrier height, ideality factor, and conventional Richardson plot reveals that the Schottky diodes exhibit an inhomogeneous barrier height, attributed to the interface states between the metal and a-plane GaN film and to point defects within the a-plane GaN layers grown via in situ nanodot formation. Also, we confirm that the current transport mechanism of HVPE a-plane GaN Schottky diodes grown via in situ nanodot formation prefers a thermionic field emission model rather than a thermionic emission (TE one, implying that Poole–Frenkel emission dominates the conduction mechanism over the entire range of measured temperatures. The deep-level transient spectroscopy (DLTS results prove the presence of noninteracting point-defect-assisted tunneling, which plays an important role in the transport mechanism. These electrical characteristics indicate that this method possesses a great throughput advantage for various applications, compared with Schottky contact to a-plane GaN grown using other methods. We expect that HVPE a-plane GaN Schottky diodes supported by in situ nanodot formation will open further opportunities for the development of nonpolar GaN-based high-performance devices.

  16. Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Chierchia, Rosa

    2007-07-01

    This thesis treats strain and dislocations in MOVPE GaN layers. The mosaic structure of metalorganic vapour phase epitaxy (MOVPE)-grown GaN layers was studied in dependence on the grain diameter utilizing high-resolution XRD. Different models for the defect structure were analyzed, the edge type TD densities were calculated under the assumption that the dislocations are not randomly distributed but localized at the grain boundaries. Moreover, in situ measurements have shown that the layers are under tension in the c-plane when a nucleation layer is used. The second part of this thesis treats a particular approach to reduce dislocations in MOVPE GaN layers, i.e. maskless pendeo epitaxial growth of MOVPE GAN layers. FE simulations assuming the strain to be completely induced during cooling of the structures after growth agree only partly with experimental data. The strain state of single layers and stripes of GaN grown on SiC was studied to exploit the evolution of the strain in the different phases of the PE growth. The biaxial compressive stress, due to the lattice mismatch between the GaN layer and the AlN nucleation layer is plastically relieved before overgrowth. Temperature dependent measurements show a linear reduction of the wing tilt with increasing temperature varying from sample to sample. Bent TDs have been observed in TEM images of maskless PE samples. Stress induced from the mismatch between the AlN buffer layer and the GaN also contributes to the remaining part of the wing tilt not relieved thermally. It has to be noted that the rest tilt value varies from sample to sample at the growth temperature. In fact some of the data indicate that the wing tilt decreases with increasing V/III ratio. In the last Chapter the application of X-ray techniques for the analysis of strain and composition in layers of inhomogeneous composition is explored. In the first part of the Chapter the strain state and the Al content of AlGaN buffer layers grown directly on (0001

  17. Doping process of p-type GaN nanowires: A first principle study

    Science.gov (United States)

    Xia, Sihao; Liu, Lei; Diao, Yu; Feng, Shu

    2017-10-01

    The process of p-type doping for GaN nanowires is investigated using calculations starting from first principles. The influence of different doping elements, sites, types, and concentrations is discussed. Results suggest that Mg is an optimal dopant when compared to Be and Zn due to its stronger stability, whereas Be atoms are more inclined to exist in the interspace of a nanowire. Interstitially-doped GaN nanowires show notable n-type conductivity, and thus, Be is not a suitable dopant, which is to be expected since systems with inner substitutional dopants are more favorable than those with surface substitutions. Both interstitial and substitutional doping affect the atomic structure near dopants and induce charge transfer between the dopants and adjacent atoms. By altering doping sites and concentrations, nanowire atomic structures remain nearly constant. Substitutional doping models show p-type conductivity, and Mg-doped nanowires with doping concentrations of 4% showing the strongest p-type conductivity. All doping configurations are direct bandgap semiconductors. This study is expected to direct the preparation of high-quality GaN nanowires.

  18. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Saron, K.M.A., E-mail: kamalmohammedabdalla@yahoo.com [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia); Hashim, M.R., E-mail: roslan@usm.my [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia)

    2013-05-15

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH{sub 3} gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N{sub 2} ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h{sup −1} at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V{sub Ga}–O{sub N}-complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission.

  19. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    International Nuclear Information System (INIS)

    Saron, K.M.A.; Hashim, M.R.

    2013-01-01

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH 3 gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N 2 ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h −1 at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V Ga –O N -complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission

  20. In situ synthesis and characterization of GaN nanorods through thermal decomposition of pre-grown GaN films

    International Nuclear Information System (INIS)

    Yan, P; Qin, D; An, Y K; Li, G Z; Xing, J; Liu, J J

    2008-01-01

    Herein we describe a thermal treatment route to synthesize gallium nitride (GaN) nanorods. In this method, GaN nanorods were synthesized by thermal treatment of GaN films at a temperature of 800 deg. C. The morphology and structure of GaN nanorods were characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The results show that GaN nanorods have a hexagonal wurtzite structure with diameters ranging from 30 to 50 nm. Additionally, GaN nanoplates are also founded in the products. The growth process of GaN nanostructures was investigated and a thermal decomposition mechanism was proposed. Our method provides a cost-effective route to fabricate GaN nanorods, which will benefit the fabrication of one-dimensional nanomaterials and device applications

  1. Above room-temperature ferromagnetism of Mn delta-doped GaN nanorods

    International Nuclear Information System (INIS)

    Lin, Y. T.; Wadekar, P. V.; Kao, H. S.; Chen, T. H.; Chen, Q. Y.; Tu, L. W.; Huang, H. C.; Ho, N. J.

    2014-01-01

    One-dimensional nitride based diluted magnetic semiconductors were grown by plasma-assisted molecular beam epitaxy. Delta-doping technique was adopted to dope GaN nanorods with Mn. The structural and magnetic properties were investigated. The GaMnN nanorods with a single crystalline structure and with Ga sites substituted by Mn atoms were verified by high-resolution x-ray diffraction and Raman scattering, respectively. Secondary phases were not observed by high-resolution x-ray diffraction and high-resolution transmission electron microscopy. In addition, the magnetic hysteresis curves show that the Mn delta-doped GaN nanorods are ferromagnetic above room temperature. The magnetization with magnetic field perpendicular to GaN c-axis saturates easier than the one with field parallel to GaN c-axis

  2. The origin of the residual conductivity of GaN films on ferroelectric materials

    Science.gov (United States)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  3. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  4. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1−xN Buffer Layer

    Directory of Open Access Journals (Sweden)

    Chang-Ju Lee

    2017-07-01

    Full Text Available The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded AlxGa−xN buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded AlxGa1−xN buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10−2 A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  5. The 2018 GaN power electronics roadmap

    Science.gov (United States)

    Amano, H.; Baines, Y.; Beam, E.; Borga, Matteo; Bouchet, T.; Chalker, Paul R.; Charles, M.; Chen, Kevin J.; Chowdhury, Nadim; Chu, Rongming; De Santi, Carlo; Merlyne De Souza, Maria; Decoutere, Stefaan; Di Cioccio, L.; Eckardt, Bernd; Egawa, Takashi; Fay, P.; Freedsman, Joseph J.; Guido, L.; Häberlen, Oliver; Haynes, Geoff; Heckel, Thomas; Hemakumara, Dilini; Houston, Peter; Hu, Jie; Hua, Mengyuan; Huang, Qingyun; Huang, Alex; Jiang, Sheng; Kawai, H.; Kinzer, Dan; Kuball, Martin; Kumar, Ashwani; Boon Lee, Kean; Li, Xu; Marcon, Denis; März, Martin; McCarthy, R.; Meneghesso, Gaudenzio; Meneghini, Matteo; Morvan, E.; Nakajima, A.; Narayanan, E. M. S.; Oliver, Stephen; Palacios, Tomás; Piedra, Daniel; Plissonnier, M.; Reddy, R.; Sun, Min; Thayne, Iain; Torres, A.; Trivellin, Nicola; Unni, V.; Uren, Michael J.; Van Hove, Marleen; Wallis, David J.; Wang, J.; Xie, J.; Yagi, S.; Yang, Shu; Youtsey, C.; Yu, Ruiyang; Zanoni, Enrico; Zeltner, Stefan; Zhang, Yuhao

    2018-04-01

    Gallium nitride (GaN) is a compound semiconductor that has tremendous potential to facilitate economic growth in a semiconductor industry that is silicon-based and currently faced with diminishing returns of performance versus cost of investment. At a material level, its high electric field strength and electron mobility have already shown tremendous potential for high frequency communications and photonic applications. Advances in growth on commercially viable large area substrates are now at the point where power conversion applications of GaN are at the cusp of commercialisation. The future for building on the work described here in ways driven by specific challenges emerging from entirely new markets and applications is very exciting. This collection of GaN technology developments is therefore not itself a road map but a valuable collection of global state-of-the-art GaN research that will inform the next phase of the technology as market driven requirements evolve. First generation production devices are igniting large new markets and applications that can only be achieved using the advantages of higher speed, low specific resistivity and low saturation switching transistors. Major investments are being made by industrial companies in a wide variety of markets exploring the use of the technology in new circuit topologies, packaging solutions and system architectures that are required to achieve and optimise the system advantages offered by GaN transistors. It is this momentum that will drive priorities for the next stages of device research gathered here.

  6. Zn-dopant dependent defect evolution in GaN nanowires

    Science.gov (United States)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (GaN nanowires. At high Zn doping level (3-5 at%), meta

  7. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  8. Structural characterization of bulk GaN crystals grown under high hydrostatic pressure

    Science.gov (United States)

    Liliental-Weber, Zuzanna; Kisielowski, C.; Ruvimov, S.; Chen, Y.; Washburn, J.; Grzegory, I.; Bockowski, M.; Jun, J.; Porowski, S.

    1996-09-01

    This paper describes TEM characterization of bulk GaN crystals grown at 1500-1800Kin the form of plates from a solution of atomic nitrogen in liquid gallium under high nitrogen pressure (up to 20 kbars). The x-ray rocking curves for these crystals were in the range of 20-30 arc-sec. The plate thickness along the c axis was about 100 times smaller than the nonpolar growth directions. A substantial difference in material quality was observed on the opposite sides of the plates normal to the c direction. On one side the surface was atomically flat, while on the other side the surface was rough, with pyramidal features up to 100 nm high. The polarity of the crystals was determined using convergent-beam electron diffraction. The results showed that, regarding the long bond between Ga and N along the c-axis, Ga atoms were found to be closer to the flat side of the crystal, while N atoms were found to be closer to the rough side. Near the rough side, within 1/10 to 1/4 of the plate thickness, there was a high density of planar defects (stacking faults and dislocation loops decorated by Ga/void precipitates). A model explaining the defect formation is proposed.

  9. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  10. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  11. Investigation on the Solubility of GaN in Supercritical Ammonia Containing Acidic, Neutral, and Some Basic Mineralizers

    National Research Council Canada - National Science Library

    Ehrentraut, Dirk

    2009-01-01

    ... material due to the superior structural quality over HVPE GaN. In order to hold up with the progress, not at least provide a scientific platform, the solubility of GaN in supercritical ammonia (NH3...

  12. Study on the influence of annealing effects in GaN VPE

    International Nuclear Information System (INIS)

    Furtado, M.

    1983-06-01

    The effects of annealing that occur during VPE growth of GaN were investigated. GaN powder (and epilayers) samples were annealed in Ar, N 2 , H 2 , NH 3 , HC1 + N 2 and HC1 + H 2 (N 2 , H 2 and HC1 + N 2 ), respectively; under a range of experimental conditions of interest for preparing electroluminescent devices. Good surface appearence Zn doped epilayers were also used under N 2 in order to investigate surface morphology changes due to thermal decomposition. It was found that GaN reacts with H 2 , remains stable under NH 3 , and the effects of thermal decomposition are somewhat enhanced with HC1. The epilayers' behaviour under thermal decomposition and HC1 are interpreted by the greater stability of the (0001) crystal plane, which accounts for the improvement of the surface quality under special growth conditions. Significant observations are reported concerning GaN decomposition in different ambients [pt

  13. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Effect of substrate mis-orientation on GaN thin films grown by MOCVD under different carrier gas condition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2005-05-01

    We have studied the effect of a slight mis-orientation angle on surface and crystal quality of GaN thin films grown under different carrier gas conditions. Two types of carrier gas conditions were applied to the growth. One was pure H{sub 2} and the other was mixed N{sub 2}/H{sub 2}. As the result, we found dependence of surface and crystal quality of GaN thin films on the substrate mis-orientation angle, and they indicated almost the same tendency under both growth conditions. Therefore, it was confirmed that mis-orientation angle of sapphire substrate was one of the most critical factors for GaN thin films. Then, the effect of the additional N{sub 2} into the conventional H{sub 2} carrier gas was studied, and we found that the conversion of carrier gas from the conventional H{sub 2} to N{sub 2}/H{sub 2} mixture was effective against degradation of GaN crystallinity at any mis-orientation angle. Considering that the crystal quality of GaN thin films became insensitive to mis-orientation angle as the condition became more suitable for GaN growth, the optimal substrate mis-orientation angle was consequently decided to be approximately 0.15 from the morphological aspect. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Study of the dosimetric response of Gallium Nitride (GaN): modeling, simulation and characterization on radiotherapy

    International Nuclear Information System (INIS)

    Wang, Ruoxi

    2015-01-01

    The work in this thesis has the objective to increase the measurement precision of the dosimetry based on the Gallium Nitride (GaN) transducer and develop its applications on radiotherapy. The study includes the aspects of modeling, simulation and characterization of this response in external radiotherapy and brachytherapy. In modeling, we have proposed two approaches to model the GaN transducer's response in external radiotherapy. For the first approach, a model has been built based on experimental data, while separating the primary and scattering component of the beam. For the second approach, we have adopted a response model initially developed for the silicon diodes for the GaN radioluminescent transducer. We have also proposed an original concept of bi-media dosimetry which evaluates the dose in tissue according to different responses from two media without prior information on the conditions of irradiation. This concept has been shown by Monte Carlo simulation. Moreover, for High Dose Rate brachytherapy, the response of GaN transducer irradiated by iridium 192 and cobalt 60 sources has been evaluated by Monte Carlo simulation and confirmed by the measurements. Studies on the property characterization of GaN radioluminescent transducer has been carried out with these sources as well. An instrumented phantom prototype with GaN probe has been developed for the HDR brachytherapy quality control. It allows a real-time verification of the physics parameters of a treatment (source dwell position, source dwell time, source activity). (author) [fr

  16. The MOVPE growth mechanism of catalyst-free self-organized GaN columns in H2 and N2 carrier gases

    Science.gov (United States)

    Wang, Xue; Jahn, Uwe; Ledig, Johannes; Wehmann, Hergo-H.; Mandl, Martin; Straßburg, Martin; Waag, Andreas

    2013-12-01

    Columnar structures of III-V semiconductors recently attract considerable attention because of their potential applications in novel optoelectronic and electronic devices. In the present study, the mechanisms for the growth of catalyst-free self-organized GaN columns on sapphire substrate by metal organic vapor phase epitaxy have been thoroughly investigated. The growth behaviours are strongly affected by the choice of carrier gas. If pure nitrogen is used, Ga droplets are able to accumulate on the top of columns during growth, and they are converted into a high quality GaN layer during the cool down phase due to nitridation. Hydrogen as the carrier gas can improve the optical quality of the overall GaN columns substantially, and in addition increase the vertical growth rate. In this case, no indication of Ga droplets could be detected. Furthermore, silane doping during the growth promotes the vertical growth in both cases either pure nitrogen or pure hydrogen as the carrier gas.

  17. The influence of Fe doping on the surface topography of GaN epitaxial material

    International Nuclear Information System (INIS)

    Cui Lei; Yin Haibo; Jiang Lijuan; Wang Quan; Feng Chun; Xiao Hongling; Wang Cuimei; Wang Xiaoliang; Gong Jiamin; Zhang Bo; Li Baiquan; Wang Zhanguo

    2015-01-01

    Fe doping is an effective method to obtain high resistivity GaN epitaxial material. But in some cases, Fe doping could result in serious deterioration of the GaN material surface topography, which will affect the electrical properties of two dimensional electron gas (2DEG) in HEMT device. In this paper, the influence of Fe doping on the surface topography of GaN epitaxial material is studied. The results of experiments indicate that the surface topography of Fe-doped GaN epitaxial material can be effectively improved and the resistivity could be increased after increasing the growth rate of GaN materials. The GaN material with good surface topography can be manufactured when the Fe doping concentration is 9 × 10 19 cm −3 . High resistivity GaN epitaxial material which is 1 × 10 9 Ω·cm is achieved. (paper)

  18. 4 Gbps direct modulation of 450 nm GaN laser for high-speed visible light communication

    KAUST Repository

    Lee, Changmin

    2015-06-10

    We demonstrate high-speed data transmission with a commercial high power GaN laser diode at 450 nm. 2.6 GHz bandwidth was achieved at an injection current of 500 mA using a high-speed visible light communication setup. Record high 4 Gbps free-space data transmission rate was achieved at room temperature.

  19. 4 Gbps direct modulation of 450 nm GaN laser for high-speed visible light communication.

    Science.gov (United States)

    Lee, Changmin; Zhang, Chong; Cantore, Michael; Farrell, Robert M; Oh, Sang Ho; Margalith, Tal; Speck, James S; Nakamura, Shuji; Bowers, John E; DenBaars, Steven P

    2015-06-15

    We demonstrate high-speed data transmission with a commercial high power GaN laser diode at 450 nm. 2.6 GHz bandwidth was achieved at an injection current of 500 mA using a high-speed visible light communication setup. Record high 4 Gbps free-space data transmission rate was achieved at room temperature.

  20. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    Science.gov (United States)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  1. High Voltage GaN Schottky Rectifiers

    Energy Technology Data Exchange (ETDEWEB)

    CAO,X.A.; CHO,H.; CHU,S.N.G.; CHUO,C.-C.; CHYI,J.-I.; DANG,G.T.; HAN,JUNG; LEE,C.-M.; PEARTON,S.J.; REN,F.; WILSON,R.G.; ZHANG,A.P.

    1999-10-25

    Mesa and planar GaN Schottky diode rectifiers with reverse breakdown voltages (V{sub RB}) up to 550V and >2000V, respectively, have been fabricated. The on-state resistance, R{sub ON}, was 6m{Omega}{center_dot} cm{sup 2} and 0.8{Omega}cm{sup 2}, respectively, producing figure-of-merit values for (V{sub RB}){sup 2}/R{sub ON} in the range 5-48 MW{center_dot}cm{sup -2}. At low biases the reverse leakage current was proportional to the size of the rectifying contact perimeter, while at high biases the current was proportional to the area of this contact. These results suggest that at low reverse biases, the leakage is dominated by the surface component, while at higher biases the bulk component dominates. On-state voltages were 3.5V for the 550V diodes and {ge}15 for the 2kV diodes. Reverse recovery times were <0.2{micro}sec for devices switched from a forward current density of {approx}500A{center_dot}cm{sup -2} to a reverse bias of 100V.

  2. Energetics of Mg incorporation at GaN(0001) and GaN(0001¯) surfaces

    Science.gov (United States)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-04-01

    By using density functional calculations in the generalized gradient approximation, we investigate the energetics of Mg adsorption and incorporation at GaN(0001) and GaN(0001¯) surfaces under various Ga and Mg coverage conditions as well as in presence of light or electron beam-induced electronic excitation. We find significant differences in Mg incorporation between Ga- and N-polar surfaces. Mg incorporation is easier at the Ga-polar surface, but high Mg coverages are found to cause important distortions which locally change the polarity from Ga to N polar. At the N-rich and moderately Ga-rich GaN(0001) surface, 0.25 ML of Mg substituting Ga in the top bilayer strongly reduce the surface diffusion barriers of Ga and N adatoms, in agreement with the surfactant effect observed in experiments. As the Mg coverage exceeds 0.5 ML, partial incorporation in the subsurface region (second bilayer) becomes favorable. A surface structure with 0.5 ML of incorporated Mg in the top bilayer and 0.25 ML in the second bilayer is found to be stable over a wide range of Ga chemical potential. At the Ga bilayer-terminated GaN(0001) surface, corresponding to Ga-rich conditions, configurations where Mg is incorporated in the interface region between the metallic Ga bilayer and the underlying GaN bilayer appear to be favored. At the N-polar surface, Mg is not incorporated under N-rich or moderately Ga-rich conditions, whereas incorporation in the adlayer may take place under Ga-rich conditions. In the presence of light or electron beam induced excitation, energy differences between Mg incorporated at the surface and in deeper layers are reduced so that the tendency toward surface segregation is also reduced.

  3. Low p-type contact resistance by field-emission tunneling in highly Mg-doped GaN

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Grandjean, Nicolas

    2016-12-01

    Mg-doped GaN with a net acceptor concentration (NA-ND) in the high 1019 cm-3 range was grown using ammonia molecular-beam epitaxy. Electrical properties of NiO contact on this heavily doped p-type GaN were investigated. A potential-barrier height of 0.24 eV was extracted from the relationship between NA-ND and the specific contact resistivity (ρc). We found that there is an optimum NA-ND value of 5 × 1019 cm-3 for which ρc is as low as 2 × 10-5 Ω cm2. This low ρc is ascribed to hole tunneling through the potential barrier at the NiO/p+-GaN interface, which is well accounted for by the field-emission model.

  4. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  5. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  6. Z L GAN

    Indian Academy of Sciences (India)

    Z L GAN. Articles written in Sadhana. Volume 43 Issue 4 April 2018 pp 59. Effect of scale size, orientation type and dispensing method on void formation in the CUF encapsulation of BGA · AIZAT ABAS FEI CHONG NG Z L GAN M H H ISHAK M Z ABDULLAH GEAN YUEN CHONG · More Details Abstract Fulltext PDF.

  7. Influencing factors of GaN growth uniformity through orthogonal test analysis

    International Nuclear Information System (INIS)

    Zhang, Zhi; Fang, Haisheng; Yan, Han; Jiang, Zhimin; Zheng, Jiang; Gan, Zhiyin

    2015-01-01

    Gallium nitride (GaN) is widely used in light-emitting diode (LED) devices due to its wide bandgap and excellently optoelectronic performance. The efficiency and lifetime of LEDs are critically determined by quality of GaN, for example, growth uniformity. Metal-organic chemical vapor deposition (MOCVD) is the most popular technique to grow high-quality GaN epitaxial layers. Growth uniformity is influenced by fluid flow, heat transfer and chemical reactions in the reactor. In this paper, the growth process in a close-coupled showerhead (CCS) MOCVD reactor is investigated based on 3D numerical simulation. Influences of the operating parameters on the growth uniformity are presented. To evaluate the role of the parameters systematically and efficiently on the growth uniformity, orthogonal test method is introduced. The results reveal that the growth rate and uniformity are strongly related to the total gas flow rate, the showerhead height and the inlet gas temperature, but are weakly affected by the isothermal wall temperature, the rotating speed and the susceptor temperature under the ranges of the current study. The optimized combination of the parameters is further proposed as a useful reference for obtaining the LED layers with a balance between the growth rate and the growth uniformity in industry. - Highlights: • Fluid flow, heat transfer, chemical reactions are calculated for a 3D CCS reactor. • The effects of process parameters on growth rate and uniformity are investigated. • Orthogonal test method is introduced to analyze the effect of multi-factors. • Optimal combinations can be obtained for the best growth rate and uniformity.

  8. CW operation of high-power blue laser diodes with polished facets on semi-polar ( 20 2 ¯ 1 ¯ ) GaN substrates

    KAUST Repository

    Pourhashemi, A.

    2016-10-11

    Continuous wave (CW) operation of high-power blue laser diodes (LDs) with polished facets on semi-polar (202̅1̅) gallium nitride (GaN) substrates is demonstrated. Ridge waveguide LDs were fabricated using indium GaN waveguiding layers and GaN cladding layers. At a lasing wavelength of 452 nm, the peak two-facet CW output power from an LD with uncoated facets was 1.71 W at a current of 3 A, corresponding to an optical power density of 32.04 MW/cm2 on each facet. The dependence of output power on current did not change with repeated CW measurements, indicating that the polished facets did not degrade under high-power CW operation. These results show that polished facets are a viable alternative to cleaved or etched facets for high-power CW semi-polar LDs.

  9. CW operation of high-power blue laser diodes with polished facets on semi-polar ( 20 2 ¯ 1 ¯ ) GaN substrates

    KAUST Repository

    Pourhashemi, A.; Farrell, R.M.; Cohen, D.A.; Becerra, D.L.; DenBaars, S.P.; Nakamura, S.

    2016-01-01

    Continuous wave (CW) operation of high-power blue laser diodes (LDs) with polished facets on semi-polar (202̅1̅) gallium nitride (GaN) substrates is demonstrated. Ridge waveguide LDs were fabricated using indium GaN waveguiding layers and GaN cladding layers. At a lasing wavelength of 452 nm, the peak two-facet CW output power from an LD with uncoated facets was 1.71 W at a current of 3 A, corresponding to an optical power density of 32.04 MW/cm2 on each facet. The dependence of output power on current did not change with repeated CW measurements, indicating that the polished facets did not degrade under high-power CW operation. These results show that polished facets are a viable alternative to cleaved or etched facets for high-power CW semi-polar LDs.

  10. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  11. Influence of ammonia flow rate for improving properties of polycrystalline GaN

    Science.gov (United States)

    Ariff, A.; Ahmad, M. A.; Hassan, Z.; Zainal, N.

    2018-06-01

    Post-annealing treatment in ammonia ambient is widely accepted for GaN material, but less works have been done to investigate the influence of the ammonia (NH3) flow rate for reducing the N-deficiency as well as improving the quality of the material. In this work, we investigated the influence of NH3 flow rate at 1, 2, 3, and 4 slm in improving properties of a ∼1 μm thick polycrystalline GaN layer. Our simulation work suggested that the uniformity of temperature and pressure gradient of the NH3 gas did not lead to the reduction of N-deficiency of the polycrystalline GaN layer. Instead, it was found that the mitigation of the N-deficiency was strongly influenced by the fluid velocity of the NH3 gas, which had passed over the layer. Either at lower or higher fluid velocity, the chance for the active N atoms to incorporate into the GaN lattice structure was low. Therefore, the N-deficiency on the polycrystalline GaN layer could not be minimized under these conditions. As measured by EDX, the N atoms incorporation was the most effective when the NH3 flow rate at 3 slm, suggesting the flow rate significantly improved the N-deficiency of the polycrystalline GaN layer. Furthermore, it favored the formation of larger hexagonal faceted grains, with the smallest FWHM of XRD peaks from the GaN diffractions in (10 1 bar 0), (0002) and (10 1 bar 1) orientations, while allowing the polycrystalline GaN layer to show sharp and intense emissions peak of NBE in a PL spectrum.

  12. High sensitivity hydrogen sensors based on GaN

    Czech Academy of Sciences Publication Activity Database

    Yatskiv, Roman; Grym, Jan; Žďánský, Karel

    2012-01-01

    Roč. 7, č. 9 (2012), s. 1661-1663 ISSN 1610-1642. [16th International Semiconducting and Insulating Materials Conference (SIMC-XVI). Stockholm, 19.06.2011-23.06.2011] R&D Projects: GA MŠk(CZ) OC10021 Institutional support: RVO:67985882 Keywords : Pt nanoparticles * Graphite based Schottky diodes * Hydrogen sensor * GaN Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering

  13. High growth rate GaN on 200 mm silicon by metal-organic vapor phase epitaxy for high electron mobility transistors

    Science.gov (United States)

    Charles, M.; Baines, Y.; Bavard, A.; Bouveyron, R.

    2018-02-01

    It is increasingly important to reduce the cycle time of epitaxial growth, in order to reduce the costs of device fabrication, especially for GaN based structures which typically have growth cycles of several hours. We have performed a comprehensive study using metal-organic vapor phase epitaxy (MOVPE) investigating the effects of changing GaN growth rates from 0.9 to 14.5 μm/h. Although there is no significant effect on the strain incorporated in the layers, we have seen changes in the surface morphology which can be related to the change in dislocation behaviour and surface diffusion effects. At the small scale, as seen by AFM, increased dislocation density for higher growth rates leads to increased pinning of growth terraces, resulting in more closely spaced terraces. At a larger scale of hundreds of μm observed by optical profiling, we have related the formation of grains to the rate of surface diffusion of adatoms using a random walk model, implying diffusion distances from 30 μm for the highest growth rates up to 100 μm for the lowest. The increased growth rate also increases the intrinsic carbon incorporation which can increase the breakdown voltage of GaN films. Despite an increased threading dislocation density, these very high growth rates of 14.5 μm/hr by MOVPE have been shown to be appealing for reducing epitaxial growth cycle times and therefore costs in High Electron Mobility Transistor (HEMT) structures.

  14. Characterising thermal resistances and capacitances of GaN high-electron-mobility transistors through dynamic electrothermal measurements

    DEFF Research Database (Denmark)

    Wei, Wei; Mikkelsen, Jan H.; Jensen, Ole Kiel

    2014-01-01

    This study presents a method to characterise thermal resistances and capacitances of GaN high-electron-mobility transistors (HEMTs) through dynamic electrothermal measurements. A measured relation between RF gain and the channel temperature (Tc) is formed and used for indirect measurements...

  15. Hexagonal (wurtzite) GaN inclusions as a defect in cubic (zinc-blende) GaN

    International Nuclear Information System (INIS)

    Zainal, N.; Novikov, S.V.; Akimov, A.V.; Staddon, C.R.; Foxon, C.T.; Kent, A.J.

    2012-01-01

    The dependence of the hexagonal fraction with thickness in MBE-grown bulk cubic (c-) GaN epilayer is presented in this paper. A number of c-GaN epilayers with different thicknesses were characterized via PL and XRD measurements. From the PL spectra, the signal due to h-GaN inclusions increases as the thickness of the c-GaN increases. On the contrary, in the XRD diffractogram, c-GaN shows a dominant signal at all thicknesses, and only a weak peak at ∼35° is observed in the diffractogram, implying the existence of a small amount of h-GaN in the c-GaN layer. The best quality of c-GaN is observed in the first 10 μm of GaN on the top of GaAs substrate. Even though the hexagonal content increases with the thickness, the average content remains below 20% in c-GaN layers up to 50 μm thick. The surface morphology of thick c-GaN is also presented.

  16. Synthetic Strategies and Applications of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Guoquan Suo

    2014-01-01

    Full Text Available GaN is an important III-V semiconductor material with a direct band gap of 3.4 eV at 300 K. The wide direct band gap makes GaN an attractive material for various applications. GaN nanowires have demonstrated significant potential as fundamental building blocks for nanoelectronic and nanophotonic devices and also offer substantial promise for integrated nanosystems. In this paper, we provide a comprehensive review on the general synthetic strategies, characterizations, and applications of GaN nanowires. We first summarize several growth techniques of GaN nanowires. Subsequently, we discuss mechanisms involved to generate GaN nanowires from different synthetic schemes and conditions. Then we review some characterization methods of GaN nanowires. Finally, several kinds of main applications of GaN nanowires are discussed.

  17. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Al-Heuseen, K., E-mail: kalhussen@yahoo.com [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Ali, N.K. [Material Innovations and Nanoelectronics Research Group, Faculty of Electrical Engineering, Department of Electronic Engineering, Universiti Teknologi Malaysia, 81310 Skudai, Johor (Malaysia)

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E{sub 2} (high), A{sub 1} (LO), A{sub 1} (TO) and E{sub 2} (low). There was a red shift in E{sub 2} (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H{sub 2}SO{sub 4}:H{sub 2}O{sub 2} and KOH followed by the samples etched in HF:HNO{sub 3} and in HF:C{sub 2}H{sub 5}OH.

  18. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    International Nuclear Information System (INIS)

    Al-Heuseen, K.; Hashim, M.R.; Ali, N.K.

    2011-01-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2 SO 4 :H 2 O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2 H 5 OH.

  19. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    Science.gov (United States)

    Al-Heuseen, K.; Hashim, M. R.; Ali, N. K.

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2SO 4:H 2O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2H 5OH.

  20. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    Science.gov (United States)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  1. High-power blue laser diodes with indium tin oxide cladding on semipolar (202{sup ¯}1{sup ¯}) GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Pourhashemi, A., E-mail: pourhashemi@engr.ucsb.edu; Farrell, R. M.; Cohen, D. A.; Speck, J. S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); DenBaars, S. P.; Nakamura, S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States)

    2015-03-16

    We demonstrate a high power blue laser diode (LD) using indium tin oxide as a cladding layer on semipolar oriented GaN. These devices show peak output powers and external quantum efficiencies comparable to state-of-the-art commercial c-plane devices. Ridge waveguide LDs were fabricated on (202{sup ¯}1{sup ¯}) oriented GaN substrates using InGaN waveguiding layers and GaN cladding layers. At a lasing wavelength of 451 nm at room temperature, an output power of 2.52 W and an external quantum efficiency of 39% were measured from a single facet under a pulsed injection current of 2.34 A. The measured differential quantum efficiency was 50%.

  2. Simulation of optimum parameters for GaN MSM UV photodetector

    Energy Technology Data Exchange (ETDEWEB)

    Alhelfi, Mohanad A., E-mail: mhad12344@gmail.com; Ahmed, Naser M., E-mail: nas-tiji@yahoo.com; Hashim, M. R., E-mail: roslan@usm.my; Hassan, Z., E-mail: zai@usm.my [Institue of Nano-Optoelectronics Research and Technology (INOR), School of Physics, Universiti Sains Malaysia 11800 Penang (Malaysia); Al-Rawi, Ali Amer, E-mail: aliamer@unimap.edu.my [School of Computer and Communication Eng. 3st Floor, Pauh Putra Main Campus 02600 Arau, Perlis Malaysia (Malaysia)

    2016-07-06

    In this study the optimum parameters of GaN M-S-M photodetector are discussed. The evaluation of the photodetector depends on many parameters, the most of the important parameters the quality of the GaN film and others depend on the geometry of the interdigited electrode. In this simulation work using MATLAB software with consideration of the reflection and absorption on the metal contacts, a detailed study involving various electrode spacings (S) and widths (W) reveals conclusive results in device design. The optimum interelectrode design for interdigitated MSM-PD has been specified and evaluated by effect on quantum efficiency and responsivity.

  3. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  4. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  5. Gallium adsorption on (0001) GaN surfaces

    International Nuclear Information System (INIS)

    Adelmann, Christoph; Brault, Julien; Mula, Guido; Daudin, Bruno; Lymperakis, Liverios; Neugebauer, Joerg

    2003-01-01

    We study the adsorption behavior of Ga on (0001) GaN surfaces combining experimental specular reflection high-energy electron diffraction with theoretical investigations in the framework of a kinetic model for adsorption and ab initio calculations of energy parameters. Based on the experimental results we find that for substrate temperatures and Ga fluxes typically used in molecular-beam epitaxy of GaN, finite equilibrium Ga surface coverages can be obtained. The measurement of a Ga/GaN adsorption isotherm allows the quantification of the equilibrium Ga surface coverage as a function of the impinging Ga flux. In particular, we show that a large range of Ga fluxes exists, where 2.5±0.2 monolayers (in terms of the GaN surface site density) of Ga are adsorbed on the GaN surface. We further demonstrate that the structure of this adsorbed Ga film is in good agreement with the laterally contracted Ga bilayer model predicted to be most stable for strongly Ga-rich surfaces [Northrup et al., Phys. Rev. B 61, 9932 (2000)]. For lower Ga fluxes, a discontinuous transition to Ga monolayer equilibrium coverage is found, followed by a continuous decrease towards zero coverage; for higher Ga fluxes, Ga droplet formation is found, similar to what has been observed during Ga-rich GaN growth. The boundary fluxes limiting the region of 2.5 monolayers equilibrium Ga adsorption have been measured as a function of the GaN substrate temperature giving rise to a Ga/GaN adsorption phase diagram. The temperature dependence is discussed within an ab initio based growth model for adsorption taking into account the nucleation of Ga clusters. This model consistently explains recent contradictory results of the activation energy describing the critical Ga flux for the onset of Ga droplet formation during Ga-rich GaN growth [Heying et al., J. Appl. Phys. 88, 1855 (2000); Adelmann et al., J. Appl. Phys. 91, 9638 (2002).

  6. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  7. TEM characterization of catalyst- and mask-free grown GaN nanorods

    International Nuclear Information System (INIS)

    Schowalter, M; Aschenbrenner, T; Kruse, C; Hommel, D; Rosenauer, A

    2010-01-01

    Catalyst- and mask-free grown GaN nanorods have been investigated using transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM) and energy filtered transmission electron microscopy (EFTEM). The nanorods were grown on nitridated r-plane sapphire substrates in a molecular beam epitaxy reactor. We investigated samples directly after the nitridation and after the overgrowth of the structure with GaN. High resolution transmission electron microscopy (HRTEM) and EFTEM revealed that AlN islands have formed due to nitridation. After overgrowth, the AlN islands could not be observed any more, neither by EFTEM nor by Z-contrast imaging. Instead, a smooth layer consisting of AlGaN was found. The investigation of the overgrown sample revealed that an a-plane GaN layer and GaN nanorods on top of the a-plane GaN have formed. The nanorods reduced from top of the a-plane GaN towards the a-plane GaN/sapphire interface suggesting that the nanorods originate at the AlN islands found after nitridation. However, this could not be shown unambiguously. The number of threading dislocations in the nanorods was very low. The analysis of the epitaxial relationship to the a-plane GaN showed that the nanorods grew along the [000-1] direction, and the [1-100] direction of the rods was parallel to the [0001] direction of the a-plane GaN.

  8. A new system for sodium flux growth of bulk GaN. Part I: System development

    Science.gov (United States)

    Von Dollen, Paul; Pimputkar, Siddha; Alreesh, Mohammed Abo; Albrithen, Hamad; Suihkonen, Sami; Nakamura, Shuji; Speck, James S.

    2016-12-01

    Though several methods exist to produce bulk crystals of gallium nitride (GaN), none have been commercialized on a large scale. The sodium flux method, which involves precipitation of GaN from a sodium-gallium melt supersaturated with nitrogen, offers potentially lower cost production due to relatively mild process conditions while maintaining high crystal quality. We successfully developed a novel apparatus for conducting crystal growth of bulk GaN using the sodium flux method which has advantages with respect to prior reports. A key task was to prevent sodium loss or migration from the growth environment while permitting N2 to access the growing crystal. We accomplished this by implementing a reflux condensing stem along with a reusable capsule containing a hermetic seal. The reflux condensing stem also enabled direct monitoring of the melt temperature, which has not been previously reported for the sodium flux method. Furthermore, we identified and utilized molybdenum and the molybdenum alloy TZM as a material capable of directly containing the corrosive sodium-gallium melt. This allowed implementation of a crucible-free system, which may improve process control and potentially lower crystal impurity levels. Nucleation and growth of parasitic GaN ("PolyGaN") on non-seed surfaces occurred in early designs. However, the addition of carbon in later designs suppressed PolyGaN formation and allowed growth of single crystal GaN. Growth rates for the (0001) Ga face (+c-plane) were up to 14 μm/h while X-ray omega rocking (ω-XRC) curve full width half-max values were 731″ for crystals grown using a later system design. Oxygen levels were high, >1019 atoms/cm3, possibly due to reactor cleaning and handling procedures.

  9. High speed visible light communication using blue GaN laser diodes

    Science.gov (United States)

    Watson, S.; Viola, S.; Giuliano, G.; Najda, S. P.; Perlin, P.; Suski, T.; Marona, L.; Leszczyński, M.; Wisniewski, P.; Czernecki, R.; Targowski, G.; Watson, M. A.; White, H.; Rowe, D.; Laycock, L.; Kelly, A. E.

    2016-10-01

    GaN-based laser diodes have been developed over the last 20 years making them desirable for many security and defence applications, in particular, free space laser communications. Unlike their LED counterparts, laser diodes are not limited by their carrier lifetime which makes them attractive for high speed communication, whether in free space, through fiber or underwater. Gigabit data transmission can be achieved in free space by modulating the visible light from the laser with a pseudo-random bit sequence (PRBS), with recent results approaching 5 Gbit/s error free data transmission. By exploiting the low-loss in the blue part of the spectrum through water, data transmission experiments have also been conducted to show rates of 2.5 Gbit/s underwater. Different water types have been tested to monitor the effect of scattering and to see how this affects the overall transmission rate and distance. This is of great interest for communication with unmanned underwater vehicles (UUV) as the current method using acoustics is much slower and vulnerable to interception. These types of laser diodes can typically reach 50-100 mW of power which increases the length at which the data can be transmitted. This distance could be further improved by making use of high power laser arrays. Highly uniform GaN substrates with low defectivity allow individually addressable laser bars to be fabricated. This could ultimately increase optical power levels to 4 W for a 20-emitter array. Overall, the development of GaN laser diodes will play an important part in free space optical communications and will be vital in the advancement of security and defence applications.

  10. Comb-drive GaN micro-mirror on a GaN-on-silicon platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Sasaki, Takashi; Wu, Tong; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here a double-sided process for the fabrication of a comb-drive GaN micro-mirror on a GaN-on-silicon platform. A silicon substrate is first patterned from the backside and removed by deep reactive ion etching, resulting in totally suspended GaN slabs. GaN microstructures including the torsion bars, movable combs and mirror plate are then defined on a freestanding GaN slab by the backside alignment technique and generated by fast atom beam etching with Cl 2 gas. Although the fabricated comb-drive GaN micro-mirrors are deflected by the residual stress in GaN thin films, they can operate on a high resistivity silicon substrate without introducing any additional isolation layer. The optical rotation angles are experimentally characterized in the rotation experiments. This work opens the possibility of producing GaN optical micro-electro-mechanical-system (MEMS) devices on a GaN-on-silicon platform.

  11. Prospects for the application of GaN power devices in hybrid electric vehicle drive systems

    Science.gov (United States)

    Su, Ming; Chen, Chingchi; Rajan, Siddharth

    2013-07-01

    GaN, a wide bandgap semiconductor successfully implemented in optical and high-speed electronic devices, has gained momentum in recent years for power electronics applications. Along with rapid progress in material and device processing technologies, high-voltage transistors over 600 V have been reported by a number of teams worldwide. These advances make GaN highly attractive for the growing market of electrified vehicles, which currently employ bipolar silicon devices in the 600-1200 V class for the traction inverter. However, to capture this billion-dollar power market, GaN has to compete with existing IGBT products and deliver higher performance at comparable or lower cost. This paper reviews key achievements made by the GaN semiconductor industry, requirements of the automotive electric drive system and remaining challenges for GaN power devices to fit in the inverter application of hybrid vehicles.

  12. Role of the ganSPQAB Operon in Degradation of Galactan by Bacillus subtilis.

    Science.gov (United States)

    Watzlawick, Hildegard; Morabbi Heravi, Kambiz; Altenbuchner, Josef

    2016-10-15

    Bacillus subtilis possesses different enzymes for the utilization of plant cell wall polysaccharides. This includes a gene cluster containing galactan degradation genes (ganA and ganB), two transporter component genes (ganQ and ganP), and the sugar-binding lipoprotein-encoding gene ganS (previously known as cycB). These genes form an operon that is regulated by GanR. The degradation of galactan by B. subtilis begins with the activity of extracellular GanB. GanB is an endo-β-1,4-galactanase and is a member of glycoside hydrolase (GH) family 53. This enzyme was active on high-molecular-weight arabinose-free galactan and mainly produced galactotetraose as well as galactotriose and galactobiose. These galacto-oligosaccharides may enter the cell via the GanQP transmembrane proteins of the galactan ABC transporter. The specificity of the galactan ABC transporter depends on the sugar-binding lipoprotein, GanS. Purified GanS was shown to bind galactotetraose and galactotriose using thermal shift assay. The energy for this transport is provided by MsmX, an ATP-binding protein. The transported galacto-oligosaccharides are further degraded by GanA. GanA is a β-galactosidase that belongs to GH family 42. The GanA enzyme was able to hydrolyze short-chain β-1,4-galacto-oligosaccharides as well as synthetic β-galactopyranosides into galactose. Thermal shift assay as well as electrophoretic mobility shift assay demonstrated that galactobiose is the inducer of the galactan operon regulated by GanR. DNase I footprinting revealed that the GanR protein binds to an operator overlapping the -35 box of the σ(A)-type promoter of Pgan, which is located upstream of ganS IMPORTANCE: Bacillus subtilis is a Gram-positive soil bacterium that utilizes different types of carbohydrates, such as pectin, as carbon sources. So far, most of the pectin degradation systems and enzymes have been thoroughly studied in B. subtilis Nevertheless, the B. subtilis utilization system of galactan, which is

  13. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    Science.gov (United States)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  14. Effects of Precursor-Substrate Distances on the Growth of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Hongbin Cheng

    2015-01-01

    Full Text Available GaN nanowires were synthesized through the Ni-catalyzed chemical vapor deposition (CVD method using Ga2O3/GaN mixtures as gallium sources, and precursor-substrate distances were investigated as the important factor for the growth of GaN nanowires. The microstructure, composition, and photoluminescence property were characterized by X-ray diffraction, field emission scanning electron microscopy, high-resolution transmission electron microscopy, and photoluminescence spectra. The results showed that single crystalline GaN nanowires with the diameter of about 90 nm and the length up to tens of micrometers had been grown thickly across Si (100 substrates with uniform density. Moreover, the variations of the GaN nanowire morphology, density, and size were largely attributed to substrate positions which would influence Ga precursor density in the carrier gas, the saturation degree of gaseous reactants, and the catalyst activity, respectively, in the fabrication of GaN nanowires by the vapour liquid solid mechanism.

  15. GaN and LED structures grown on pre-patterned silicon pillar arrays

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Merzsch, Stephan; Neumann, Richard; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Bundesallee 100, 38116 Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvoigteiplatz 5-7, 10117 Berlin (Germany)

    2010-01-15

    GaN nanorods (or nanowires) have attracted great interest in a variety of applications, e.g. high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In contrast to the mostly investigated self-assembled growth of GaN nanorods, we performed GaN nanorod growth by pre-patterning of the Si substrates. The pattern was transferred to Si substrates by photolithography and cryo-temperature inductively-coupled plasma etching. These Si templates then were used for further GaN nanorod growth by metal-organic vapour phase epitaxy (MOVPE). The low temperature AlN nucleation layer had to be optimized since it differs from its 2D layer counterpart on the surface area and orientations. We found a strong influence of diffusion processes, i.e. the GaN grown on top of the Si nanopillars can deplete the GaN around the Si pillars. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the pyramidal GaN nanostructures and terminate. Cathodoluminescence measurements reveal a difference of In composition and/or thickness of InGaN quantum wells on the different facets of the pyramidal GaN nanostructures. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    Science.gov (United States)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  17. The study of electronic structures and optical properties of Al-doped GaN

    International Nuclear Information System (INIS)

    Li Enling; Hou Liping; Liu Mancang; Xi Meng; Wang Xiqiang; Dai Yuanbin; Li Lisha

    2011-01-01

    The electronic structures and optical properties of undoped and Al-doped GaN (Al x Ga 1-x N, x=0.0625, 0.125, 0.25) have been studied based on generalized gradient approximation (GGA) method of density functional theory (DFT). The differences of the electronic structures and optical properties of undoped and Al-doped GaN have been discussed in detail. The result shows: according to total density of state of undoped and Al-doped GaN, the conduction band becomes width and moves to high energy level with gradual increase concentration of Al impurity. Impurity energy band isn't found in energy band structures of Al x Ga 1-x N, the same as energy band structures of undoped GaN, but the band gaps gradually become wide with increase of Al impurity. Absorption spectra of undoped and Al-doped GaN of main absorption peak moves to high energy level with increase of Al impurity.

  18. Growth and characterization of GaN nanostructures under various ammoniating time with fabricated Schottky gas sensor based on Si substrate

    Science.gov (United States)

    Abdullah, Q. N.; Ahmed, A. R.; Ali, A. M.; Yam, F. K.; Hassan, Z.; Bououdina, M.; Almessiere, M. A.

    2018-05-01

    This paper presents the investigation of the influence of the ammoniating time of GaN nanowires (NWs) on the crystalline structure, surface morphology, and optical characteristics. Morphological analysis indicates the growth of good quality and high density of NWs with diameters around 50 nm and lengths up to tens of microns after ammoniating for 30 min. Structural analysis shows that GaN NWs have a typical hexagonal wurtzite crystal structure. Raman spectroscopy confirms the formation of GaN compound with the presence of compressive stress. Photoluminescence (PL) measurements revealed two band emissions, an UV and a broad visible emission. Hydrogen sensor was subsequently fabricated by depositing Pt Schottky contact onto GaN NWs film. The sensor response was measured at various H2 concentrations ranged from 200 up to 1200 ppm at room temperature. It was found that the response increases significantly for low H2 concentration (200-300 ppm) to reach about 50% then increases smoothly to reach 60% at 1200 ppm. The as-fabricated sensor possesses higher performances as compared to similar devices reported in the literature.

  19. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  20. Prospects for the application of GaN power devices in hybrid electric vehicle drive systems

    International Nuclear Information System (INIS)

    Su, Ming; Chen, Chingchi; Rajan, Siddharth

    2013-01-01

    GaN, a wide bandgap semiconductor successfully implemented in optical and high-speed electronic devices, has gained momentum in recent years for power electronics applications. Along with rapid progress in material and device processing technologies, high-voltage transistors over 600 V have been reported by a number of teams worldwide. These advances make GaN highly attractive for the growing market of electrified vehicles, which currently employ bipolar silicon devices in the 600–1200 V class for the traction inverter. However, to capture this billion-dollar power market, GaN has to compete with existing IGBT products and deliver higher performance at comparable or lower cost. This paper reviews key achievements made by the GaN semiconductor industry, requirements of the automotive electric drive system and remaining challenges for GaN power devices to fit in the inverter application of hybrid vehicles. (invited review)

  1. Single phase semipolar (11 anti 22) GaN on (10 anti 10) sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Stellmach, J.; Schwaner, T.; Frentrup, M.; Wernicke, T.; Pristovsek, M.; Kneissl, M. [Institute of Solid States Physics, (Germany); Park, J.B.; Niermann, T.; Lehmann, M. [Institute of Optics and Atomic Physics, TU Berlin, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    InGaN quantum well based light emitters grown on (0001) GaN suffer from poor quantum efficiencies with increasing indium mole fraction due to strong polarization fields along the polar crystal orientation. This effect can be greatly reduced by growing on semi- and non-polar GaN orientations. Semipolar (11 anti 22) GaN layers were deposited by metalorganic vapour phase epitaxy on (10 anti 10) sapphire. After sapphire substrate nitridation at 1000 C, a GaN nucleation layer was deposited at high temperature, followed by the deposition of 1.5 nm thick GaN buffer layers. The samples show predominantly (11 anti 22) orientation with a small fraction of (10 anti 13) oriented domains. With increasing nitridation layer thickness the (10 anti 13) phase is suppressed leading to a very smooth surface morphology (rms roughness < 4nm). PL measurements show dominant basel plane stacking fault (BSF) I{sub 1} luminescence without any other defects. Transmission electron microscopy measurements reveal a high BSF density. The FWHM of the X-ray diffraction rocking curve measurements of the (1122) reflection decreases to 1193 arcsec and 739 arcsec along [1 anti 100] and [11 anti 23] respectively with increasing nucleation temperature. Using high temperature nucleation smooth and homogeneous (11 anti 22) phase GaN layers have been obtained.

  2. GaN membrane MSM ultraviolet photodetectors

    Science.gov (United States)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  3. Integrated GaN photonic circuits on silicon (100) for second harmonic generation

    OpenAIRE

    Xiong, Chi; Pernice, Wolfram; Ryu, Kevin K.; Schuck, Carsten; Fong, King Y.; Palacios, Tomas; Tang, Hong X.

    2014-01-01

    We demonstrate second order optical nonlinearity in a silicon architecture through heterogeneous integration of single-crystalline gallium nitride (GaN) on silicon (100) substrates. By engineering GaN microrings for dual resonance around 1560 nm and 780 nm, we achieve efficient, tunable second harmonic generation at 780 nm. The \\{chi}(2) nonlinear susceptibility is measured to be as high as 16 plus minus 7 pm/V. Because GaN has a wideband transparency window covering ultraviolet, visible and ...

  4. Raman scattering in GaN, AlN and AlGaN. Basic material properties, processing and devices

    International Nuclear Information System (INIS)

    Hayes, J.M.

    2002-05-01

    GaN, AIN and AIGaN are very promising materials for high-power, high-temperature and high-frequency electronic device applications but many of their material properties and the effects of processing steps for device fabrication have not yet been fully investigated. AIGaN/GaN films were annealed at temperatures of 800 to 1300 deg C in different ambient atmospheres. The films were then analysed by micro-Raman spectroscopy. Compressive stress was found in films annealed in oxygen containing atmospheres which was significantly enhanced by the presence of water vapour in the annealing atmosphere. No stress was detected after annealing in nitrogen even at temperatures close to the thermal decomposition temperature and in the presence of water vapour. Thermal decomposition can be prevented by the use of high-pressure atmospheres during annealing. Mg/P implanted and non-implanted GaN films annealed at temperatures up to 1500 deg C with nitrogen over-pressures of 1-1.5 GPa were analysed by micro-Raman spectroscopy. Annealing temperatures of 1400-1500 deg C resulted in the nearly full recovery of the crystalline quality of the ion-implanted GaN. Ultraviolet Raman spectroscopy showed that no significant surface degradation occurred during the annealing. High-quality bulk AIN crystals were studied by micro-Raman spectroscopy. The pressure dependence of the phonon frequencies was measured in the range 0 GPa to 9.5 GPa determining the mode-Grueneisen parameters. The temperature dependence of the phonon frequencies and lifetimes was measured from 10 K to 1275 K. Empirical fitting and theoretical modelling of the temperature dependence was performed. The results have application for the monitoring of temperature in (Ga/AI)N. The E 2 (high) phonon frequency of GaN measured by micro-Raman spectroscopy was used to monitor local temperatures in active AIGaN/GaN hetero-structure field effect transistor devices (HFETs). The temperature rise in the active area of devices on sapphire

  5. Ga vacancy induced ferromagnetism enhancement and electronic structures of RE-doped GaN

    International Nuclear Information System (INIS)

    Zhong Guohua; Zhang Kang; He Fan; Ma Xuhang; Lu Lanlan; Liu Zhuang; Yang Chunlei

    2012-01-01

    Because of their possible applications in spintronic and optoelectronic devices, GaN dilute magnetic semiconductors (DMSs) doped by rare-earth (RE) elements have attracted much attention since the high Curie temperature was obtained in RE-doped GaN DMSs and a colossal magnetic moment was observed in the Gd-doped GaN thin film. We have systemically studied the GaN DMSs doped by RE elements (La, Ce-Yb) using the full-potential linearized augmented plane wave method within the framework of density functional theory and adding the considerations of the electronic correlation and the spin-orbital coupling effects. We have studied the electronic structures of DMSs, especially for the contribution from f electrons. The origin of magnetism, magnetic interaction and the possible mechanism of the colossal magnetic moment were explored. We found that, for materials containing f electrons, electronic correlation was usually strong and the spin-orbital coupling was sometimes crucial in determining the magnetic ground state. It was found that GaN doped by La was non-magnetic. GaN doped by Ce, Nd, Pm, Eu, Gd, Tb and Tm are stabilized at antiferromagnetic phase, while GaN doped by other RE elements show strong ferromagnetism which is suitable materials for spintronic devices. Moreover, we have identified that the observed large enhancement of magnetic moment in GaN is mainly caused by Ga vacancies (3.0μB per Ga vacancy), instead of the spin polarization by magnetic ions or originating from N vacancies. Various defects, such as substitutional Mg for Ga, O for N under the RE doping were found to bring a reduction of ferromagnetism. In addition, intermediate bands were observed in some systems of GaN:RE and GaN with intrinsic defects, which possibly opens the potential application of RE-doped semiconductors in the third generation high efficiency photovoltaic devices.

  6. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  7. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.; Capellini, G. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); Niermann, T.; Lehmann, M. [Technische Universität Berlin, Institut für Optik und Atomare Physik, Straße des 17. Juni 135, 10623 Berlin (Germany); Thapa, S. B.; Haeberlen, M.; Storck, P. [SILTRONIC AG, Hanns-Seidel-Platz 4, 81737 München (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); BTU Cottbus, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  8. Facile synthesis and photoluminescence spectroscopy of 3D-triangular GaN nano prism islands.

    Science.gov (United States)

    Kumar, Mukesh; Pasha, S K; Shibin Krishna, T C; Singh, Avanish Pratap; Kumar, Pawan; Gupta, Bipin Kumar; Gupta, Govind

    2014-08-21

    We report a strategy for fabrication of 3D triangular GaN nano prism islands (TGNPI) grown on Ga/Si(553) substrate at low temperature by N2(+) ions implantation using a sputtering gun technique. The annealing of Ga/Si(553) (600 °C) followed by nitridation (2 keV) shows the formation of high quality GaN TGNPI cross-section. TGNPI morphology has been confirmed by atomic force microscopy. Furthermore, these nano prism islands exhibit prominent ultra-violet luminescence peaking at 366 nm upon 325 nm excitation wavelength along with a low intensity yellow luminescence broad peak at 545 nm which characterizes low defects density TGNPI. Furthermore, the time-resolved spectroscopy of luminescent TGNPI in nanoseconds holds promise for its futuristic application in next generation UV-based sensors as well as many portable optoelectronic devices.

  9. Gan-Hang tectonic belt and its geologic significance

    International Nuclear Information System (INIS)

    Deng Jiarui; Zhang Zhiping.

    1989-01-01

    Gan-Hang tectonic belt is predominantly controlled by Gan-Hang fracture zone. It is mainly composed of Yongfeng-Zhuji downwarping zone, Gan-Hang volcanic activity structural belt and Gan-Hang red basin downfaulted zone. Gan-Hang fracture zone is derived from evolution and development of Shaoxing-Jiangshan deep fracture. It is mainly composed of three deep and large fracture and Fuzhou-Yongfeng large fracture. The fracture zone is a long active belt, but in each active period the geologic structural patterns intensity, depth and forming time were not same. Gan-Hang tectonic belt possesses obvious inheritance. It has always maintained the character of the relative depression or low land since the Caledonian movement. This specific structural environment is favourable for uranium mineralization. At any rate, the formation of this uranium minerogenetic zone has been experiencing a long and complicated processes which were closely associated with long activity of Gan-Hang fracture zone

  10. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  11. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Fu Chen

    2017-12-01

    Full Text Available In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD. We have observed that the growth of GaN nucleation layers (NLs under N2 ambient leads to a large full width at half maximum (FWHM of (102 X-ray diffraction (XRD line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  12. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Chen, Fu; Sun, Shichuang; Deng, Xuguang; Fu, Kai; Yu, Guohao; Song, Liang; Hao, Ronghui; Fan, Yaming; Cai, Yong; Zhang, Baoshun

    2017-12-01

    In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD). We have observed that the growth of GaN nucleation layers (NLs) under N2 ambient leads to a large full width at half maximum (FWHM) of (102) X-ray diffraction (XRD) line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS) measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  13. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    Science.gov (United States)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  14. Piezo-generator integrating a vertical array of GaN nanowires.

    Science.gov (United States)

    Jamond, N; Chrétien, P; Houzé, F; Lu, L; Largeau, L; Maugain, O; Travers, L; Harmand, J C; Glas, F; Lefeuvre, E; Tchernycheva, M; Gogneau, N

    2016-08-12

    We demonstrate the first piezo-generator integrating a vertical array of GaN nanowires (NWs). We perform a systematic multi-scale analysis, going from single wire properties to macroscopic device fabrication and characterization, which allows us to establish for GaN NWs the relationship between the material properties and the piezo-generation, and to propose an efficient piezo-generator design. The piezo-conversion of individual MBE-grown p-doped GaN NWs in a dense array is assessed by atomic force microscopy (AFM) equipped with a Resiscope module yielding an average output voltage of 228 ± 120 mV and a maximum value of 350 mV generated per NW. In the case of p-doped GaN NWs, the piezo-generation is achieved when a positive piezo-potential is created inside the nanostructures, i.e. when the NWs are submitted to compressive deformation. The understanding of the piezo-generation mechanism in our GaN NWs, gained from AFM analyses, is applied to design a piezo-generator operated under compressive strain. The device consists of NW arrays of several square millimeters in size embedded into spin-on glass with a Schottky contact for rectification and collection of piezo-generated carriers. The generator delivers a maximum power density of ∼12.7 mW cm(-3). This value sets the new state of the art for piezo-generators based on GaN NWs and more generally on nitride NWs, and offers promising prospects for the use of GaN NWs as high-efficiency ultra-compact energy harvesters.

  15. High pressure annealing of Europium implanted GaN

    KAUST Repository

    Lorenz, K.; Miranda, S. M. C.; Alves, E.; Roqan, Iman S.; O'Donnell, K. P.; Bokowski, M.

    2012-01-01

    GaN epilayers were implanted with Eu to fluences of 1×10^13 Eu/cm2 and 1×10^15 Eu/cm2. Post-implant thermal annealing was performed in ultra-high nitrogen pressures at temperatures up to 1450 ºC. For the lower fluence effective structural recovery of the crystal was observed for annealing at 1000 ºC while optical activation could be further improved at higher annealing temperatures. The higher fluence samples also reveal good optical activation; however, some residual implantation damage remains even for annealing at 1450 ºC which leads to a reduced incorporation of Eu on substitutional sites, a broadening of the Eu luminescence lines and to a strongly reduced fraction of optically active Eu ions. Possibilities for further optimization of implantation and annealing conditions are discussed.© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  16. High pressure annealing of Europium implanted GaN

    KAUST Repository

    Lorenz, K.

    2012-02-09

    GaN epilayers were implanted with Eu to fluences of 1×10^13 Eu/cm2 and 1×10^15 Eu/cm2. Post-implant thermal annealing was performed in ultra-high nitrogen pressures at temperatures up to 1450 ºC. For the lower fluence effective structural recovery of the crystal was observed for annealing at 1000 ºC while optical activation could be further improved at higher annealing temperatures. The higher fluence samples also reveal good optical activation; however, some residual implantation damage remains even for annealing at 1450 ºC which leads to a reduced incorporation of Eu on substitutional sites, a broadening of the Eu luminescence lines and to a strongly reduced fraction of optically active Eu ions. Possibilities for further optimization of implantation and annealing conditions are discussed.© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  17. Transient atomic behavior and surface kinetics of GaN

    International Nuclear Information System (INIS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-01-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  18. Transient atomic behavior and surface kinetics of GaN

    Science.gov (United States)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  19. Structural and optical properties of Si-doped GaN

    OpenAIRE

    Cremades Rodríguez, Ana Isabel; Gorgens, L.; Ambacher, O.; Stutzmann, M.; Scholz, F.

    2000-01-01

    Structural and optical properties of Si-doped GaN thin films grown by metal-organic chemical vapor deposition have been studied by means of high resolution x-ray diffraction (XRD), atomic force microscopy, photoluminescence, photothermal deflection spectroscopy, and optical transmission measurements. The incorporation of silicon in the GaN films leads to pronounced tensile stress. The energy position of the neutral donor bound excitonic emission correlates with the measured stress. The stress...

  20. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    Science.gov (United States)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  1. Module Integrated GaN Power Stage for High Switching Frequency Operation

    DEFF Research Database (Denmark)

    Nour, Yasser; Knott, Arnold

    2017-01-01

    is integrated on a high glass transition temperature 0.4 mmthick FR4 substrate configured as a 70 pin ball grid arraypackage. The power stage is tested up to switching frequency of12 MHz. The power stage achieved 88.5 % peak efficiency whenconfigured as a soft switching buck converter operating at 7MHz......An increased attention has been detected todevelop smaller and lighter high voltage power converters in therange of 50 V to 400 V domains. The applications for theseconverters are mainly focused for Power over Ethernet (PoE),LED lighting and ac adapters. Design for high power density isone...... of the targets for next generation power converters. Thispaper presents an 80 V input capable multi-chip moduleintegration of enhancement mode gallium nitride (GaN) fieldeffect transistors (FETs) based power stage. The module design ispresented and validated through experimental results. The powerstage...

  2. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    International Nuclear Information System (INIS)

    Choi, Yunju; Kim, Yangsoo; Ahn, Kwang-Soon; Kim, Hyunsoo

    2014-01-01

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10 −3 , 4.3 × 10 −4 , and 1.1 × 10 −3 Ω cm 2 for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N 2 ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples

  3. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yunju [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Kim, Yangsoo [Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Ahn, Kwang-Soon, E-mail: kstheory@ynu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, Gyeongbuk 712-749 (Korea, Republic of); Kim, Hyunsoo, E-mail: hskim7@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2014-10-30

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10{sup −3}, 4.3 × 10{sup −4}, and 1.1 × 10{sup −3} Ω cm{sup 2} for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N{sub 2} ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples.

  4. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  5. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    Science.gov (United States)

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  6. Fabrication and Characterization of Mg-Doped GaN Nanowires

    International Nuclear Information System (INIS)

    Dong-Dong, Zhang; Cheng-Shan, Xue; Hui-Zhao, Zhuang; Ying-Long, Huang; Zou-Ping, Wang; Ying, Wang; Yong-Fu, Guo

    2008-01-01

    Mg-doped GaN nanowires have been synthesized by ammoniating Ga 2 O 3 films doped with Mg under flowing ammonia atmosphere at 850° C. The Mg-doped GaN nanowires are characterized by x-ray diffraction (XRD), scanning electron microscope (SEM), high-resolution transmission electron microscopy (HRTEM) and photo-luminescence (PL). The results demonstrate that the nanowires are single crystalline with hexagonal wurzite structure. The diameters of the nanowires are 20–30 nm and the lengths are 50–100 μm. The GaN nanowires show three emission bands with well-defined PL peak at 3.45 eV, 3.26 eV, 2.95 eV, respectively. The large distinct blueshift of the bandgap emission can be attributed to the Burstein–Moss effect. The peak at 3.26 eV represents the transition from the conduction-band edge to the acceptor level AM (acceptor Mg). The growth mechanism of crystalline GaN nanowires is discussed briefly. (cross-disciplinary physics and related areas of science and technology)

  7. Polarity analysis of GaN nanorods by photo-assisted Kelvin probe force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Jiandong; Neumann, Richard; Wang, Xue; Li, Shunfeng; Fuendling, Soenke; Merzsch, Stephan; Al-Suleiman, Mohamed A.M.; Soekmen, Uensal; Wehmann, Hergo-H.; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany)

    2011-07-15

    Polarity dependence (N-polar (000-1) and Ga-polar (0001)) of surface photovoltage of epitaxially grown, vertically aligned GaN nanorods has been investigated by photo-assisted Kelvin probe force microscopy (KPFM). Commercial GaN substrates with known polarities are taken as reference samples. The polarity of GaN substrates can be well distinguished by the change in surface photovoltage upon UV illumination in air ambient. These different behaviors of Ga- and N-polar surfaces are attributed to the polarity-related surface-bound charges and photochemical reactivity. GaN nanorods were grown on patterned SiO{sub 2}/sapphire templates by metal-organic vapor phase epitaxy (MOVPE). In order to analyze the bottom surface of the grown GaN nanorods, a technique known from high power electronics and joining techniques is applied to remove the substrate. The top and bottom surfaces of the GaN nanorods are identified to be N-polar and Ga-polar according to the KPFM results, respectively. Our experiments demonstrate that KPFM is a simple and suitable method capable to identify the polarity of GaN nanorods. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Electron holography studies of the charge on dislocations in GaN

    Energy Technology Data Exchange (ETDEWEB)

    Cherns, D.; Jiao, C.G.; Mokhtari, H. [H.H. Wills Physics Laboratory, University of Bristol, Tyndall Avenue, Bristol BS8 1TL (United Kingdom); Cai, J.; Ponce, F.A. [Department of Physics and Astronomy, Arizona State University, Tempe, AZ85287 (United States)

    2002-12-01

    The measurement of charge on dislocations in GaN by electron holography is described. Recent results are presented showing that edge dislocations in n-doped GaN are highly negatively charged, whereas those in p-doped GaN are positively charged. It is shown that the results are consistent with a model which assumes Fermi level pinning at dislocation states about 2.5 V below the conduction band edge. The application of electron holography to screw dislocations, and the dependence of the observations on the dislocation core structure, are also discussed. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  9. Size dictated thermal conductivity of GaN

    Science.gov (United States)

    Beechem, Thomas E.; McDonald, Anthony E.; Fuller, Elliot J.; Talin, A. Alec; Rost, Christina M.; Maria, Jon-Paul; Gaskins, John T.; Hopkins, Patrick E.; Allerman, Andrew A.

    2016-09-01

    The thermal conductivity of n- and p-type doped gallium nitride (GaN) epilayers having thicknesses of 3-4 μm was investigated using time domain thermoreflectance. Despite possessing carrier concentrations ranging across 3 decades (1015-1018 cm-3), n-type layers exhibit a nearly constant thermal conductivity of 180 W/mK. The thermal conductivity of p-type epilayers, in contrast, reduces from 160 to 110 W/mK with increased doping. These trends—and their overall reduction relative to bulk—are explained leveraging established scattering models where it is shown that, while the decrease in p-type layers is partly due to the increased impurity levels evolving from its doping, size effects play a primary role in limiting the thermal conductivity of GaN layers tens of microns thick. Device layers, even of pristine quality, will therefore exhibit thermal conductivities less than the bulk value of 240 W/mK owing to their finite thickness.

  10. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  11. Crystal Structures of GaN Nanodots by Nitrogen Plasma Treatment on Ga Metal Droplets

    Directory of Open Access Journals (Sweden)

    Yang-Zhe Su

    2018-06-01

    Full Text Available Gallium nitride (GaN is one of important functional materials for optoelectronics and electronics. GaN exists both in equilibrium wurtzite and metastable zinc-blende structural phases. The zinc-blende GaN has superior electronic and optical properties over wurtzite one. In this report, GaN nanodots can be fabricated by Ga metal droplets in ultra-high vacuum and then nitridation by nitrogen plasma. The size, shape, density, and crystal structure of GaN nanodots can be characterized by transmission electron microscopy. The growth parameters, such as pre-nitridation treatment on Si surface, substrate temperature, and plasma nitridation time, affect the crystal structure of GaN nanodots. Higher thermal energy could provide the driving force for the phase transformation of GaN nanodots from zinc-blende to wurtzite structures. Metastable zinc-blende GaN nanodots can be synthesized by the surface modification of Si (111 by nitrogen plasma, i.e., the pre-nitridation treatment is done at a lower growth temperature. This is because the pre-nitridation process can provide a nitrogen-terminal surface for the following Ga droplet formation and a nitrogen-rich condition for the formation of GaN nanodots during droplet epitaxy. The pre-nitridation of Si substrates, the formation of a thin SiNx layer, could inhibit the phase transformation of GaN nanodots from zinc-blende to wurtzite phases. The pre-nitridation treatment also affects the dot size, density, and surface roughness of samples.

  12. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer

    International Nuclear Information System (INIS)

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-01-01

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO 2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO 2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO 2 /Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0–2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p–i–n nanocolumns were fabricated on SiO 2 /Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO 2 . (paper)

  13. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    Science.gov (United States)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  14. Structural effects of field emission from GaN nanofilms on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng-Cheng; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn; Zhu, Man-Kang; Yan, Hui [College of Materials Science and Engineering, Beijing University of Technology, 100 Pingleyuan, Chaoyang District, Beijing 100124 (China); Liu, Peng [Department of Physics Tsinghua University, Tsinghua-Foxconn Nanotechnology Research Center, Beijing 100084 (China); Wang, Bi-Ben [College of Chemistry and Chemical Engineering, Chongqing University of Technology, Chongqing 400054 (China)

    2014-04-21

    GaN nanofilms (NFs) with different structures are grown on SiC substrates by pulsed laser deposition under different conditions. The synthesized GaN NFs are studied by X-ray diffraction, field-emission (FE) scanning electron microscopy, X-ray photoelectron spectroscopy, and atomic force microscopy. The GaN NFs are composed of diversified GaN nanoparticles with a diameter of 9–38 nm, thickness of 10–50 nm, and roughness of 0.22–13.03 nm. FE from the GaN NFs is structure dependent, which is explained by stress changing the band gap of the NFs. By structure modulation, the turn-on field of GaN NFs can be as low as 0.66 V/μm at a current density of 1 μA/cm{sup 2}, with a current density of up to 1.1 mA/cm{sup 2} at a field of 4.18 V/μm. Fowler-Nordheim curves of some samples contain multiple straight lines, which originate from the structural change and diversification of GaN nanoparticles under an applied field. Overall, our results suggest that GaN NFs with excellent FE properties can be prepared on SiC substrates, which provides a new route to fabricate high-efficiency FE nanodevices.

  15. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  16. Three-dimensionally structured silicon as a substrate for the MOVPE growth of GaN nanoLEDs

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Li, Shunfeng; Soekmen, Uensal; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2009-06-15

    Three-dimensionally patterned Si(111) substrates are used to grow GaN based heterostructures by metalorganic vapour phase epitaxy, with the goal of fabricating well controlled, defect reduced GaN-based nanoLEDs. In contrast to other approaches to achieve GaN nanorods, we employed silicon substrates with deep etched nanopillars to control the GaN nanorods growth by varying the size and distance of the Si pillars. The small footprint of GaN nanorods grown on Si pillars minimise the influence of the lattice mismatched substrate and improve the material quality. For the Si pillars an inductively coupled plasma dry-etching process at cryogenic temperature has been developed. An InGaN/GaN multi quantum well (MQW) structure has been incorporated into the GaN nanorods. We found GaN nanostructures grown on top of the silicon pillars with a pyramidal shape. This shape results from a competitive growth on different facets as well as from surface diffusion of the growth species. Spatially resolved optical properties of the structures are analysed by cathodoluminescence. Strongly spatial-dependent MQW emission spectra indicate the growth rate differences on top of the rods. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Characterization of plasma etching damage on p-type GaN using Schottky diodes

    International Nuclear Information System (INIS)

    Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.

    2008-01-01

    The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching

  18. High energy ion irradiated III-N semiconductors (AlN, GaN, InN): study of point defect and extended defect creation

    International Nuclear Information System (INIS)

    Sall, Mamour

    2013-01-01

    Nitride semiconductors III N (AlN, GaN, InN) have interesting properties for micro-and opto-electronic applications. In use, they may be subjected to different types of radiation in a wide range of energy. In AlN, initially considered insensitive to electronic excitations (Se), we have demonstrated a novel type of synergy between Se and nuclear collisions (Sn) for the creation of defects absorbing at 4.7 eV. In addition, another effect of Se is highlighted in AlN: climb of screw dislocations under the influence of Se, at high fluence. In GaN, two mechanisms can explain the creation of defects absorbing at 2.8 eV: a synergy between Se and Sn, or a creation only due to Sn but with a strong effect of the size of displacement cascades. The study, by TEM, of the effects of Se in the three materials, exhibits behaviors highly dependent on the material while they all belong to the same family with the same atomic structure. Under monoatomic ion irradiations (velocity between 0.4 and 5 MeV/u), while discontinuous tracks are observed in GaN and InN, no track is observed in AlN with the highest electronic stopping power (33 keV/nm). Only fullerene clusters produce tracks in AlN. The inelastic thermal spike model was used to calculate the energies required to produce track in AlN, GaN and InN, they are 4.2 eV/atom, 1.5 eV/atom and 0.8 eV/atom, respectively. This sensitivity difference according to Se, also occurs at high fluence. (author)

  19. Suppression of concentration quenching of Er-related luminescence in Er-doped GaN

    International Nuclear Information System (INIS)

    Chen Shaoqiang; Tomita, Shigeo; Kudo, Hiroshi; Akimoto, Katsuhiro; Dierre, Benjamin; Lee, Woong; Sekiguchi, Takashi

    2010-01-01

    Erbium-doped GaN with different doping concentrations were grown by ammonia-source molecular beam epitaxy. The intra-4f-shell transitions related green luminescence were observed by both photoluminescence (PL) and cathodoluminescence (CL) measurements. It was found that concentration quenching of Er-related luminescence was observed in PL measurements while not in CL measurements. The different excitation and relaxation processes are suggested as the cause of the concentration quenching characteristics between PL and CL. The strong Er-related CL intensity in highly doped GaN demonstrates that high energy excitation is a promising approach to suppress the concentration quenching in Er-doped GaN.

  20. Scatterings and Quantum Effects in (Al ,In )N /GaN Heterostructures for High-Power and High-Frequency Electronics

    Science.gov (United States)

    Wang, Leizhi; Yin, Ming; Khan, Asif; Muhtadi, Sakib; Asif, Fatima; Choi, Eun Sang; Datta, Timir

    2018-02-01

    Charge transport in the wide-band-gap (Al ,In )N /GaN heterostructures with high carrier density approximately 2 ×1013 cm-2 is investigated over a large range of temperature (270 mK ≤T ≤280 K ) and magnetic field (0 ≤B ≤18 T ). We observe the first evidence of weak localization in the two-dimensional electron gas in this system. From the Shubnikov-de Haas (SdH) oscillations a relatively light effective mass of 0.23 me is determined. Furthermore, the linear dependence with temperature (T power and high-frequency electronics.

  1. Selective area growth of GaN rod structures by MOVPE: Dependence on growth conditions

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Wang, Xue; Erenburg, Milena; Al-Suleiman, Mohamed Aid Mansur; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Bergbauer, Werner [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Osram Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Strassburg, Martin [Osram Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany)

    2011-07-15

    Selective area growth of GaN nanorods by metalorganic vapor phase epitaxy is highly demanding for novel applications in nano-optoelectronic and nanophotonics. Recently, we report the successful selective area growth of GaN nanorods in a continuous-flow mode. In this work, as examples, we show the morphology dependence of GaN rods with {mu}m or sub-{mu}m in diameters on growth conditions. Firstly, we found that the nitridation time is critical for the growth, with an optimum from 90 to 180 seconds. This leads to more homogeneous N-polar GaN rods growth. A higher temperature during GaN rod growth tends to increase the aspect ratio of the GaN rods. This is due to the enhanced surface diffusion of growth species. The V/III ratio is also an important parameter for the GaN rod growth. Its increase causes reduction of the aspect ratio of GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface than it on {l_brace}1-100{r_brace} m-planes by supplying more NH{sub 3} (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Silicon—a new substrate for GaN growth

    Indian Academy of Sciences (India)

    Unknown

    of GaN devices based on silicon is the thermal mismatch of GaN and Si, which generates cracks. In 1998, the .... Considerable research is being carried out on GaN HEMTs at present. ... by InGaN/GaN multiquantum well in MOVPE was first.

  3. Electrothermal evaluation of thick GaN epitaxial layers and AlGaN/GaN high-electron-mobility transistors on large-area engineered substrates

    Science.gov (United States)

    Anderson, Travis J.; Koehler, Andrew D.; Tadjer, Marko J.; Hite, Jennifer K.; Nath, Anindya; Mahadik, Nadeemullah A.; Aktas, Ozgur; Odnoblyudov, Vladimir; Basceri, Cem; Hobart, Karl D.; Kub, Francis J.

    2017-12-01

    AlGaN/GaN high-electron-mobility transistor (HEMT) device layers were grown by metal organic chemical vapor deposition (MOCVD) on commercial engineered QST™ substrates to demonstrate a path to scalable, cost-effective foundry processing while supporting the thick epitaxial layers required for power HEMT structures. HEMT structures on 150 mm Si substrates were also evaluated. The HEMTs on engineered substrates exhibited material quality, DC performance, and forward blocking performance superior to those of the HEMT on Si. GaN device layers up to 15 µm were demonstrated with a wafer bow of 1 µm, representing the thickest films grown on 150-mm-diameter substrates with low bow to date.

  4. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    OpenAIRE

    Monemar, Bo; Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Lindgren, David; Samuelson, Lars; Ni, Xianfeng; Morkoç, Hadis; Paskova, Tanya; Bi, Zhaoxia; Ohlsson, Jonas

    2011-01-01

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 1018cm-3 to well above 1019 cm-3. The samples were grown with MOCVD at reduced pressure on low defect density m-plane bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9 eV to 3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependenc...

  5. Influence of growth temperature and temperature ramps on deep level defect incorporation in m-plane GaN

    International Nuclear Information System (INIS)

    Armstrong, A. M.; Kelchner, K.; Nakamura, S.; DenBaars, S. P.; Speck, J. S.

    2013-01-01

    The dependence of deep level defect incorporation in m-plane GaN films grown by metal-organic chemical vapor deposition on bulk m-plane GaN substrates as a function of growth temperature (T g ) and T g ramping method was investigated using deep level optical spectroscopy. Understanding the influence of T g on GaN deep level incorporation is important for InGaN/GaN multi-quantum well (MQW) light emitting diodes (LEDs) and laser diodes (LDs) because GaN quantum barrier (QB) layers are grown much colder than thin film GaN to accommodate InGaN QW growth. Deep level spectra of low T g (800 °C) GaN films grown under QB conditions were compared to deep level spectra of high T g (1150 °C) GaN. Reducing T g , increased the defect density significantly (>50×) through introduction of emergent deep level defects at 2.09 eV and 2.9 eV below the conduction band minimum. However, optimizing growth conditions during the temperature ramp when transitioning from high to low T g substantially reduced the density of these emergent deep levels by approximately 40%. The results suggest that it is important to consider the potential for non-radiative recombination in QBs of LED or LD active regions, and tailoring the transition from high T g GaN growth to active layer growth can mitigate such non-radiative channels

  6. Photoluminescence studies of cubic phase GaN grown by molecular beam epitaxy on (001) silicon covered with SiC layer

    International Nuclear Information System (INIS)

    Godlewski, M.; Ivanov, V.Yu.; Bergman, J.P.; Monemar, B.; Barski, A.; Langer, R.

    1997-01-01

    In this work we evaluate optical properties of cubic phase GaN epilayers grown on top of (001) silicon substrate prepared by new process. Prior to the growth Si substrate was annealed at 1300-1400 o C in propane. The so-prepared substrate is covered within a thin (∼ 4 nm) SiC wafer, which allowed a successful growth of good morphological quality cubic phase GaN epilayers. The present results confirm recent suggestion on smaller ionization energies of acceptors in cubic phase GaN epilayers. (author)

  7. Optically active centers in Eu implanted, Eu in situ doped GaN, and Eu doped GaN quantum dots

    International Nuclear Information System (INIS)

    Bodiou, L.; Braud, A.; Doualan, J.-L.; Moncorge, R.; Park, J. H.; Munasinghe, C.; Steckl, A. J.; Lorenz, K.; Alves, E.; Daudin, B.

    2009-01-01

    A comparison is presented between Eu implanted and Eu in situ doped GaN thin films showing that two predominant Eu sites are optically active around 620 nm in both types of samples with below and above bandgap excitation. One of these sites, identified as a Ga substitutional site, is common to both types of Eu doped GaN samples despite the difference in the GaN film growth method and in the doping technique. High-resolution photoluminescence (PL) spectra under resonant excitation reveal that in all samples these two host-sensitized sites are in small amount compared to the majority of Eu ions which occupy isolated Ga substitutional sites and thus cannot be excited through the GaN host. The relative concentrations of the two predominant host-sensitized Eu sites are strongly affected by the annealing temperature for Eu implanted samples and by the group III element time opening in the molecular beam epitaxy growth. Red luminescence decay characteristics for the two Eu sites reveal different excitation paths. PL dynamics under above bandgap excitation indicate that Eu ions occupying a Ga substitutional site are either excited directly into the 5 D 0 level or into higher excited levels such as 5 D 1 , while Eu ions sitting in the other site are only directly excited into the 5 D 0 level. These differences are discussed in terms of the spectral overlap between the emission band of a nearby bound exciton and the absorption bands of Eu ions. The study of Eu doped GaN quantum dots reveals the existence of only one type of Eu site under above bandgap excitation, with Eu PL dynamics features similar to Eu ions in Ga substitutional sites

  8. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    International Nuclear Information System (INIS)

    Geng, Xuewen; Grismer, Dane A; Bohn, Paul W; Duan, Barrett K; Zhao, Liancheng

    2013-01-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal–semiconductor interface. (paper)

  9. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    Science.gov (United States)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  10. Spatially and spectrally resolved photoluminescence of InGaN MQWs grown on highly Si doped a-plane GaN buffer

    Energy Technology Data Exchange (ETDEWEB)

    Thunert, Martin; Wieneke, Matthias; Dempewolf, Anja; Bertram, Frank; Dadgar, Armin; Krost, Alois; Christen, Juergen [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany)

    2011-07-01

    A set of InGaN multi quantum well (MQW) samples grown by MOVPE on highly Si doped a-plane GaN on r-plane sapphire templates has been investigated using spatially resolved photoluminescence spectroscopy ({mu}-PL). The Si doping level of nominal about 10{sup 20} cm{sup -3} leads to three dimensionally grown crystallites mostly terminated by m-facets. The MQW thickness has been systematically varied from nominally 2.1 to 4.2 nm, as well as the InGaN growth temperature, which was varied from 760 C to 700 C. The growth of a-plane GaN based devices leads to a non-polar growth direction avoiding the polarization field affected Quantum-Confined-Stark-Effect. Spatially resolved PL studies show for all samples low near band edge (NBE) GaN emission intensity over the whole area under investigation accompanied by highly intense InGaN MQW emission for single crystallites. The MQW luminescence shows a systematic blueshift with increasing InGaN growth temperature due to lower In incorporation as well as a systematic redshift with increasing MQW thickness. Excitation power dependent spectra at 4 K as well as temperature dependent PL spectra will be presented.

  11. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    International Nuclear Information System (INIS)

    Ravikiran, L.; Radhakrishnan, K.; Ng, G. I.; Munawar Basha, S.; Dharmarasu, N.; Agrawal, M.; Manoj kumar, C. M.; Arulkumaran, S.

    2015-01-01

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr 4 beam equivalent pressure of 1.86 × 10 −7 mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffers also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics

  12. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Ravikiran, L.; Radhakrishnan, K., E-mail: ERADHA@e.ntu.edu.sg; Ng, G. I. [NOVITAS-Nanoelectronics, Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Munawar Basha, S.; Dharmarasu, N.; Agrawal, M.; Manoj kumar, C. M.; Arulkumaran, S. [Temasek Laboratories@NTU, Nanyang Technological University, Singapore 637553 (Singapore)

    2015-06-28

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr{sub 4} beam equivalent pressure of 1.86 × 10{sup −7} mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffers also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics.

  13. Strain-mediated electronic properties of pristine and Mn-doped GaN monolayers

    Science.gov (United States)

    Sharma, Venus; Srivastava, Sunita

    2018-04-01

    Graphene-like two-dimensional (2D) monolayer structures GaN has gained enormous amount of interest due to high thermal stability and inherent energy band gap for practical applications. First principles calculations are performed to investigate the electronic structure and strain-mediated electronic properties of pristine and Mn-doped GaN monolayer. Binding energy of Mn dopant at various adsorption site is found to be nearly same indicating these sites to be equally favorable for adsorption of foreign atom. Depending on the adsorption site, GaN monolayer can act as p-type or n-type magnetic semiconductor. The tensile strength of both pristine and doped GaN monolayer (∼24 GPa) at ultimate tensile strain of 34% is comparable with the tensile strength of graphene. The in-plane biaxial strain modulate the energy band gap of both pristine and doped-monolayer from direct to indirect gap semiconductor and finally retendered theme into metal at critical value of applied strain. These characteristics make GaN monolayer to be potential candidate for the future applications in tunable optoelectronics.

  14. 380 keV proton irradiation effects on photoluminescence of Eu-doped GaN

    International Nuclear Information System (INIS)

    Okada, Hiroshi; Nakanishi, Yasuo; Wakahara, Akihiro; Yoshida, Akira; Ohshima, Takeshi

    2008-01-01

    The effect of 380 keV proton irradiation on the photoluminescence (PL) properties has been investigated for undoped and Eu-doped GaN. As the proton irradiation exceeds 1x10 13 cm -2 , a drastic decrease of PL intensity of the near band-edge emission of undoped GaN was observed. On the other hand, for Eu-doped GaN, the PL emission corresponding to the 5 D 0 → 7 F 2 transition in Eu 3+ kept the initial PL intensity after the proton irradiation up to 1x10 14 cm -2 . Present results, together with our previous report on electron irradiation results, suggest that Eu-doped GaN is a strong candidate for light emitting devices in high irradiation environment

  15. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    Science.gov (United States)

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  16. Growth and characterizations of GaN micro-rods on graphene films for flexible light emitting diodes

    Directory of Open Access Journals (Sweden)

    Kunook Chung

    2014-09-01

    Full Text Available We report the growth of GaN micro-rods and coaxial quantum-well heterostructures on graphene films, together with structural and optical characterization, for applications in flexible optical devices. Graphene films were grown on Cu foil by means of chemical vapor deposition, and used as the substrates for the growth of the GaN micro-rods, which were subsequently transferred onto SiO2/Si substrates. Highly Si-doped, n-type GaN micro-rods were grown on the graphene films using metal–organic chemical vapor deposition. The growth and vertical alignment of the GaN micro-rods, which is a critical factor for the fabrication of high-performance light-emitting diodes (LEDs, were characterized using electron microscopy and X-ray diffraction. The GaN micro-rods exhibited promising photoluminescence characteristics for optoelectronic device applications, including room-temperature stimulated emission. To fabricate flexible LEDs, InxGa1–xN/GaN multiple quantum wells and a p-type GaN layer were deposited coaxially on the GaN micro-rods, and transferred onto Ag-coated polymer substrates using lift-off. Ti/Au and Ni/Au metal layers were formed to provide electrical contacts to the n-type and p-type GaN regions, respectively. The micro-rod LEDs exhibited intense emission of visible light, even after transfer onto the flexible polymer substrate, and reliable operation was achieved following numerous cycles of mechanical deformation.

  17. GalaxyGAN: Generative Adversarial Networks for recovery of galaxy features

    Science.gov (United States)

    Schawinski, Kevin; Zhang, Ce; Zhang, Hantian; Fowler, Lucas; Krishnan Santhanam, Gokula

    2017-02-01

    GalaxyGAN uses Generative Adversarial Networks to reliably recover features in images of galaxies. The package uses machine learning to train on higher quality data and learns to recover detailed features such as galaxy morphology by effectively building priors. This method opens up the possibility of recovering more information from existing and future imaging data.

  18. Ultralow nonalloyed Ohmic contact resistance to self aligned N-polar GaN high electron mobility transistors by In(Ga)N regrowth

    International Nuclear Information System (INIS)

    Dasgupta, Sansaptak; Nidhi,; Brown, David F.; Wu, Feng; Keller, Stacia; Speck, James S.; Mishra, Umesh K.

    2010-01-01

    Ultralow Ohmic contact resistance and a self-aligned device structure are necessary to reduce the effect of parasitic elements and obtain higher f t and f max in high electron mobility transistors (HEMTs). N-polar (0001) GaN HEMTs, offer a natural advantage over Ga-polar HEMTs, in terms of contact resistance since the contact is not made through a high band gap material [Al(Ga)N]. In this work, we extend the advantage by making use of polarization induced three-dimensional electron-gas through regrowth of graded InGaN and thin InN cap in the contact regions by plasma (molecular beam epitaxy), to obtain an ultralow Ohmic contact resistance of 27 Ω μm to a GaN 2DEG.

  19. Light Modulation and Water Splitting Enhancement Using a Composite Porous GaN Structure.

    Science.gov (United States)

    Yang, Chao; Xi, Xin; Yu, Zhiguo; Cao, Haicheng; Li, Jing; Lin, Shan; Ma, Zhanhong; Zhao, Lixia

    2018-02-14

    On the basis of the laterally porous GaN, we designed and fabricated a composite porous GaN structure with both well-ordered lateral and vertical holes. Compared to the plane GaN, the composite porous GaN structure with the combination of the vertical holes can help to reduce UV reflectance and increase the saturation photocurrent during water splitting by a factor of ∼4.5. Furthermore, we investigated the underlying mechanism for the enhancement of the water splitting performance using a finite-difference time-domain method. The results show that the well-ordered vertical holes can not only help to open the embedded pore channels to the electrolyte at both sides and reduce the migration distance of the gas bubbles during the water splitting reactions but also help to modulate the light field. Using this composite porous GaN structure, most of the incident light can be modulated and trapped into the nanoholes, and thus the electric fields localized in the lateral pores can increase dramatically as a result of the strong optical coupling. Our findings pave a new way to develop GaN photoelectrodes for highly efficient solar water splitting.

  20. High current density 2D/3D MoS2/GaN Esaki tunnel diodes

    Science.gov (United States)

    Krishnamoorthy, Sriram; Lee, Edwin W.; Lee, Choong Hee; Zhang, Yuewei; McCulloch, William D.; Johnson, Jared M.; Hwang, Jinwoo; Wu, Yiying; Rajan, Siddharth

    2016-10-01

    The integration of two-dimensional materials such as transition metal dichalcogenides with bulk semiconductors offer interesting opportunities for 2D/3D heterojunction-based device structures without any constraints of lattice matching. By exploiting the favorable band alignment at the GaN/MoS2 heterojunction, an Esaki interband tunnel diode is demonstrated by transferring large area Nb-doped, p-type MoS2 onto heavily n-doped GaN. A peak current density of 446 A/cm2 with repeatable room temperature negative differential resistance, peak to valley current ratio of 1.2, and minimal hysteresis was measured in the MoS2/GaN non-epitaxial tunnel diode. A high current density of 1 kA/cm2 was measured in the Zener mode (reverse bias) at -1 V bias. The GaN/MoS2 tunnel junction was also modeled by treating MoS2 as a bulk semiconductor, and the electrostatics at the 2D/3D interface was found to be crucial in explaining the experimentally observed device characteristics.

  1. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  2. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  3. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  4. Induced defects in neutron irradiated GaN single crystals

    International Nuclear Information System (INIS)

    Park, I. W.; Koh, E. K.; Kim, Y. M.; Choh, S. H.; Park, S. S.; Kim, B. G.; Sohn, J. M.

    2005-01-01

    The local structure of defects in undoped, Si-doped, and neutron irradiated free standing GaN bulk crystals, grown by hydride vapor phase epitaxy, has been investigated by employing Raman scattering and cathodoluminescence. The GaN samples were irradiated to a dose of 2 x 10 17 neutrons in an atomic reactor at Korea Atomic Energy Research Institute. There was no appreciable change in the Raman spectra for undoped GaN samples before and after neutron irradiation. However, a forbidden transition, A 1 (TO) mode, appeared for a neutron irradiated Si-doped GaN crystal. Cathodoluminescence spectrum for the neutron irradiated Si-doped GaN crystal became much more broadened than that for the unirradiated one. The experimental results reveal the generation of defects with locally deformed structure in the wurtzite Si-doped GaN single crystal

  5. GaN Initiative for Grid Applications (GIGA)

    Energy Technology Data Exchange (ETDEWEB)

    Turner, George [MIT Lincoln Lab., Lexington, MA (United States)

    2015-07-03

    For nearly 4 ½ years, MIT Lincoln Laboratory (MIT/LL) led a very successful, DoE-funded team effort to develop GaN-on-Si materials and devices, targeting high-voltage (>1 kV), high-power, cost-effective electronics for grid applications. This effort, called the GaN Initiative for Grid Applications (GIGA) program, was initially made up of MIT/LL, the MIT campus group of Prof. Tomas Palacios (MIT), and the industrial partner M/A Com Technology Solutions (MTS). Later in the program a 4th team member was added (IQE MA) to provide commercial-scale GaN-on-Si epitaxial materials. A basic premise of the GIGA program was that power electronics, for ubiquitous utilization -even for grid applications - should be closer in cost structure to more conventional Si-based power electronics. For a number of reasons, more established GaN-on-SiC or even SiC-based power electronics are not likely to reach theses cost structures, even in higher manufacturing volumes. An additional premise of the GIGA program was that the technical focus would be on materials and devices suitable for operating at voltages > 1 kV, even though there is also significant commercial interest in developing lower voltage (< 1 kV), cost effective GaN-on-Si devices for higher volume applications, like consumer products. Remarkable technical progress was made during the course of this program. Advances in materials included the growth of high-quality, crack-free epitaxial GaN layers on large-diameter Si substrates with thicknesses up to ~5 μm, overcoming significant challenges in lattice mismatch and thermal expansion differences between Si and GaN in the actual epitaxial growth process. Such thick epilayers are crucial for high voltage operation of lateral geometry devices such as Schottky barrier (SB) diodes and high electron mobility transistors (HEMTs). New “Normally-Off” device architectures were demonstrated – for safe operation of power electronics circuits. The trade-offs between lateral and

  6. ARM MJO Investigation Experiment on Gan Island (AMIE-Gan) Science Plan

    Energy Technology Data Exchange (ETDEWEB)

    Long, CL; Del Genio, A; Deng, M; Fu, X; Gustafson, W; Houze, R; Jakob, C; Jensen, M; Johnson, R; Liu, X; Luke, E; May, P; McFarlane, S; Minnis, P; Schumacher, C; Vogelmann, A; Wang, Y; Webster, P; Xie, S; Zhang, C

    2011-04-11

    The overarching campaign, which includes the ARM Mobile Facility 2 (AMF2) deployment in conjunction with the Dynamics of the Madden-Julian Oscillation (DYNAMO) and the Cooperative Indian Ocean experiment on intraseasonal variability in the Year 2011 (CINDY2011) campaigns, is designed to test several current hypotheses regarding the mechanisms responsible for Madden-Julian Oscillation (MJO) initiation and propagation in the Indian Ocean area. The synergy between the proposed AMF2 deployment with DYNAMO/CINDY2011, and the corresponding funded experiment on Manus, combine for an overarching ARM MJO Investigation Experiment (AMIE) with two components: AMF2 on Gan Island in the Indian Ocean (AMIE-Gan), where the MJO initiates and starts its eastward propagation; and the ARM Manus site (AMIE-Manus), which is in the general area where the MJO usually starts to weaken in climate models. AMIE-Gan will provide measurements of particular interest to Atmospheric System Research (ASR) researchers relevant to improving the representation of MJO initiation in climate models. The framework of DYNAMO/CINDY2011 includes two proposed island-based sites and two ship-based locations forming a square pattern with sonde profiles and scanning precipitation and cloud radars at both island and ship sites. These data will be used to produce a Variational Analysis data set coinciding with the one produced for AMIE-Manus. The synergy between AMIE-Manus and AMIE-Gan will allow studies of the initiation, propagation, and evolution of the convective cloud population within the framework of the MJO. As with AMIE-Manus, AMIE-Gan/DYNAMO also includes a significant modeling component geared toward improving the representation of MJO initiation and propagation in climate and forecast models. This campaign involves the deployment of the second, marine-capable, AMF; all of the included measurement systems; and especially the scanning and vertically pointing radars. The campaign will include sonde

  7. Enhancement of optical and structural quality of semipolar (11-22) GaN by introducing nanoporous SiNx interlayers

    Science.gov (United States)

    Monavarian, Morteza; Metzner, Sebastian; Izyumskaya, Natalia; Müller, Marcus; Okur, Serdal; Zhang, Fan; Can, Nuri; Das, Saikat; Avrutin, Vitaliy; Özgür, Ümit; Bertram, Frank; Christen, Juergen; Morkoç, Hadis

    2015-03-01

    Enhancement of optical and structural quality of semipolar (11‾22) GaN grown by metal-organic chemical vapor deposition on planar m-sapphire substrates was achieved by using an in-situ epitaxial lateral overgrowth (ELO) technique with nanoporous SiNx layers employed as masks. In order to optimize the procedure, the effect of SiNx deposition time was studied by steady-state photoluminescence (PL), and X-ray diffraction. The intensity of room temperature PL for the (11‾22) GaN layers grown under optimized conditions was about three times higher compared to those for the reference samples having the same thickness but no SiNx interlayers. This finding is attributed to the blockage of extended defect propagation toward the surface by the SiNx interlayers as evidenced from the suppression of emissions associated with basal-plane and prismatic stacking faults with regard to the intensity of donor bound excitons (D0X) in lowtemperature PL spectra. In agreement with the optical data, full width at half maximum values of (11‾22) X-ray rocking curves measured for two different in-plane rotational orientations of [1‾100] and [11‾23] reduced from 0.33º and 0.26º for the reference samples to 0.2º and 0.16º for the nano-ELO structures grown under optimized conditions, respectively.

  8. Computational study of GaAs1-xNx and GaN1-yAsy alloys and arsenic impurities in GaN

    International Nuclear Information System (INIS)

    Laaksonen, K; Komsa, H-P; Arola, E; Rantala, T T; Nieminen, R M

    2006-01-01

    We have studied the structural and electronic properties of As-rich GaAs 1-x N x and N-rich GaN 1-y As y alloys in a large composition range using first-principles methods. We have systematically investigated the effect of the impurity atom configuration near both GaAs and GaN sides of the concentration range on the total energies, lattice constants and bandgaps. The N (As) atoms, replacing substitutionally As (N) atoms in GaAs (GaN), cause the surrounding Ga atoms to relax inwards (outwards), making the Ga-N (Ga-As) bond length about 15% shorter (longer) than the corresponding Ga-As (Ga-N) bond length in GaAs (GaN). The total energies of the relaxed alloy supercells and the bandgaps experience large fluctuations within different configurations and these fluctuations grow stronger if the impurity concentration is increased. Substituting As atoms with N in GaAs induces modifications near the conduction band minimum, while substituting N atoms with As in GaN modifies the states near the valence band maximum. Both lead to bandgap reduction, which is at first rapid but later slows down. The relative size of the fluctuations is much larger in the case of GaAs 1-x N x alloys. We have also looked into the question of which substitutional site (Ga or N) As occupies in GaN. We find that under Ga-rich conditions arsenic prefers the substitutional N site over the Ga site within a large range of Fermi level values

  9. Determination of carrier diffusion length in GaN

    Science.gov (United States)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  10. Schottky contacts to polar and nonpolar n-type GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hogyoung [Hanbat National University, Daejeon (Korea, Republic of); Phark, Soohyon [Max-Planck-Institut fur Mikrostrukturphysik, Halle (Germany); Song, Keunman [Korea Advanced Nano Fab Center, Suwon (Korea, Republic of); Kim, Dongwook [Ewha Woman' s University, Seoul (Korea, Republic of)

    2012-01-15

    Using the current-voltage measurements, we observed the barrier heights of c-plane GaN in Pt and Au Schottky contacts to be higher than those of a-plane GaN. However, the barrier height of c-plane GaN was lower than that of a-plane GaN in the Ti Schottky contacts. The N/Ga ratio calculated by integrating the X-ray photoelectron spectroscopy (XPS) spectra of Ga 3d and N 1s core levels showed that c-plane GaN induced more Ga vacancies near the interface than a-plane GaN in the Ti Schottky contacts, reducing the effective barrier height through an enhancement of the tunneling probability.

  11. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    Science.gov (United States)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  12. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Monemar, Bo [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Lindgren, David; Samuelson, Lars [Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Ni, Xianfeng; Morkoc, Hadis [Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia 23284-3072 (United States); Paskova, Tanya [Kyma Technologies Inc., Raleigh, North Carolina 27617 (United States); Bi, Zhaoxia; Ohlsson, Jonas [Glo AB, Ideon Science Park, Scheelevaegen 17, 223 70 Lund (Sweden)

    2011-07-15

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 10{sup 18} cm{sup -3} to above 10{sup 20} cm{sup -3}. The samples were grown with MOCVD at reduced pressure on low defect density bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9-3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependence of the BE spectra on excitation intensity as well as the transient decay behaviour demonstrate acoustic phonon assisted transfer between the acceptor BE states. The lower energy donor-acceptor pair spectra suggest the presence of deep acceptors, in addition to the two main shallower ones at about 0.23 eV. Similar spectra from Mg-doped GaN nanowires (NWs) grown by MOCVD are also briefly discussed. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. X-ray absorption near-edge structure of GaN with high Mn concentration grown on SiC

    Science.gov (United States)

    Sancho-Juan, O.; Cantarero, A.; Garro, N.; Cros, A.; Martínez-Criado, G.; Salomé, M.; Susini, J.; Olguín, D.; Dhar, S.

    2009-07-01

    By means of x-ray absorption near-edge structure (XANES) several Ga1-xMnxN (0.03GaN samples consisted of different epilayers grown by molecular beam epitaxy on [0001] SiC substrates. The low mismatch between GaN and SiC allows for a good quality and homogeneity of the material. The measurements were performed in fluorescence mode around both the Ga and Mn K edges. All samples studied present a similar Mn ionization state, very close to 2+, and tetrahedral coordination. In order to interpret the near-edge structure, we have performed ab initio calculations using the full potential linear augmented plane wave method as implemented in the Wien2k code. The calculations show the appearance of a Mn bonding \\mathrm {t_{2}}\\uparrow band localized in the gap region, and the corresponding anti-bonding state \\mathrm {t_{2}}\\downarrow , which seem to be responsible for the double structure which appears at the pre-edge absorption region. The shoulders and main absorption peak of the XANES spectra are attributed to transitions from the Mn(1s) band to the conduction bands, which are partially dipole allowed because of the Mn(4p) contribution to these bands.

  14. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Ploch, Simon [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Hoffmann, Veit; Knauer, Arne; Weyers, Markus [Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany); Kneissl, Michael [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany)

    2011-03-15

    GaN layers on bulk m-plane, (11 anti 22), (10 anti 12) and (10 anti 11) GaN substrates were grown by metal organic vapor phase epitaxy. XRD rocking curves have a FWHM of less than 150'', indicating excellent crystalline quality. However in many cases surface morphology exhibits hillocks with a height of 1-2 {mu}m and a lateral extension of 50-200 {mu}m whereas a smooth surface would be desirable for optoelectronic devices. The influence of growth parameters on the surface morphology was studied. The goal was, to constrain the material redistribution, that is necessary to form large hillocks. This was achieved by lowering the adatom diffusion length by a reduction of temperature and an increased reactor pressure. In the case of the (10 anti 11) and (10 anti 12) semipolar planes a reduction of the adatom diffusion length leads to a reduction of hillock density, hillock size and a smoother surface between hillocks. However, the m-plane surface does not react to a reduction of adatom mobility. Even at 890 C and 400 mbar rectangular pyramids cover the surface. In contrast to the other planes, the (11 anti 22) becomes instable, when the adatom diffusion length is reduced. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    International Nuclear Information System (INIS)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Oppo, Carla Ivana; Malindretos, Joerg; Rizzi, Angela

    2015-01-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated. (paper)

  16. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    Science.gov (United States)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Ivana Oppo, Carla; Malindretos, Joerg; Rizzi, Angela

    2015-08-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated.

  17. ''Cube-on-hexagon'' orientation relationship for Fe on GaN(0001): The missing link in bcc/hcp epitaxy

    International Nuclear Information System (INIS)

    Gao Cunxu; Brandt, Oliver; Laehnemann, Jonas; Jahn, Uwe; Jenichen, Bernd; Schoenherr, Hans-Peter; Erwin, Steven C.

    2010-01-01

    We investigate, experimentally and theoretically, the epitaxy of body-centered-cubic Fe on hexagonal GaN. For growth on the Ga-polar GaN(0001) surface we find the well-known Pitsch-Schrader orientation relationship between Fe and GaN. On the N-polar GaN(0001) surface we observe coexistence between the familiar Burgers orientation and a new orientation in which the Fe(001) plane is parallel to GaN(0001). This 'cube-on-hexagon' orientation constitutes the high-symmetry link required for constructing a symmetry diagram for bcc/hcp systems in which all orientation relationships are connected by simple rotations.

  18. Efficiency droop in GaN LEDs at high injection levels: Role of hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Bochkareva, N. I. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Sheremet, I. A. [Financial University under the Government of the Russian Federation (Russian Federation); Shreter, Yu. G., E-mail: y.shreter@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2016-10-15

    Point defects in GaN and, in particular, their manifestation in the photoluminescence, optical absorption, and recombination current in light-emitting diodes with InGaN/GaN quantum wells are analyzed. The results of this analysis demonstrate that the wide tail of defect states in the band gap of GaN facilitates the trap-assisted tunneling of thermally activated carriers into the quantum well, but simultaneously leads to a decrease in the nonradiative-recombination lifetime and to an efficiency droop as the quasi-Fermi levels intersect the defect states with increasing forward bias. The results reveal the dominant role of hydrogen in the recombination activity of defects with dangling bonds and in the efficiency of GaN-based devices.

  19. Nonlinear characterization of GaN HEMT

    International Nuclear Information System (INIS)

    Chen Chi; Hao Yue; Yang Ling; Quan Si; Ma Xiaohua; Zhang Jincheng

    2010-01-01

    DC I-V output, small signal and an extensive large signal characterization (load-pull measurements) of a GaN HEMT on a SiC substrate with different gate widths of 100 μm and 1 mm have been carried out. From the small signal data, it has been found that the cutoff frequencies increase with gate width varying from 100 μm to 1mm, owing to the reduced contribution of the parasitic effect. The devices investigated with different gate widths are enough to work in the C band and X band. The large signal measurements include the load-pull measurements and power sweep measurements at the C band (5.5 GHz) and X band (8 GHz). When biasing the gate voltage in class AB and selecting the source impedance, the optimum load impedances seen from the device for output power and PAE were localized in the load-pull map. The results of a power sweep at an 8 GHz biased various drain voltage demonstrate that a GaN HEMT on a SiC substrate has good thermal conductivity and a high breakdown voltage, and the CW power density of 10.16 W/mm was obtained. From the results of the power sweep measurement at 5.5 GHz with different gate widths, the actual scaling rules and heat effect on the large periphery device were analyzed, although the effects are not serious. The measurement results and analyses prove that a GaN HEMT on a SiC substrate is an ideal candidate for high-power amplifier design.

  20. High temperature electron cyclotron resonance etching of GaN, InN, and AlN

    International Nuclear Information System (INIS)

    Shul, R.J.; Kilcoyne, S.P.; Hagerott Crawford, M.; Parmeter, J.E.; Vartuli, C.B.; Abernathy, C.R.; Pearton, S.J.

    1995-01-01

    Electron cyclotron resonance etch rates for GaN, InN, and AlN are reported as a function of temperature for Cl 2 /H 2 /CH 4 /Ar and Cl 2 /H 2 /Ar plasmas. Using Cl 2 /H 2 /CH 4 /Ar plasma chemistry, GaN etch rates remain relatively constant from 30 to 125 degree C and then increase to a maximum of 2340 A/min at 170 degree C. The InN etch rate decreases monotonically from 30 to 150 degree C and then rapidly increases to a maximum of 2300 A/min at 170 degree C. This is the highest etch rate reported for this material. The AlN etch rate decreases throughout the temperature range studied with a maximum of 960 A/min at 30 degree C. When CH 4 is removed from the plasma chemistry, the GaN and InN etch rates are slightly lower, with less dramatic changes with temperature. The surface composition of the III--V nitrides remains unchanged after exposure to the Cl 2 /H 2 /CH 4 /Ar plasma over the temperatures studied

  1. Growth and characterization of Fe nanostructures on GaN

    International Nuclear Information System (INIS)

    Honda, Yuya; Hayakawa, Satoko; Hasegawa, Shigehiko; Asahi, Hajime

    2009-01-01

    We have investigated the growth of Fe nanostructures on GaN(0 0 0 1) substrates at room temperature using reflection high-energy electron diffraction (RHEED), scanning tunneling microscopy (STM), and superconducting quantum interference device magnetometer. Initially, a ring RHEED pattern appears, indicating the growth of polycrystalline α-Fe. At around 0.5 nm deposition, the surface displays a transmission pattern from α-Fe films with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[1 -1 1]//GaN[1 1 -2 0] (Kurdjumov-Sachs (KS) orientational relationship). Further deposition to 1 nm results in the appearance of a new spot pattern together with the pattern from domains with the KS orientation relationship. The newly observed pattern shows that Fe layers are formed with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[0 0 1]//GaN[1 1 -2 0] (Nishiyama-Wasserman (NW) orientational relationship). From STM images for Fe layers with the KS and NW orientational relationships, it can be seen that Fe layers with the KS relationship consist of round-shaped Fe nanodots with below 7 nm in average diameter. These nanodots coalesce to form nanodots elongating along the Fe[1 0 0] direction, and they have the KS orientational relationship. Elongated Fe nanodots with the NW relationship show ferromagnetism while round-shaped Fe nanodots with the KS relationship show super-paramagnetic behavior. We will discuss their magnetic properties in connection with the change in crystalline configurations of nanodots.

  2. Influence of Si-doping on heteroepitaxially grown a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Bastek, Barbara; Noltemeyer, Martin; Hempel, Thomas; Rohrbeck, Antje; Witte, Hartmut; Veit, Peter; Blaesing, Juergen; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-Universitaet Magdeburg, FNW/IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2011-07-01

    Si-doped a-plane GaN samples with nominal doping levels up to 10{sup 20} cm{sup -3} were grown on r-plane sapphire by metal organic vapor phase epitaxy. Silane flow rates higher than 59 nmol/min lead to three dimensional grown crystallites as revealed by scanning electron microscopy. High resolution X-ray diffraction, photoluminescence and cathodoluminescence suggest considerably reduced defect densities in the large micrometer-sized GaN crystallites. Especially, transmission electron microscopy images verify a very low density of basal plane stacking faults less than 10{sup 4} cm{sup -1} in these crystallites consisting of heteroepitaxially grown a-plane GaN. In our presentation the influence of the Si doping on the basal plane stacking faults will be discussed.

  3. Broadband nanophotonic waveguides and resonators based on epitaxial GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bruch, Alexander W.; Xiong, Chi; Leung, Benjamin; Poot, Menno; Han, Jung; Tang, Hong X., E-mail: hong.tang@yale.edu [Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511 (United States)

    2015-10-05

    We demonstrate broadband, low loss optical waveguiding in single crystalline GaN grown epitaxially on c-plane sapphire wafers through a buffered metal-organic chemical vapor phase deposition process. High Q optical microring resonators are realized in near infrared, infrared, and near visible regimes with intrinsic quality factors exceeding 50 000 at all the wavelengths we studied. TEM analysis of etched waveguide reveals growth and etch-induced defects. Reduction of these defects through improved material and device processing could lead to even lower optical losses and enable a wideband photonic platform based on GaN-on-sapphire material system.

  4. GaN transistors for efficient power conversion

    CERN Document Server

    Lidow, Alex; de Rooij, Michael; Reusch, David

    2014-01-01

    The first edition of GaN Transistors for Efficient Power Conversion was self-published by EPC in 2012, and is currently the only other book to discuss GaN transistor technology and specific applications for the technology. More than 1,200 copies of the first edition have been sold through Amazon or distributed to selected university professors, students and potential customers, and a simplified Chinese translation is also available. The second edition has expanded emphasis on applications for GaN transistors and design considerations. This textbook provides technical and application-focused i

  5. Suppression of the self-heating effect in GaN HEMT by few-layer graphene heat spreading elements

    Science.gov (United States)

    Volcheck, V. S.; Stempitsky, V. R.

    2017-11-01

    Self-heating has an adverse effect on characteristics of gallium nitride (GaN) high electron mobility transistors (HEMTs). Various solutions to the problem have been proposed, however, a temperature rise due to dissipated electrical power still hinders the production of high power and high speed GaN devices. In this paper, thermal management of GaN HEMT via few-layer graphene (FLG) heat spreading elements is investigated. It is shown that integration of the FLG elements on top of the device structure considerably reduces the maximum temperature and improves the DC and small signal AC performance.

  6. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation

    International Nuclear Information System (INIS)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chen, Han-Wei; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-01-01

    Enhanced photoelectrochemical (PEC) performances of Ga 2 O 3 and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga 2 O 3 and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga 2 O 3 NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga 2 O 3 . These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga 2 O 3 NWs, or by incorporation of indium to form InGaN NWs. (paper)

  7. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    Science.gov (United States)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  8. Characterization of GaN P-N Junction Grown on Si (111) Substrate by Plasma-assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Rosfariza Radzali; Rosfariza Radzali; Mohd Anas Ahmad; Zainuriah Hassan; Norzaini Zainal; Kwong, Y.F.; Woei, C.C.; Mohd Zaki Mohd Yusoff; Mohd Zaki Mohd Yusoff

    2011-01-01

    In this report, the growth of GaN pn junction on Si (111) substrate by plasma assisted molecular beam epitaxy (PAMBE) is presented. Doping of GaN p-n junction has been carried out using Si and Mg as n-type dopant and p-type dopants, respectively. The sample had been characterized by PL, Raman spectroscopy, HR-XRD and SEM. PL spectrum showed strong band edge emission of GaN at ∼364 nm, indicating good quality of the sample. The image of SEM cross section of the sample showed sharp interfaces. The presence of peak ∼657 cm -1 in Raman measurement exhibited successful doping of Mg in the sample. (author)

  9. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    Science.gov (United States)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  10. Carrier confinement effects of InxGa1-xN/GaN multi quantum disks with GaN surface barriers grown in GaN nanorods

    Science.gov (United States)

    Park, Youngsin; Chan, Christopher C. S.; Taylor, Robert A.; Kim, Nammee; Jo, Yongcheol; Lee, Seung W.; Yang, Woochul; Im, Hyunsik

    2018-04-01

    Structural and optical properties of InxGa1-xN/GaN multi quantum disks (QDisks) grown on GaN nanorods by molecular beam epitaxy have been investigated by transmission electron microscopy and micro-photoluminescence (PL) spectroscopy. Two types of InGaN QDisks were grown: a pseudo-3D confined InGaN pillar-type QDisks embedded in GaN nanorods; and QDisks in flanged cone type GaN nanorods. The PL emission peak and excitation dependent PL behavior of the pillar-type Qdisks differ greatly from those of the flanged cone type QDisks. Time resolved PL was carried out to probe the differences in charge carrier dynamics. The results suggest that by constraining the formation of InGaN QDisks within the centre of the nanorod, carriers are restricted from migrating to the surface, decreasing the surface recombination at high carrier densities.

  11. Unstable behaviour of normally-off GaN E-HEMT under short-circuit

    Science.gov (United States)

    Martínez, P. J.; Maset, E.; Sanchis-Kilders, E.; Esteve, V.; Jordán, J.; Bta Ejea, J.; Ferreres, A.

    2018-04-01

    The short-circuit capability of power switching devices plays an important role in fault detection and the protection of power circuits. In this work, an experimental study on the short-circuit (SC) capability of commercial 600 V Gallium Nitride enhancement-mode high-electron-mobility transistors (E-HEMT) is presented. A different failure mechanism has been identified for commercial p-doped GaN gate (p-GaN) HEMT and metal-insulator-semiconductor (MIS) HEMT. In addition to the well known thermal breakdown, a premature breakdown is shown on both GaN HEMTs, triggered by hot electron trapping at the surface, which demonstrates that current commercial GaN HEMTs has requirements for improving their SC ruggedness.

  12. Enhanced Properties of Porous GaN Prepared by UV Assisted Electrochemical Etching

    International Nuclear Information System (INIS)

    Ainorkhilah Mahmood; Ainorkhilah Mahmood; Siang, C.L.

    2011-01-01

    The structural and optical properties of porous GaN films on sapphire (0001) prepared by UV assisted electrochemical etching were reported in this study. SEM micrographs indicated that the shapes of the pores for both porous samples are nearly hexagonal. XRD revealed that the broadening in spectrum is due to the small size crystallites. As compared to the as grown GaN films, porous layers exhibit a substantial photoluminescence (PL) intensity enhancement with red-shifted band-edge PL peaks associated with the relaxation of compressive stress. The shift of E2(high) to the lower frequency in Raman spectra of the porous GaN films further confirms such a stress relaxation. (author)

  13. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    Science.gov (United States)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  14. Pt-decorated GaN nanowires with significant improvement in H2 gas-sensing performance at room temperature.

    Science.gov (United States)

    Abdullah, Q N; Yam, F K; Hassan, Z; Bououdina, M

    2015-12-15

    Superior sensitivity towards H2 gas was successfully achieved with Pt-decorated GaN nanowires (NWs) gas sensor. GaN NWs were fabricated via chemical vapor deposition (CVD) route. Morphology (field emission scanning electron microscopy and transmission electron microscopy) and crystal structure (high resolution X-ray diffraction) characterizations of the as-synthesized nanostructures demonstrated the formation of GaN NWs having a wurtzite structure, zigzaged shape and an average diameter of 30-166nm. The Pt-decorated GaN NWs sensor shows a high response of 250-2650% upon exposure to H2 gas concentration from 7 to 1000ppm respectively at room temperature (RT), and then increases to about 650-4100% when increasing the operating temperature up to 75°C. The gas-sensing measurements indicated that the Pt-decorated GaN NWs based sensor exhibited efficient detection of H2 at low concentration with excellent sensitivity, repeatability, and free hysteresis phenomena over a period of time of 100min. The large surface-to-volume ratio of GaN NWs and the catalytic activity of Pt metal are the most influential factors leading to the enhancement of H2 gas-sensing performances through the improvement of the interaction between the target molecules (H2) and the sensing NWs surface. The attractive low-cost, low power consumption and high-performance of the resultant decorated GaN NWs gas sensor assure their uppermost potential for H2 gas sensor working at low operating temperature. Copyright © 2015 Elsevier Inc. All rights reserved.

  15. Epitaxial condition and polarity in GaN grown on a HfN-buffered Si(111) wafer

    Science.gov (United States)

    Xu, X.; Armitage, R.; Shinkai, Satoko; Sasaki, Katsutaka; Kisielowski, C.; Weber, E. R.

    2005-05-01

    Single-crystal GaN thin films have been deposited epitaxially on a HfN-buffered Si(111) substrates by molecular-beam epitaxy. The microstructural and compositional characteristics of the films were studied in detail by transmission electron microscopy (TEMs). Cross-sectional TEM investigations have revealed the crystallographic orientation relationship in different GaN /HfN/Si layers. GaN film polarity is studied by conventional TEM and convergent beam electron diffraction simulations, and the results show that the GaN film has a Ga polarity with relatively high density of inversion domains. Based on our observations, growth mechanisms related to the structural properties are discussed.

  16. Electron beam irradiation effect on GaN HEMT

    International Nuclear Information System (INIS)

    Lou Yinhong; Guo Hongxia; Zhang Keying; Wang Yuanming; Zhang Fengqi

    2011-01-01

    In this work, GaN HEMTs (High Electron Mobility Transistor) were irradiated by 0.8 and 1.2 MeV electron beams, and the irradiation effects were investigated. The results show that the device damage caused by 0.8 MeV electrons is more serious than that by 1.2 MeV electrons. Saturation drain current increase and threshold voltage negative shift are due to trapped positive charge from ionization in the AlGaN layer and N, Ga vacancy from non-ionizing energy loss in the GaN layer. Electron traps and trapped positive charges from non-ionizing in the AlGaN layer act as trap-assisted-tunneling centers that increase the gate leakage current.(authors)

  17. Ab initio investigations of the strontium gallium nitride ternaries Sr 3GaN3 and Sr6GaN5: Promising materials for optoelectronic

    KAUST Repository

    Goumri-Said, Souraya

    2013-05-31

    Sr3GaN3 and Sr6GaN5 could be promising potential materials for applications in the microelectronics, optoelectronics and coating materials areas of research. We studied in detail their structural, elastic, electronic, optical as well as the vibrational properties, by means of density functional theory framework. Both of these ternaries are semiconductors, where Sr3GaN3 exhibits a small indirect gap whereas Sr6GaN5 has a large direct gap. Indeed, their optical properties are reported for radiation up to 40 eV. Charge densities contours, Hirshfeld and Mulliken populations, are reported to investigate the role of each element in the bonding. From the mechanical properties calculation, it is found that Sr6GaN5 is harder than Sr3GaN3, and the latter is more anisotropic than the former. The phonon dispersion relation, density of phonon states and the vibrational stability are reported from the density functional perturbation theory calculations. © 2013 IOP Publishing Ltd.

  18. X-parameter Based GaN Device Modeling and its Application to a High-efficiency PA Design

    DEFF Research Database (Denmark)

    Wang, Yelin; Nielsen, Troels Studsgaard; Jensen, Ole Kiel

    2014-01-01

    X-parameters are supersets of S-parameters and applicable to both linear and nonlinear system modeling. In this paper, a packaged 6 W Gallium Nitride (GaN) RF power transistor is modeled using load-dependent X-parameters by simulations. During the device characterization the load impedance is tuned...... to decrease the complexity of a harmonic load-pull measurement setup. A high-efficiency 2 GHz power amplifier is also designed for further validation of the concept....

  19. Optical and field emission properties of layer-structure GaN nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Zhen [Science School, Xi’an University of Technology, Xi’an 710048 (China); School of automation and Information Engineering, Xi’an University of Technology, Xi’an 710048 (China); Li, Enling, E-mail: Lienling@xaut.edu.cn [Science School, Xi’an University of Technology, Xi’an 710048 (China); Shi, Wei; Ma, Deming [Science School, Xi’an University of Technology, Xi’an 710048 (China)

    2014-08-15

    Highlights: • The layer-structure GaN nanowires with hexagonal-shaped cross-sections are produced via a process based on the CVD method. • The diameter of the layer-structure GaN nanowire gradually decreases from ∼500 nm to ∼200 nm along the wire axis. • The layer-structure GaN nanowire film possesses good field emission property. - Abstract: A layer-structure gallium nitride (GaN) nanowires, grown on Pt-coated n-type Si (1 1 1) substrate, have been synthesized using chemical vapor deposition (CVD). The results show: (1) SEM indicates that the geometry structure is layer-structure. HRTEM indicates that GaN nanowire’s preferential growth direction is along [0 0 1] direction. (2) The room temperature PL emission spectrum of the layer-structure GaN nanowires has a peak at 375 nm, which proves that GaN nanowires have potential application in light-emitting nano-devices. (3) Field-emission measurements show that the layer-structure GaN nanowires film has a low turn-on field of 4.39 V/μm (at room temperature), which is sufficient for electron emission devices, field emission displays and vacuum nano-electronic devices. The growth mechanism for GaN nanowires has also been discussed briefly.

  20. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    International Nuclear Information System (INIS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-01-01

    The free hole carriers in GaN have been limited to concentrations in the low 10 18 cm -3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ∼10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ∼1.5x10 19 cm -3

  1. Electronic structure and optical properties of Al and Mg co-doped GaN

    International Nuclear Information System (INIS)

    Ji Yan-Jun; Du Yu-Jie; Wang Mei-Shan

    2013-01-01

    The electronic structure and optical properties of Al and Mg co-doped GaN are calculated from first principles using density function theory with the plane-wave ultrasoft pseudopotential method. The results show that the optimal form of p-type GaN is obtained with an appropriate Al:Mg co-doping ratio rather than with only Mg doping. Al doping weakens the interaction between Ga and N, resulting in the Ga 4s states moving to a high energy region and the system band gap widening. The optical properties of the co-doped system are calculated and compared with those of undoped GaN. The dielectric function of the co-doped system is anisotropic in the low energy region. The static refractive index and reflectivity increase, and absorption coefficient decreases. This provides the theoretical foundation for the design and application of Al—Mg co-doped GaN photoelectric materials

  2. Structural and luminescence properties of GaN nanowires grown using cobalt phthalocyanine as catalyst

    Science.gov (United States)

    Yadav, Shivesh; Rodríguez-Fernández, Carlos; de Lima, Mauricio M.; Cantarero, Andres; Dhar, Subhabrata

    2015-12-01

    Catalyst free methods have usually been employed to avoid any catalyst induced contamination for the synthesis of GaN nanowires with better transport and optical properties. Here, we have used a catalytic route to grow GaN nanowires, which show good optical quality. Structural and luminescence properties of GaN nanowires grown by vapor-liquid-solid technique using cobalt phthalocyanine as catalyst are systematically investigated as a function of various growth parameters such as the growth temperature and III/V ratio. The study reveals that most of the nanowires, which are several tens of microns long, grow along [ 10 1 ¯ 0 ] direction. Interestingly, the average wire diameter has been found to decrease with the increase in III/V ratio. It has also been observed that in these samples, defect related broad luminescence features, which are often present in GaN, are completely suppressed. At all temperatures, photoluminescence spectrum is found to be dominated only by a band edge feature, which comprises of free and bound excitonic transitions. Our study furthermore reveals that the bound excitonic feature is associated with excitons trapped in certain deep level defects, which result from the deficiency of nitrogen during growth. This transition has a strong coupling with the localized vibrational modes of the defects.

  3. Low loss GaN waveguides at the visible spectral wavelengths for integrated photonics applications.

    Science.gov (United States)

    Chen, Hong; Fu, Houqiang; Huang, Xuanqi; Zhang, Xiaodong; Yang, Tsung-Han; Montes, Jossue A; Baranowski, Izak; Zhao, Yuji

    2017-12-11

    We perform comprehensive studies on the fundamental loss mechanisms in III-nitride waveguides in the visible spectral region. Theoretical analysis shows that free carrier loss dominates for GaN under low photon power injection. When optical power increases, the two photon absorption loss becomes important and eventually dominates when photon energy above half-bandgap of GaN. When the dimensions of the waveguides reduce, the sidewall scattering loss will start to dominate. To verify the theoretical results, a high performance GaN-on-sapphire waveguide was fabricated and characterized. Experimental results are consistent with the theoretical findings, showing that under high power injection the optical loss changed significantly for GaN waveguides. A low optical loss ~2 dB/cm was achieved on the GaN waveguide, which is the lowest value ever reported for the visible spectral range. The results and fabrication processes developed in this work pave the way for the development of III-nitride integrated photonics in the visible and potentially ultraviolet spectral range for nonlinear optics and quantum photonics applications.

  4. X-ray absorption near-edge structure of GaN with high Mn concentration grown on SiC

    Energy Technology Data Exchange (ETDEWEB)

    Sancho-Juan, O; Cantarero, A; Garro, N; Cros, A [Materials Science Institute, University of Valencia, PO Box 22085, E46071 Valencia (Spain); Martinez-Criado, G; Salome, M; Susini, J [European Synchrotron Radiation Facility, 6 rue Jules Horowitz, 38043 Grenoble (France); Olguin, D [Dept. de Fisica, CINVESTAV-IPN, 07300 Mexico D F (Mexico); Dhar, S [Experimentalphysik, Universitaet Duisburg-Essen, Lotharstrasse 1, 47057 Duisburg (Germany)

    2009-07-22

    By means of x-ray absorption near-edge structure (XANES) several Ga{sub 1-x}Mn{sub x}N (0.03GaN samples consisted of different epilayers grown by molecular beam epitaxy on [0001] SiC substrates. The low mismatch between GaN and SiC allows for a good quality and homogeneity of the material. The measurements were performed in fluorescence mode around both the Ga and Mn K edges. All samples studied present a similar Mn ionization state, very close to 2+, and tetrahedral coordination. In order to interpret the near-edge structure, we have performed ab initio calculations using the full potential linear augmented plane wave method as implemented in the Wien2k code. The calculations show the appearance of a Mn bonding t{sub 2}arrow up band localized in the gap region, and the corresponding anti-bonding state t{sub 2}arrow down, which seem to be responsible for the double structure which appears at the pre-edge absorption region. The shoulders and main absorption peak of the XANES spectra are attributed to transitions from the Mn(1s) band to the conduction bands, which are partially dipole allowed because of the Mn(4p) contribution to these bands.

  5. Effects of Thickness of a Low-Temperature Buffer and Impurity Incorporation on the Characteristics of Nitrogen-polar GaN.

    Science.gov (United States)

    Yang, Fann-Wei; Chen, Yu-Yu; Feng, Shih-Wei; Sun, Qian; Han, Jung

    2016-12-01

    In this study, effects of the thickness of a low temperature (LT) buffer and impurity incorporation on the characteristics of Nitrogen (N)-polar GaN are investigated. By using either a nitridation or thermal annealing step before the deposition of a LT buffer, three N-polar GaN samples with different thicknesses of LT buffer and different impurity incorporations are prepared. It is found that the sample with the thinnest LT buffer and a nitridation step proves to be the best in terms of a fewer impurity incorporations, strong PL intensity, fast mobility, small biaxial strain, and smooth surface. As the temperature increases at ~10 K, the apparent donor-acceptor-pair band is responsible for the decreasing integral intensity of the band-to-band emission peak. In addition, the thermal annealing of the sapphire substrates may cause more impurity incorporation around the HT-GaN/LT-GaN/sapphire interfacial regions, which in turn may result in a lower carrier mobility, larger biaxial strain, larger bandgap shift, and stronger yellow luminescence. By using a nitridation step, both a thinner LT buffer and less impurity incorporation are beneficial to obtaining a high quality N-polar GaN.

  6. The origins and properties of intrinsic nonradiative recombination centers in wide bandgap GaN and AlGaN

    Science.gov (United States)

    Chichibu, S. F.; Uedono, A.; Kojima, K.; Ikeda, H.; Fujito, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.

    2018-04-01

    The nonradiative lifetime (τNR) of the near-band-edge emission in various quality GaN samples is compared with the results of positron annihilation measurement, in order to identify the origin and to determine the capture-cross-section of the major intrinsic nonradiative recombination centers (NRCs). The room-temperature τNR of various n-type GaN samples increased with decreasing the concentration of divacancies composed of a Ga vacancy (VGa) and a N vacancy (VN), namely, VGaVN. The τNR value also increased with increasing the diffusion length of positrons, which is almost proportional to the inverse third root of the gross concentration of all point defects. The results indicate that major intrinsic NRC in n-type GaN is VGaVN. From the relationship between its concentration and τNR, its hole capture-cross-section is estimated to be about 7 × 10-14 cm2. Different from the case of 4H-SiC, the major NRCs in p-type and n-type GaN are different: the major NRCs in Mg-doped p-type GaN epilayers are assigned to multiple vacancies containing a VGa and two (or three) VNs, namely, VGa(VN)n (n = 2 or 3). The ion-implanted Mg-doped GaN films are found to contain larger size vacancy complexes such as (VGa)3(VN)3. In analogy with GaN, major NRCs in Al0.6Ga0.4N alloys are assigned to vacancy complexes containing an Al vacancy or a VGa.

  7. X-ray determination of strain in ion implanted GaN

    International Nuclear Information System (INIS)

    Qadri, S.B.; Molnar, B.; Yousuf, M.; Carosella, C.A.

    2002-01-01

    The out-of-plane c, and in-plane a, lattice parameters of wurtzite gallium nitride (GaN) films, grown on the [0 0 0 1] basal plane of sapphire have been determined and the impact of ion implantation having dose between 5x10 13 and 5x10 15 cm -2 investigated. The thickness of the GaN layers was in the 1-3.5 μm range. The overall effect of the (0 0 0 1) GaN growth on (0 0 0 1) sapphire is biaxial compression in wurtzite α-GaN. Earlier X-ray studies have indicated that the films of GaN grow either purely in α-GaN phase or in α-GaN phase with a cubic β-GaN component. In contrast, our high-resolution X-ray diffraction (XRD) measurement revealed two isostructural polymorphs of α-GaN phases having different lattice parameters. Influence of ion implantation is to increase the values of lattice parameters a and c and could be rationalized in terms of an increase in the defects. At doses above 5x10 15 cm -2 , XRD analysis indicates the existence of an amorphous layer preventing the determination of a and c accurately

  8. Efficient photoelectrochemical water splitting by a doping-controlled GaN photoanode coated with NiO cocatalyst

    International Nuclear Information System (INIS)

    Kang, Jin-Ho; Kim, Soo Hee; Ebaid, Mohamed; Lee, June Key; Ryu, Sang-Wan

    2014-01-01

    Efficient photoelectrochemical (PEC) water splitting was demonstrated by a doping-controlled GaN photoanode coated with NiO cocatalyst. Highly doped n-GaN was sandwiched between undoped GaN layers to effectively collect electrons through ohmic contact. With zero external bias, the photocurrent density of the optimized doping profile was ∼3.5 times higher than that of the undoped GaN reference. However, the increased doping concentration degraded the photoanode stability, which was attributed to crystalline defects generated in the highly doped n-GaN. NiO cocatalyst improved the long-term stability of the photoanode because of GaN/NiO band alignment, enhancing hole transport into NiO and suppressing PEC corrosion mediated by hole crowding in GaN. This work established a design strategy for increasing the photocurrent as well as improving stability during water splitting with a GaN-based photoanode

  9. Synthesis of GaN Nanorods by a Solid-State Reaction

    Directory of Open Access Journals (Sweden)

    Keyan Bao

    2010-01-01

    Full Text Available An atom-economical and eco-friendly chemical synthetic route was developed to synthesize wurtzite GaN nanorods by the reaction of NaNH2 and the as-synthesized orthorhombic GaOOH nanorods in a stainless steel autoclave at 600∘C. The lengths of the GaN nanorods are in the range of 400–600 nm and the diameters are about 80–150 nm. The process of orthorhombic GaOOH nanorods transformation into wurtzite GaN nanorods was investigated by powder X-ray diffraction (XRD and field emission scanning electron microscope (FESEM, indicating that the GaN product retained essentially the same basic topological morphology in contrast to that of the GaOOH precursor. It was found that rhombohedral Ga2O3 was the intermediate between the starting orthorhombic GaOOH precursor and the final wurtzite GaN product. The photoluminescence measurements reveal that the as-prepared wurtzite GaN nanorods showed strong blue emission.

  10. Synthesis and cathodoluminescence of Sb/P co-doped GaN nanowires

    International Nuclear Information System (INIS)

    Wang, Zaien; Liu, Baodan; Yuan, Fang; Hu, Tao; Zhang, Guifeng; Dierre, Benjamin; Hirosaki, Naoto; Sekiguchi, Takashi; Jiang, Xin

    2014-01-01

    Sb/P co-doped Gallium Nitride (GaN) nanowires were synthesized via a simple chemical vapor deposition (CVD) process by heating Ga 2 O 3 and Sb powders in NH 3 atmosphere. Scanning electron microscope (SEM), X-ray diffraction (XRD), transmission electron microscope (TEM) and energy dispersive X-ray spectroscopy (EDS) measurements confirmed the as-synthesized products were Sb/P co-doped GaN nanowires with rough morphology and hexagonal wurtzite structure. Room temperature cathodoluminescence (CL) demonstrated that an obvious band shift of GaN nanowires can be observed due to Sb/P co-doping. Possible explanation for the growth and luminescence mechanism of Sb/P co-doped GaN nanowires was discussed. Highlight: • Sb/P co-doped GaN nanowires were synthesized through a well-designed multi-channel chemical vapor deposition (CVD) process. • Sb/P co-doping leads to the crystallinity deterioration of GaN nanowires. • Sb/P co-doping caused the red-shift of GaN nanowires band-gap in UV range. • Compared with Sb doping, P atoms are more easy to incorporate into the GaN lattice

  11. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    Science.gov (United States)

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  12. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    Science.gov (United States)

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  13. Optical properties of Mg doped p-type GaN nanowires

    Science.gov (United States)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  14. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  15. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    Science.gov (United States)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  16. Impact of the AlN seeding layer thickness on GaN orientation on high index Si-substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Veit, Peter; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg (Germany). FNW/IEP/AHE

    2010-07-01

    Silicon is considered to be a reasonable alternative to substrates such as sapphire and SiC, because of its low price and availability in large diameters. Because of spontaneous and strain induced piezoelectric polarization field along the c-axis, leading to the separation of electrons and holes in quantum wells reducing the recombination efficiency, c-axis oriented GaN-based light emitters have a low efficiency, especially in the longer wavelength region. In order to reduce or eliminate these polarization effects, semi-polar or non-polar GaN-heterostructure is favored. In this work we investigated the growth of GaN applying a low temperature AlN seeding layer with various thicknesses. The impact of the AlN seeding layer on GaN orientation using different Si substrate orientations (e. g. (211), (711), (410), (100)+4.5 off) were investigated by x-ray diffraction measurements in Bragg-Brentano geometry and X-ray pole figure measurements. We found that the thickness of the AlN seeding layer plays a significant role in obtaining different GaN textures. Applying a about 4 nm AlN seeding layer we achieved a single crystalline GaN epilayer on Si (211) with a 18 tilted c-axis orientation. Some of the samples were characterized by scanning electron microscopy and transmission electron microscopy.

  17. Rode's iterative calculation of surface optical phonon scattering limited electron mobility in N-polar GaN devices

    International Nuclear Information System (INIS)

    Ghosh, Krishnendu; Singisetti, Uttam

    2015-01-01

    N-polar GaN channel mobility is important for high frequency device applications. Here, we report theoretical calculations on the surface optical (SO) phonon scattering rate of two-dimensional electron gas (2DEG) in N-polar GaN quantum well channels with high-k dielectrics. Rode's iterative calculation is used to predict the scattering rate and mobility. Coupling of the GaN plasmon modes with the SO modes is taken into account and dynamic screening is employed under linear polarization response. The effect of SO phonons on 2DEG mobility was found to be small at >5 nm channel thickness. However, the SO mobility in 3 nm N-polar GaN channels with HfO 2 and ZrO 2 high-k dielectrics is low and limits the total mobility. The SO scattering for SiN dielectric on GaN was found to be negligible due to its high SO phonon energy. Using Al 2 O 3 , the SO phonon scattering does not affect mobility significantly only except the case when the channel is too thin with a low 2DEG density

  18. Free-standing GaN grating couplers and rib waveguide for planar photonics at telecommunication wavelength

    Science.gov (United States)

    Liu, Qifa; Wang, Wei

    2018-01-01

    Gallium Nitride (GaN) free-standing planar photonic device at telecommunication wavelength based on GaN-on-silicon platform was presented. The free-standing structure was realized by particular double-side fabrication process, which combining GaN front patterning, Si substrate back releasing and GaN slab etching. The actual device parameters were identified via the physical characterizations employing scanning electron microscope (SEM), atomic force microscope (AFM) and reflectance spectra testing. High coupling efficiency and good light confinement properties of the gratings and rib waveguide at telecommunication wavelength range were verified by finite element method (FEM) simulation. This work illustrates the potential of new GaN photonic structure which will enable new functions for planar photonics in communication and sensing applications, and is favorable for the realization of integrated optical circuit.

  19. Implantation induced electrical isolation of sulphur doped GaN xAs1-x layers

    International Nuclear Information System (INIS)

    Ahmed, S.; Lin, J.; Haq, A.; Sealy, B.

    2005-01-01

    The study of III-N-V semiconductor alloys, especially GaN x As 1-x has been increasing in the last few years. The strong dependence of the band gap on the nitrogen content has made this material important for a variety of applications, including long wavelength optoelectronic devices and high efficiency solar cells. We report on the effects of sulphur doping implants on the achieved electrical isolation in GaN x As 1-x layers using proton bombardment. Sulphur ions were implanted in MOCVD-grown GaN x As 1-x layers (1.4 μm thick with nominal x = 1%) with multiple energies creating approximately uniform doping profiles in the range of about 1 x 10 18 -5 x 10 19 cm -3 . Several proton implants were performed in order to find the threshold dose (minimum dose to achieve maximum sheet resistivity) for the electrical isolation of n-type GaN x As 1-x layers. Results show that the sheet resistance of n-type layers can be increased by about five orders of magnitude by proton implantation and the threshold dose to convert a conductive layer to a highly resistive one depends on the original free carrier concentration. The study of annealing temperature dependence of sheet resistivity in proton-isolated GaN x As 1-x layers shows that the electrical isolation can be preserved up to 450 and 500 deg. C when the implantation is performed at RT and 77 K with threshold dose, respectively. These results for n-type GaN x As 1-x layers are novel and have ramifications for device engineers

  20. Synthesis and characteristics of sword-like GaN nanorods clusters through ammoniating Ga2O3 thin films

    International Nuclear Information System (INIS)

    Xue Chengshane; Tian Deheng; Zhuang Huizhao; Zhang Xiaokai; Wu Yuxin; Liu Yi'an; He Jianting; Ai Yujie

    2006-01-01

    Sword-like GaN nanorods have been successfully synthesized by ammoniating Ga 2 O 3 thin films deposited on Si substrate by magnetron sputtering. The GaN nanorods have been characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM) and selected area electron diffraction (SAED). SEM images show that sword-like GaN nanorods take on radial structure. The XRD and SAED analyses have identified that the nanorods are pure hexagonal GaN with single crystalline wurtzite structure. The HRTEM images indicate that the nanorods are well crystallized and nearly free from defects

  1. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  2. Thermoelastic Stress Field Investigation of GaN Material for Laser Lift-off Technique based on Finite Element Method

    International Nuclear Information System (INIS)

    Ting, Wang; Zhan-Zhong, Cui; Li-Xin, Xu

    2009-01-01

    The transient thermoelastic stress fields of GaN films is analyzed by the finite element method for the laser lift-off (LLO) technique. Stress distributions in GaN films irradiated by pulse laser with different energy densities as functions of time and depth are simulated. The results show that the high thermoelastic stress distributions in GaN films localize within about 1 μm below the GaN/Al 2 O 3 interface using proper laser parameters. It is also found that GaN films can avoid the thermal deformation because the maximum thermoelastic stress 4.28 GPa is much smaller than the yield strength of GaN 15GPa. The effects of laser beam dimension and the thickness of GaN films on stress distribution are also analyzed. The variation range of laser beam dimension as a function of the thickness of GaN films is simulated to keep the GaN films free of thermal deformation. LLO experiments are also carried out. GaN-based light-emitting diodes (LEDs) are separated from sapphire substrates using the parameters obtained from the simulation. Compared with devices before LLO, P–I–V measurements of GaN-based LEDs after LLO show that the electrical and optical characteristics improve greatly, indicating that no stress damage is brought to GaN films using proper parameters obtained by calculation during LLO

  3. Probing exciton density of states through phonon-assisted emission in GaN epilayers: A and B exciton contributions

    Science.gov (United States)

    Cavigli, Lucia; Gabrieli, Riccardo; Gurioli, Massimo; Bogani, Franco; Feltin, Eric; Carlin, Jean-François; Butté, Raphaël; Grandjean, Nicolas; Vinattieri, Anna

    2010-09-01

    A detailed experimental investigation of the phonon-assisted emission in a high-quality c -plane GaN epilayer is presented up to 200 K. By performing photoluminescence and reflectivity measurements, we find important etaloning effects in the phonon-replica spectra, which have to be corrected before addressing the lineshape analysis. Direct experimental evidence for free exciton thermalization is found for the whole temperature range investigated. A close comparison with existing models for phonon replicas originating from a thermalized free exciton distribution shows that the simplified and commonly adopted description of the exciton-phonon interaction with a single excitonic band leads to a large discrepancy with experimental data. Only the consideration of the complex nature of the excitonic band in GaN, including A and B exciton contributions, allows accounting for the temperature dependence of the peak energy, intensity, and lineshape of the phonon replicas.

  4. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  5. Gate less-FET pH Sensor Fabricated on Undoped AlGaN/ GaN HEMT Structure

    International Nuclear Information System (INIS)

    Maneea Eizadi Sharifabad; Mastura Shafinaz Zainal Abidin; Shaharin Fadzli Abd Rahman; Abdul Manaf Hashim; Abdul Rahim Abdul Rahman

    2011-01-01

    Gallium nitride with wurtzite crystal structure is a chemically stable semiconductor with high internal spontaneous and piezoelectric polarization, which make it highly suitable materials to create very sensitive and robust sensors for the detection of ions, gases and liquids. Sensing characteristics of an open-gate liquid-phase sensor fabricated on undoped-AlGaN/ GaN high-electron-mobility-transistor (HEMT) structure in aqueous solution was investigated. In ambient atmosphere, the open-gate undoped AlGaN/ GaN HEMT clearly showed only the presence of linear region of currents while Si-doped AlGaN/ GaN showed the linear and saturation regions of currents, very similar to those of gated devices. This seems to show that very low Fermi level pinning by surface states exists in undoped AlGaN/ GaN sample. In aqueous solution, the typical current-voltage (I-V) characteristics of HEMTs with good gate controllability were observed. The potential of the AlGaN surface at the open-gate area is effectively controlled via aqueous solution by Ag/ AgCl reference gate electrode. The open-gate undoped AlGaN/ GaN HEMT structure is capable of stable operation in aqueous electrolytes and exhibit linear sensitivity, and high sensitivity of 1.9 mA/ pH or 3.88 mA/ mm/ pH at drain-source voltage, VDS = 5 V was obtained. Due to large leakage current where it increases with the negative reference gate voltage, the Nernstians like sensitivity cannot be determined. Suppression of current leakage is likely to improve the device performance. The open-gate undoped-AlGaN/ GaN structure is expected to be suitable for pH sensing application. (author)

  6. Semipolar GaN grown on m-plane sapphire using MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University of Berlin (Germany)

    2008-07-01

    We have investigated the MOVPE growth of semipolar gallium nitride (GaN) films on (10 anti 1 0) m-plane sapphire substrates. Specular GaN films with a RMS roughness (10 x 10 {mu}m{sup 2}) of 15.2 nm were obtained and an arrowhead like structure aligned along[ anti 2 113] is prevailing. The orientation relationship was determined by XRD and yielded (212){sub GaN} parallel (10 anti 10){sub sapphire} and [anti 2113]{sub GaN} parallel [0001]{sub sapphire} as well as [anti 2113]{sub GaN} parallel [000 anti 1]{sub sapphire}. PL spectra exhibited near band edge emission accompanied by a strong basal plane stacking fault emission. In addition lower energy peaks attributed to prismatic plane stacking faults and donor acceptor pair emission appeared in the spectrum. With similar growth conditions also (1013) GaN films on m-plane sapphire were obtained. In the later case we found that the layer was twinned, crystallites with different c-axis orientation were present. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. From Stable ZnO and GaN Clusters to Novel Double Bubbles and Frameworks

    Directory of Open Access Journals (Sweden)

    Matthew R. Farrow

    2014-05-01

    Full Text Available A bottom up approach is employed in the design of novel materials: first, gas-phase “double bubble” clusters are constructed from high symmetry, Th, 24 and 96 atom, single bubbles of ZnO and GaN. These are used to construct bulk frameworks. Upon geometry optimization—minimisation of energies and forces computed using density functional theory—the symmetry of the double bubble clusters is reduced to either C1 or C2, and the average bond lengths for the outer bubbles are 1.9 Å, whereas the average bonds for the inner bubble are larger for ZnO than for GaN; 2.0 Å and 1.9 Å, respectively. A careful analysis of the bond distributions reveals that the inter-bubble bonds are bi-modal, and that there is a greater distortion for ZnO. Similar bond distributions are found for the corresponding frameworks. The distortion of the ZnO double bubble is found to be related to the increased flexibility of the outer bubble when composed of ZnO rather than GaN, which is reflected in their bulk moduli. The energetics suggest that (ZnO12@(GaN48 is more stable both in gas phase and bulk frameworks than (ZnO12@(ZnO48 and (GaN12@(GaN48. Formation enthalpies are similar to those found for carbon fullerenes.

  8. Electrical properties of cubic InN and GaN epitaxial layers as a function of temperature

    International Nuclear Information System (INIS)

    Fernandez, J.R.L.; Chitta, V.A.; Abramof, E.

    2000-01-01

    Carrier concentration and mobility were measured for intrinsic cubic InN and GaN, and for Si-doped cubic GaN as a function of temperature. Metallic n-type conductivity was found for the InN, while background p-type conductivity was observed for the intrinsic GaN layer. Doping the cubic GaN with Si two regimes were observed. For low Si-doping concentrations, the samples remain p-type. Increasing the Si-doping level, the background acceptors are compensated and the samples became highly degenerated n-type. From the carrier concentration dependence on temperature, the activation energy of the donor and acceptor levels was determined. Attempts were made to determine the scattering mechanisms responsible for the behavior of the mobility as a function of temperature

  9. Radiation sensors based on GaN microwires

    Science.gov (United States)

    Verheij, D.; Peres, M.; Cardoso, S.; Alves, L. C.; Alves, E.; Durand, C.; Eymery, J.; Lorenz, K.

    2018-05-01

    GaN microwires were shown to possess promising characteristics as building blocks for radiation resistant particle detectors. They were grown by metal organic vapour phase epitaxy with diameters between 1 and 2 μm and lengths around 20 μm. Devices were fabricated by depositing gold contacts at the extremities of the wires using photolithography. The response of these single wire radiation sensors was then studied under irradiation with 2 MeV protons. Severe degradation of the majority of devices only sets in for fluences above protons cm‑2 revealing good radiation resistance. During proton irradiation, a clear albeit small current gain was observed with a corresponding decay time below 1 s. Photoconductivity measurements upon irradiation with UV light were carried out before and after the proton irradiation. Despite a relatively low gain, attributed to significant dark currents caused by a high dopant concentration, fast response times of a few seconds were achieved comparable to state-of-the-art GaN nanowire photodetectors. Irradiation and subsequent annealing resulted in an overall improvement of the devices regarding their response to UV radiation. The photocurrent gain increased compared to the values that were obtained prior to the irradiation, without compromising the decay times. The results indicate the possibility of using GaN microwires not only as UV detectors, but also as particle detectors.

  10. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  11. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    International Nuclear Information System (INIS)

    Khromov, S.; Hemmingsson, C.; Monemar, B.; Hultman, L.; Pozina, G.

    2014-01-01

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10 16 cm −3 to 6 × 10 17 cm −3 . Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits, quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10 17 cm −3 is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission

  12. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  13. The effect of a slight mis-orientation angle of c-plane sapphire substrate on surface and crystal quality of MOCVD grown GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2004-09-01

    The effect of a slight mis-orientation of c-plane sapphire substrate on the surface morphology and crystal quality of GaN thin films grown by MOCVD has been investigated. The mis-orientation angle of vicinal c-plane sapphire substrate was changed within the range of 0.00(zero)-1.00(one) degree, and the experimental results were compared with those on just angle (zero degree) c-plane sapphire substrate. The surface morphology and crystal quality were found to be very sensitive to mis-orientation angle. Consequently, the mis-orientation angle was optimized to be 0.15 . (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C; Edwards, Paul R.; O'Donnell, Kevin Peter; Boćkowski, Michał X.; Alves, Eduardo Jorge; Roqan, Iman S.; Vantomme, André ; Lorenz, Katharina

    2014-01-01

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C

    2014-01-20

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Site controlled Red-Yellow-Green light emitting InGaN Quantum Discs on nano-tipped GaN rods

    KAUST Repository

    Conroy, Michele Ann; Li, Haoning; Kusch, Gunnar; Zhao, Chao; Ooi, Boon S.; Paul, Edwards; Martin, Robert; Holmes, Justin D.; Parbrook, Peter

    2016-01-01

    We report a method of growing site controlled InGaN multiple quantum discs (QDs) at uniform wafer scale on coalescence free ultra-high density (>80%) nanorod templates by metal organic chemical vapour deposition (MOCVD). The dislocation and coalescence free nature of the GaN space filling nanorod arrays eliminates the well-known emission problems seen in InGaN based visible light sources that these types of crystallographic defects cause. Correlative scanning transmission electron microscopy (STEM), energy-dispersive x-ray (EDX) mapping and cathodoluminescence (CL) hyperspectral imaging illustrates the controlled site selection of the red, yellow and green (RYG) emission at these nano tips. This article reveals that the nanorod tips’ broad emission in the RYG visible range is in fact achieved by manipulating the InGaN QD’s confinement dimensions, rather than significantly increasing the In%. This article details the easily controlled method of manipulating the QDs dimensions producing high crystal quality InGaN without complicated growth conditions needed for strain relaxation and alloy compositional changes seen for bulk planar GaN templates.

  17. Site controlled Red-Yellow-Green light emitting InGaN Quantum Discs on nano-tipped GaN rods

    KAUST Repository

    Conroy, Michele Ann

    2016-03-10

    We report a method of growing site controlled InGaN multiple quantum discs (QDs) at uniform wafer scale on coalescence free ultra-high density (>80%) nanorod templates by metal organic chemical vapour deposition (MOCVD). The dislocation and coalescence free nature of the GaN space filling nanorod arrays eliminates the well-known emission problems seen in InGaN based visible light sources that these types of crystallographic defects cause. Correlative scanning transmission electron microscopy (STEM), energy-dispersive x-ray (EDX) mapping and cathodoluminescence (CL) hyperspectral imaging illustrates the controlled site selection of the red, yellow and green (RYG) emission at these nano tips. This article reveals that the nanorod tips’ broad emission in the RYG visible range is in fact achieved by manipulating the InGaN QD’s confinement dimensions, rather than significantly increasing the In%. This article details the easily controlled method of manipulating the QDs dimensions producing high crystal quality InGaN without complicated growth conditions needed for strain relaxation and alloy compositional changes seen for bulk planar GaN templates.

  18. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals

    Science.gov (United States)

    Luan, Xinghe; Feng, Chuang; Yang, Daoguo; Zhang, Guoqi

    2017-01-01

    For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson’s ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and , respectively, while they are in the orientations and for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson’s ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson’s ratios at planes (100) and (111) are isotropic, while the Poisson’s ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol−1 K−1, respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a wider band gap

  19. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals

    Directory of Open Access Journals (Sweden)

    Hongbo Qin

    2017-12-01

    Full Text Available For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson’s ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and <111>, respectively, while they are in the orientations <111> and <100> for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson’s ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson’s ratios at planes (100 and (111 are isotropic, while the Poisson’s ratio at plane (110 exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol−1 K−1, respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger

  20. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals.

    Science.gov (United States)

    Qin, Hongbo; Luan, Xinghe; Feng, Chuang; Yang, Daoguo; Zhang, Guoqi

    2017-12-12

    For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson's ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and , respectively, while they are in the orientations and for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson's ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson's ratios at planes (100) and (111) are isotropic, while the Poisson's ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol -1 K -1 , respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a wider band gap. Densities of

  1. High resolution x-ray diffraction analyses of GaN/LiGaO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Matyi, R.J. [Department of Materials Science and Engineering University of Wisconsin, Madison, WI (United States); Doolittle, W.A.; Brown, A.S. [School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, GA (United States)

    1999-05-21

    Lithium gallate (LiGaO{sub 2}) is gaining increasing attention as a potential substrate for the growth of the important semiconductor GaN. In order to better understand this material we have performed high-resolution double- and triple-axis x-ray diffraction analyses of both the starting LiGaO{sub 2} and GaN/LiGaO{sub 2} following epitaxial growth. A high-resolution triple-axis reciprocal space map of the substrate showed a sharp, well-defined crystal truncation rod and a symmetric streak of intensity perpendicular to q{sub 002}, suggesting high structural quality with mosaic spread. Triple-axis scans following GaN growth showed (1) the development of isotropic diffuse scatter around the LiGaO{sub 2} (002) reflection, (2) the presence of a semi-continuous intensity streak between the LiGaO{sub 2} (002) and GaN (0002) reflections, and (3) a compact pattern of diffuse scatter around the GaN (0002) reflection that becomes increasingly anisotropic as the growth temperature is increased. These results suggest that LiGaO{sub 2} permits the epitaxial growth of GaN with structural quality that may be superior to that observed when growth is performed on SiC or Al{sub 2}O{sub 3}. (author)

  2. The role of alternating current in photo-assisted electrochemical porosification of GaN

    International Nuclear Information System (INIS)

    Ainorkhilah, Mahmood; Naser, M. Ahmed; Yushamdan, Yusof; Kwong, Yam Fong; Zainuriah, Hassan; Tiginyanu, Ion; Siang, Chuah Lee

    2013-01-01

    In this paper, we report the formation of porous GaN films under a novel alternating current (sine-wave a.c. (50 Hz)) photo-assisted electrochemical (ACPEC) etching conditions. The ACPEC formed porous GaN with excellent structural and surface morphology. Field emission scanning electron microscope (FESEM), atomic force microscopy (AFM) and high resolution X-ray diffraction (HR-XRD) phi-scan and rocking curves measurements evidenced important features of the pore morphology and nanostructures. According to the FESEM micrographs, the spatial nano architecture of the porous structures exhibits pores with perfect hexagonal shape. The AFM measurements revealed an increase in the surface roughness induced by porosification. X-ray diffraction phi-scan showed that porous GaN sample maintained the epitaxial. (authors)

  3. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    Science.gov (United States)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  4. Metal contacts on ZnSe and GaN

    Energy Technology Data Exchange (ETDEWEB)

    Duxstad, Kristin Joy [Univ. of California, Berkeley, CA (United States). Materials Science and Mineral Engineering

    1997-05-01

    Recently, considerable interest has been focused on the development of blue light emitting materials and devices. The focus has been on GaN and ZnSe, direct band gap semiconductors with bands gaps of 3.4 and 2.6 eV, respectively. To have efficient, reliable devices it is necessary to have thermally and electrically stable Ohmic contacts. This requires knowledge of the metal-semiconductor reaction behavior. To date few studies have investigated this behavior. Much information has accumulated over the years on the behavior of metals on Si and GaAs. This thesis provides new knowledge for the more ionic wide band gap semiconductors. The initial reaction temperatures, first phases formed, and phase stability of Pt, Pd, and Ni on both semiconductors were investigated. The reactions of these metals on ZnSe and GaN are discussed in detail and correlated with predicted behavior. In addition, comparisons are made between these highly ionic semiconductors and Si and GaAs. The trends observed here should also be applicable to other II-VI and III-Nitride semiconductor systems, while the information on phase formation and stability should be useful in the development of contacts for ZnSe and GaN devices.

  5. Nanoporous distributed Bragg reflectors on free-standing nonpolar m-plane GaN

    Science.gov (United States)

    Mishkat-Ul-Masabih, Saadat; Luk, Ting Shan; Rishinaramangalam, Ashwin; Monavarian, Morteza; Nami, Mohsen; Feezell, Daniel

    2018-01-01

    We report the fabrication of m-plane nanoporous distributed Bragg reflectors (DBRs) on free-standing GaN substrates. The DBRs consist of 15 pairs of alternating undoped and highly doped n-type ([Si] = ˜3.7 × 1019 cm-3) GaN. Electrochemical (EC) etching was performed to convert the highly doped regions into a porous material, consequently reducing the effective refractive index of the layers. We demonstrate a DBR with peak reflectance greater than 98% at 450 nm with a stopband width of ˜72 nm. The polarization ratio of an incident polarized light source remains identical after reflection from the DBR, verifying that there is no drop in the polarization ratio due to the interfaces between the porous layers. We also quantify the porosity under various EC bias conditions for layers with different doping concentrations. The bias voltage controls the average pore diameter, while the pore density is primarily determined by the doping concentration. The results show that nanoporous DBRs on nonpolar free-standing GaN are promising candidates for high-reflectance, lattice-matched DBR mirrors for GaN-based resonant cavity devices.

  6. Impact of defects on the electrical transport, optical properties and failure mechanisms of GaN nanowires.

    Energy Technology Data Exchange (ETDEWEB)

    Armstrong, Andrew M.; Aubry, Sylvie; Shaner, Eric Arthur; Siegal, Michael P.; Li, Qiming; Jones, Reese E.; Westover, Tyler; Wang, George T.; Zhou, Xiao Wang; Talin, Albert Alec; Bogart, Katherine Huderle Andersen; Harris, C. Thomas; Huang, Jian Yu

    2010-09-01

    We present the results of a three year LDRD project that focused on understanding the impact of defects on the electrical, optical and thermal properties of GaN-based nanowires (NWs). We describe the development and application of a host of experimental techniques to quantify and understand the physics of defects and thermal transport in GaN NWs. We also present the development of analytical models and computational studies of thermal conductivity in GaN NWs. Finally, we present an atomistic model for GaN NW electrical breakdown supported with experimental evidence. GaN-based nanowires are attractive for applications requiring compact, high-current density devices such as ultraviolet laser arrays. Understanding GaN nanowire failure at high-current density is crucial to developing nanowire (NW) devices. Nanowire device failure is likely more complex than thin film due to the prominence of surface effects and enhanced interaction among point defects. Understanding the impact of surfaces and point defects on nanowire thermal and electrical transport is the first step toward rational control and mitigation of device failure mechanisms. However, investigating defects in GaN NWs is extremely challenging because conventional defect spectroscopy techniques are unsuitable for wide-bandgap nanostructures. To understand NW breakdown, the influence of pre-existing and emergent defects during high current stress on NW properties will be investigated. Acute sensitivity of NW thermal conductivity to point-defect density is expected due to the lack of threading dislocation (TD) gettering sites, and enhanced phonon-surface scattering further inhibits thermal transport. Excess defect creation during Joule heating could further degrade thermal conductivity, producing a viscous cycle culminating in catastrophic breakdown. To investigate these issues, a unique combination of electron microscopy, scanning luminescence and photoconductivity implemented at the nanoscale will be used in

  7. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    Science.gov (United States)

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  8. RenderGAN: Generating Realistic Labeled Data

    Directory of Open Access Journals (Sweden)

    Leon Sixt

    2018-06-01

    Full Text Available Deep Convolutional Neuronal Networks (DCNNs are showing remarkable performance on many computer vision tasks. Due to their large parameter space, they require many labeled samples when trained in a supervised setting. The costs of annotating data manually can render the use of DCNNs infeasible. We present a novel framework called RenderGAN that can generate large amounts of realistic, labeled images by combining a 3D model and the Generative Adversarial Network framework. In our approach, image augmentations (e.g., lighting, background, and detail are learned from unlabeled data such that the generated images are strikingly realistic while preserving the labels known from the 3D model. We apply the RenderGAN framework to generate images of barcode-like markers that are attached to honeybees. Training a DCNN on data generated by the RenderGAN yields considerably better performance than training it on various baselines.

  9. Surface chemistry and electronic structure of nonpolar and polar GaN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, T.C. Shibin; Aggarwal, Neha; Gupta, Govind, E-mail: govind@nplindia.org

    2015-08-01

    Highlights: • Surface chemistry and electronic structure of polar and nonpolar GaN is reported. • Influence of polarization on electron affinity of p & np GaN films is investigated. • Correlation between surface morphology and polarity has been deduced. - Abstract: Photoemission and microscopic analysis of nonpolar (a-GaN/r-Sapphire) and polar (c-GaN/c-Sapphire) epitaxial gallium nitride (GaN) films grown via RF-Molecular Beam Epitaxy is reported. The effect of polarization on surface properties like surface states, electronic structure, chemical bonding and morphology has been investigated and correlated. It was observed that polarization lead to shifts in core level (CL) as well as valence band (VB) spectra. Angle dependent X-ray Photoelectron Spectroscopic analysis revealed higher surface oxide in polar GaN film compared to nonpolar GaN film. On varying the take off angle (TOA) from 0° to 60°, the Ga−O/Ga−N ratio varied from 0.11–0.23 for nonpolar and 0.17–0.36 for polar GaN film. The nonpolar film exhibited N-face polarity while Ga-face polarity was perceived in polar GaN film due to the inherent polarization effect. Polarization charge compensated surface states were observed on the polar GaN film and resulted in downward band bending. Ultraviolet photoelectron spectroscopic measurements revealed electron affinity and ionization energy of 3.4 ± 0.1 eV and 6.8 ± 0.1 eV for nonpolar GaN film and 3.8 ± 0.1 eV and 7.2 ± 0.1 eV for polar GaN film respectively. Field Emission Scanning Electron Microscopy measurements divulged smooth morphology with pits on polar GaN film. The nonpolar film on the other hand showed pyramidal structures having facets all over the surface.

  10. GaN MOSFET with Boron Trichloride-Based Dry Recess Process

    International Nuclear Information System (INIS)

    Jiang, Y; Wang, Q P; Tamai, K; Ao, J P; Ohno, Y; Miyashita, T; Motoyama, S; Wang, D J

    2013-01-01

    The dry recessed-gate GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure using boron trichloride (BCl 3 ) as etching gas were fabricated and characterized. Etching with different etching power was conducted. Devices with silicon tetrachloride (SiCl 4 ) etching gas were also prepared for comparison. Field-effect mobility and interface state density were extracted from current-voltage (I-V) characteristics. GaN MOSFETs on AlGaN/GaN heterostructure with BCl 3 based dry recess achieved a high maximum electron mobility of 141.5 cm 2 V −1 s −1 and a low interface state density.

  11. Influence of substrate quality on structural properties of AlGaN/GaN superlattices grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, F. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); Merkel, U.; Schmult, S. [TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany); Mikolajick, T. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany)

    2014-02-28

    Short-period AlGaN/GaN superlattices were established as versatile test structures to investigate the structural properties of molecular beam epitaxy (MBE)-grown GaN and AlGaN layers and their dependence on the GaN substrate quality. X-ray diffractometry data of the investigated superlattices allow access to relevant structural parameters such as aluminum mole fraction and layer thicknesses. The occurrence of theoretically predicted intense high-order satellite peaks and pronounced interface fringes in the diffraction pattern reflects abrupt interfaces and perfect 2-dimensional growth resulting in smooth surfaces. The data unambiguously demonstrate that the structural quality of the MBE grown layers is limited by the structural properties of the GaN substrate.

  12. Investigations on 40 MeV Li3+ ions irradiated GaN epilayers

    International Nuclear Information System (INIS)

    Suresh Kumar, V.; Kumar, J.; Kanjilal, D.; Asokan, K.; Mohanty, T.; Tripathi, A.; Rossi, Francisca; Zappettini, A.; Lazzarani, L.; Ferrari, C.

    2008-01-01

    The Metal Organic Chemical Vapour Deposition (MOCVD) grown n-type Gallium nitride (GaN) layers on sapphire (0 0 0 1) substrates have been irradiated at low and room temperatures with 40 MeV Li 3+ ions at the fluence of 1 x 10 13 ions cm -2 . Irradiated samples were characterised by using X-ray diffraction (XRD), photoluminescence (PL), Raman spectroscopy and atomic force microscopy (AFM). XRD results show that the formation of Ga 2 O 3 has been observed upon irradiation. This is due to interface mixing of GaN/Al 2 O 3 , at both temperatures. Also the GaN (0 0 0 2) peak splits into two at low temperature irradiation. PL measurements show a yellow emission band shift towards blue band side upon irradiation at 77 K. Raman studies indicate that the lattice disorder is high at room temperature irradiation compared to low temperature irradiation. AFM images indicate the increasing surface roughness after ion irradiation at room temperature when compared to pristine GaN and low temperature irradiated GaN. These observations are discussed in detail with the use of complementary techniques

  13. GaN CVD Reactions: Hydrogen and Ammonia Decomposition and the Desorption of Gallium

    International Nuclear Information System (INIS)

    Bartram, Michael E.; Creighton, J. Randall

    1999-01-01

    Isotopic labeling experiments have revealed correlations between hydrogen reactions, Ga desorption, and ammonia decomposition in GaN CVD. Low energy electron diffraction (LEED) and temperature programmed desorption (TPD) were used to demonstrate that hydrogen atoms are available on the surface for reaction after exposing GaN(0001) to deuterium at elevated temperatures. Hydrogen reactions also lowered the temperature for Ga desorption significantly. Ammonia did not decompose on the surface before hydrogen exposure. However, after hydrogen reactions altered the surface, N 15 H 3 did undergo both reversible and irreversible decomposition. This also resulted in the desorption of N 2 of mixed isotopes below the onset of GaN sublimation, This suggests that the driving force of the high nitrogen-nitrogen bond strength (226 kcal/mol) can lead to the removal of nitrogen from the substrate when the surface is nitrogen rich. Overall, these findings indicate that hydrogen can influence G-aN CVD significantly, being a common factor in the reactivity of the surface, the desorption of Ga, and the decomposition of ammonia

  14. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Conductivity based on selective etch for GaN devices and applications thereof

    Science.gov (United States)

    Zhang, Yu; Sun, Qian; Han, Jung

    2015-12-08

    This invention relates to methods of generating NP gallium nitride (GaN) across large areas (>1 cm.sup.2) with controlled pore diameters, pore density, and porosity. Also disclosed are methods of generating novel optoelectronic devices based on porous GaN. Additionally a layer transfer scheme to separate and create free-standing crystalline GaN thin layers is disclosed that enables a new device manufacturing paradigm involving substrate recycling. Other disclosed embodiments of this invention relate to fabrication of GaN based nanocrystals and the use of NP GaN electrodes for electrolysis, water splitting, or photosynthetic process applications.

  16. Characterization of vertical GaN p-n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    Science.gov (United States)

    Kizilyalli, I. C.; Aktas, O.

    2015-12-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p-n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (104 to 106 cm-2) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 1015 cm-3. This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p-n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p-n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p-n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p-n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T -3/2, consistent with a phonon scattering model. Also, normally-on vertical junction

  17. Thermodynamic analysis of Mg-doped p-type GaN semiconductor

    International Nuclear Information System (INIS)

    Li Jingbo; Liang Jingkui; Rao Guanghui; Zhang Yi; Liu Guangyao; Chen Jingran; Liu Quanlin; Zhang Weijing

    2006-01-01

    A thermodynamic modeling of Mg-doped p-type GaN was carried out to describe the thermodynamic behaviors of native defects, dopants (Mg and H) and carriers in GaN. The formation energies of charged component compounds in a four-sublattice model were defined as functions of the Fermi-level based on the results of the first-principles calculations and adjusted to fit experimental data. The effect of the solubility of Mg on the low doping efficiency of Mg in GaN and the role of H in the Mg-doping MOCVD process were discussed. The modeling provides a thermodynamic approach to understand the doping process of GaN semiconductors

  18. Reliability improvement in GaN HEMT power device using a field plate approach

    Science.gov (United States)

    Wu, Wen-Hao; Lin, Yueh-Chin; Chin, Ping-Chieh; Hsu, Chia-Chieh; Lee, Jin-Hwa; Liu, Shih-Chien; Maa, Jer-shen; Iwai, Hiroshi; Chang, Edward Yi; Hsu, Heng-Tung

    2017-07-01

    This study investigates the effect of implementing a field plate on a GaN high-electron-mobility transistor (HEMT) to improve power device reliability. The results indicate that the field plate structure reduces the peak electrical field and interface traps in the device, resulting in higher breakdown voltage, lower leakage current, smaller current collapse, and better threshold voltage control. Furthermore, after high voltage stress, steady dynamic on-resistance and gate capacitance degradation improvement were observed for the device with the field plate. This demonstrates that GaN device reliability can be improved by using the field plate approach.

  19. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Synthesis and Raman scattering of GaN nanorings, nanoribbons and nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Li, Z.J. [Academia Sinica, Beijing, BJ (China). Inst. of Physics; Northwestern Polytechnical Univ., Xian, SN (China). Dept. of Materials Science and Engineering; Chen, X.L.; Tu, Q.Y.; Yang, Z.; Xu, Y.P.; Hu, B.Q. [Academia Sinica, Beijing, BJ (China). Inst. of Physics; Li, H.J. [Northwestern Polytechnical Univ., Xian, SN (China). Dept. of Materials Science and Engineering

    2001-05-01

    Low-dimensional GaN materials, including nanorings, nanoribbons and smooth nanowires have been synthesized by reacting gallium and ammonia using Ag particles as a catalyst on the substrate of MgO single crystals. They were characterized by field emission scanning electron microscopy (FE-SEM), energy dispersive X-ray spectroscopy (EDX) and X-ray diffraction (XRD). EDX, XRD indicated that the low-dimensional nanomaterials were wurtzite GaN. New features are found in Raman scatterings for these low-dimensional GaN materials, which are different from the previous observations of GaN materials. (orig.)

  1. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  2. Growth and characterization of InAlN layers nearly lattice-matched to GaN

    International Nuclear Information System (INIS)

    Manuel, J.M.; Morales, F.M.; Lozano, J.G.; Garcia, R.; Lim, T.; Kirste, L.; Aidam, R.; Ambacher, O.

    2011-01-01

    A set of InxAl1-xN films lattice-matched (LM) to GaN/sapphire substrates were grown by molecular beam epitaxy (MBE) and studied using X-ray diffraction and transmission electron microscopy with the aim of implementing barrier and channels in high electron mobility transistors (HEMTs). Although all InAlN epilayers grow pseudomorphic to GaN, two sublayers with different compositions formed when a direct deposition onto the bare GaN buffer was carried out. On the other hand, heterostructures having single-layered In∝0.18Al∝0.82N are achieved when a spacer consisting of an AlN interlayer or an AlN/GaN/AlN stack is placed between the InAlN and the buffer. These spacers not only yield a better compositional and structural homogeneity of the InAlN, but also improve electrical properties with respect to HEMT applications. Compared to one single AlN interlayer, the use of a triple AlN/GaN/AlN multilayer further improves the structural quality of the InAlN film (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Red shift of near band edge emission in cerium implanted GaN

    International Nuclear Information System (INIS)

    Majid, Abdul; Ali, Akbar

    2009-01-01

    Rare earth (RE) doping in GaN is a promising technology to control the optical properties. However, there are no reports on doping of cerium (Ce) into GaN, which is a very unique RE element. In this paper, we performed photoluminescence (PL) and optical transmission measurements on Ce-doped GaN for the first time. A significant red shift of about 120 meV was observed in the PL peak position of the donor bound excitons. This red shift of near band emission was corroborated by the red shift of the absorption edge related to GaN in the optical transmission measurements. This observation is attributed to the band gap narrowing in GaN heavily doped with Ce. The activation energy of the Ce-related shallow donor is found to be 21.9 meV in GaN.

  4. Red shift of near band edge emission in cerium implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Majid, Abdul; Ali, Akbar, E-mail: abdulmajid40@yahoo.co, E-mail: akbar@qau.edu.p [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan)

    2009-02-21

    Rare earth (RE) doping in GaN is a promising technology to control the optical properties. However, there are no reports on doping of cerium (Ce) into GaN, which is a very unique RE element. In this paper, we performed photoluminescence (PL) and optical transmission measurements on Ce-doped GaN for the first time. A significant red shift of about 120 meV was observed in the PL peak position of the donor bound excitons. This red shift of near band emission was corroborated by the red shift of the absorption edge related to GaN in the optical transmission measurements. This observation is attributed to the band gap narrowing in GaN heavily doped with Ce. The activation energy of the Ce-related shallow donor is found to be 21.9 meV in GaN.

  5. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Positron annihilation study of Pd contacts on impurity-doped GaN

    International Nuclear Information System (INIS)

    Lee, Jong-Lam; Kim, Jong Kyu; Weber, Marc H.; Lynn, Kelvin G.

    2001-01-01

    Pd contacts on both n-type and p-type GaN were studied using positron annihilation spectroscopy, and the results were used to interpret the role of Ga vacancies on the band bending below the contacts. The concentration of Ga vacancy in Si-doped GaN was higher than that in the Mg-doped one. In Si-doped GaN, implanted positrons were annihilated at the nearer surface region and the interface of Pd/n-type GaN was detected by positrons clearly shifted toward the surface of Pd. This suggests that Ga vacancies could act as an interface state, pinning the Fermi level at the interface of Pd with GaN, leading to the production of a negative electric field below the interface. [copyright] 2001 American Institute of Physics

  7. Morphological and luminescent characteristics of GaN dots deposited on AlN by alternate supply of TMG and NH3

    International Nuclear Information System (INIS)

    Tsai, Y.-L.; Gong, J.-R.; Lin, T.-Y.; Lin, H.-Y.; Chen, Yang-Fang; Lin, K.-M.

    2006-01-01

    GaN dots were deposited on AlN underlayers by alternate supply of trimethylgallium (TMG) and ammonia (NH 3 ) in an inductively heated quartz reactor operated at atmospheric pressure. Various growth parameters including deposition temperature, TMG admittance and pulse time between TMG and NH 3 exposures were proposed to investigate the influence of growth parameters on the size distribution of GaN dots. It appears that GaN dots with uniform size distribution can be achieved under certain growth conditions. Based on the study of atomic force microscopy (AFM), high deposition temperature was found to be in favor of forming large GaN dots with small dot density. Decrement of TMG flow rate or reduction in the number of growth cycle tends to enable the formation of GaN dots with small dot sizes. The results of room temperature (RT) cathodoluminescence (CL) measurements of the GaN dots exhibit an emission peak at 3.735 eV. A remarkable blue shift of GaN dot emission was observed by reduced temperature photoluminescence (PL) measurements

  8. A Frontal Attack on Limiting Defects in GaN

    National Research Council Canada - National Science Library

    Morkoc, Hadis

    2002-01-01

    GaN community, particularly under the leadership of Drs. Wood, Win, and Litton, recognized that it is imperative that the extended, and point defects in GaN and related materials, and the mechanisms for their formation are understood...

  9. Design and testing of a phantom and instrumented gynecological applicator based on GaN dosimeter for use in high dose rate brachytherapy quality assurance

    Energy Technology Data Exchange (ETDEWEB)

    Guiral, P.; Ribouton, J.; Jalade, P. [Service de Physique Médicale et Radioprotection, Centre Hospitalier Lyon Sud, Pierre-Bénite F-69495 (France); Wang, R.; Galvan, J.-M.; Lu, G.-N.; Pittet, P., E-mail: patrick.pittet@univ-lyon1.fr [Institut des Nanotechnologies de Lyon, University Lyon, Université Claude Bernard Lyon 1, CNRS, INL UMR5270, F-69622 Villeurbanne (France); Rivoire, A.; Gindraux, L. [DOSILAB, 66 Boulevard Niels Bohr, Villeurbanne F-69100 (France)

    2016-09-15

    Purpose: High dose rate brachytherapy (HDR-BT) is widely used to treat gynecologic, anal, prostate, head, neck, and breast cancers. These treatments are typically administered in large dose per fraction (>5 Gy) and with high-gradient-dose-distributions, with serious consequences in case of a treatment delivery error (e.g., on dwell position and dwell time). Thus, quality assurance (QA) or quality control (QC) should be systematically and independently implemented. This paper describes the design and testing of a phantom and an instrumented gynecological applicator for pretreatment QA and in vivo QC, respectively. Methods: The authors have designed a HDR-BT phantom equipped with four GaN-based dosimeters. The authors have also instrumented a commercial multichannel HDR-BT gynecological applicator by rigid incorporation of four GaN-based dosimeters in four channels. Specific methods based on the four GaN dosimeter responses are proposed for accurate determination of dwell time and dwell position inside phantom or applicator. The phantom and the applicator have been tested for HDR-BT QA in routine over two different periods: 29 and 15 days, respectively. Measurements in dwell position and time are compared to the treatment plan. A modified position–time gamma index is used to monitor the quality of treatment delivery. Results: The HDR-BT phantom and the instrumented applicator have been used to determine more than 900 dwell positions over the different testing periods. The errors between the planned and measured dwell positions are 0.11 ± 0.70 mm (1σ) and 0.01 ± 0.42 mm (1σ), with the phantom and the applicator, respectively. The dwell time errors for these positions do not exhibit significant bias, with a standard deviation of less than 100 ms for both systems. The modified position–time gamma index sets a threshold, determining whether the treatment run passes or fails. The error detectability of their systems has been evaluated through tests on

  10. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  11. Growth and characterization of semi-polar (11-22) GaN on patterned (113) Si substrates

    International Nuclear Information System (INIS)

    Bai, J; Yu, X; Gong, Y; Hou, Y N; Zhang, Y; Wang, T

    2015-01-01

    Patterned (113) Si substrates have been fabricated for the growth of (11-22) semi-polar GaN, which completely eliminates one of the great issues in the growth of semi-polar GaN on silicon substrates, ‘Ga melting-back’. Furthermore, unlike any other mask patterning approaches which normally lead to parallel grooves along a particular orientation, our approach is to form periodic square window patterns. As a result, crack-free semi-polar (11-22) GaN with a significant improvement in crystal quality has been achieved, in particular, basal stacking faults (BSFs) have been significantly reduced. The mechanism for the defect suppression has been investigated based on detailed transmission electron microscopy measurements. It has been found that the BSFs can be impeded effectively at an early growth stage due to the priority growth along the 〈0001〉 direction. The additional 〈1-100〉 lateral growth above the masks results in a further reduction in dislocation density. The significant reduction in BSFs has been confirmed by low temperature photoluminescence measurements. (paper)

  12. Role of Ag-alloy in the thermal stability of Ag-based ohmic contact to GaN(0 0 0 1) surface

    International Nuclear Information System (INIS)

    Xiong, Zhihua; Qin, Zhenzhen; Zhao, Qian; Chen, Lanli

    2015-01-01

    First-principles calculations are performed to study Ag and Ag-alloy adsorption stability on GaN(0 0 0 1) surface. We find Ag only contact to GaN surface is unstable under high temperature. While Ag-alloy adsorption exhibits better adsorption stability and electronic properties than that of the Ag only contact,due to the enhanced interaction between Ag-alloy and GaN(0 0 0 1) surface. The Ag-alloy, particularly AgNi, is proposed to be used as very promising ohmic contact to GaN for practical applications

  13. Effects of thin heavily Mg-doped GaN capping layer on ohmic contact formation of p-type GaN

    International Nuclear Information System (INIS)

    Wu, L L; Zhao, D G; Jiang, D S; Chen, P; Le, L C; Li, L; Liu, Z S; Zhang, S M; Zhu, J J; Wang, H; Zhang, B S; Yang, H

    2013-01-01

    The growth condition of thin heavily Mg-doped GaN capping layer and its effect on ohmic contact formation of p-type GaN were investigated. It is confirmed that the excessive Mg doping can effectively enhance the Ni/Au contact to p-GaN after annealing at 550 °C. When the flow rate ratio between Mg and Ga gas sources is 6.4% and the layer width is 25 nm, the capping layer grown at 850 °C exhibits the best ohmic contact properties with respect to the specific contact resistivity (ρ c ). This temperature is much lower than the conventional growth temperature of Mg-doped GaN, suggesting that the deep-level-defect induced band may play an important role in the conduction of capping layer. (paper)

  14. Vertical GaN Devices for Power Electronics in Extreme Environments

    Science.gov (United States)

    2016-03-31

    Vertical GaN Devices for Power Electronics in Extreme Environments Isik C. Kizilyalli (1), Robert J. Kaplar (2), O. Aktas (1), A. M. Armstrong (2...electronics applications. In this paper vertical p-n diodes and transistors fabricated on pseudo bulk low defect density (104 to 106 cm-2) GaN substrates are...discussed. Homoepitaxial MOCVD growth of GaN on its native substrate and being able to control doping has allowed the realization of vertical

  15. GaN Nanowire Devices: Fabrication and Characterization

    Science.gov (United States)

    Scott, Reum

    The development of microelectronics in the last 25 years has been characterized by an exponential increase of the bit density in integrated circuits (ICs) with time. Scaling solid-state devices improves cost, performance, and power; as such, it is of particular interest for companies, who gain a market advantage with the latest technology. As a result, the microelectronics industry has driven transistor feature size scaling from 10 μm to ~30 nm during the past 40 years. This trend has persisted for 40 years due to optimization, new processing techniques, device structures, and materials. But when noting processor speeds from the 1970's to 2009 and then again in 2010, the implication would be that the trend has ceased. To address the challenge of shrinking the integrated circuit (IC), current research is centered on identifying new materials and devices that can supplement and/or potentially supplant it. Bottom-up methods tailor nanoscale building blocks---atoms, molecules, quantum dots, and nanowires (NWs)---to be used to overcome these limitations. The Group IIIA nitrides (InN, AlN, and GaN) possess appealing properties such as a direct band gap spanning the whole solar spectrum, high saturation velocity, and high breakdown electric field. As a result nanostructures and nanodevices made from GaN and related nitrides are suitable candidates for efficient nanoscale UV/ visible light emitters, detectors, and gas sensors. To produce devices with such small structures new fabrication methods must be implemented. Devices composed of GaN nanowires were fabricated using photolithography and electron beam lithography. The IV characteristics of these devices were noted under different illuminations and the current tripled from 4.8*10-7 A to 1.59*10 -6 A under UV light which persisted for at least 5hrs.

  16. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    International Nuclear Information System (INIS)

    Takeuchi, S.; Asazu, H.; Nakamura, Y.; Sakai, A.; Imanishi, M.; Imade, M.; Mori, Y.

    2015-01-01

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration of the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results

  17. Ambient-temperature diffusion and gettering of Pt atoms in GaN with surface defect region under 60Co gamma or MeV electron irradiation

    Science.gov (United States)

    Hou, Ruixiang; Li, Lei; Fang, Xin; Xie, Ziang; Li, Shuti; Song, Weidong; Huang, Rong; Zhang, Jicai; Huang, Zengli; Li, Qiangjie; Xu, Wanjing; Fu, Engang; Qin, G. G.

    2018-01-01

    Generally, the diffusion and gettering of impurities in GaN needs high temperature. Calculated with the ambient-temperature extrapolation value of the high temperature diffusivity of Pt atoms in GaN reported in literature, the time required for Pt atoms diffusing 1 nm in GaN at ambient temperature is about 19 years. Therefore, the ambient-temperature diffusion and gettering of Pt atoms in GaN can hardly be observed. In this work, the ambient-temperature diffusion and gettering of Pt atoms in GaN is reported for the first time. It is demonstrated by use of secondary ion mass spectroscopy that in the condition of introducing a defect region on the GaN film surface by plasma, and subsequently, irradiated by 60Co gamma-ray or 3 MeV electrons, the ambient-temperature diffusion and gettering of Pt atoms in GaN can be detected. It is more obvious with larger irradiation dose and higher plasma power. With a similar surface defect region, the ambient-temperature diffusion and gettering of Pt atoms in GaN stimulated by 3 MeV electron irradiation is more marked than that stimulated by gamma irradiation. The physical mechanism of ambient-temperature diffusion and gettering of Pt atoms in a GaN film with a surface defect region stimulated by gamma or MeV electron irradiation is discussed.

  18. Characterization of vertical GaN p–n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    International Nuclear Information System (INIS)

    Kizilyalli, I C; Aktas, O

    2015-01-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p–n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (10 4 to 10 6 cm −2 ) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 10 15 cm −3 . This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A  ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p–n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p–n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p–n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p–n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T −3/2 , consistent with a phonon scattering model. Also

  19. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    Science.gov (United States)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  20. Thermal Annealing induced relaxation of compressive strain in porous GaN structures

    KAUST Repository

    Ben Slimane, Ahmed; Najar, Adel; Ng, Tien Khee; Ooi, Boon S.

    2012-01-01

    The effect of annealing on strain relaxation in porous GaN fabricated using electroless chemical etching is presented. The Raman shift of 1 cm-1 in phonon frequency of annealed porous GaN with respect to as-grown GaN corresponds to a relaxation

  1. Electronic and Optical Properties of Two-Dimensional GaN from First-Principles.

    Science.gov (United States)

    Sanders, Nocona; Bayerl, Dylan; Shi, Guangsha; Mengle, Kelsey A; Kioupakis, Emmanouil

    2017-12-13

    Gallium nitride (GaN) is an important commercial semiconductor for solid-state lighting applications. Atomically thin GaN, a recently synthesized two-dimensional material, is of particular interest because the extreme quantum confinement enables additional control of its light-emitting properties. We performed first-principles calculations based on density functional and many-body perturbation theory to investigate the electronic, optical, and excitonic properties of monolayer and bilayer two-dimensional (2D) GaN as a function of strain. Our results demonstrate that light emission from monolayer 2D GaN is blueshifted into the deep ultraviolet range, which is promising for sterilization and water-purification applications. Light emission from bilayer 2D GaN occurs at a similar wavelength to its bulk counterpart due to the cancellation of the effect of quantum confinement on the optical gap by the quantum-confined Stark shift. Polarized light emission at room temperature is possible via uniaxial in-plane strain, which is desirable for energy-efficient display applications. We compare the electronic and optical properties of freestanding two-dimensional GaN to atomically thin GaN wells embedded within AlN barriers in order to understand how the functional properties are influenced by the presence of barriers. Our results provide microscopic understanding of the electronic and optical characteristics of GaN at the few-layer regime.

  2. Determination of the absolute internal quantum efficiency of photoluminescence in GaN co-doped with Si and Zn

    Science.gov (United States)

    Reshchikov, M. A.; Foussekis, M.; McNamara, J. D.; Behrends, A.; Bakin, A.; Waag, A.

    2012-04-01

    The optical properties of high-quality GaN co-doped with silicon and zinc are investigated by using temperature-dependent continuous-wave and time-resolved photoluminescence measurements. The blue luminescence band is related to the ZnGa acceptor in GaN:Si,Zn, which exhibits an exceptionally high absolute internal quantum efficiency (IQE). An IQE above 90% was calculated for several samples having different concentrations of Zn. Accurate and reliable values of the IQE were obtained by using several approaches based on rate equations. The concentrations of the ZnGa acceptors and free electrons were also estimated from the photoluminescence measurements.

  3. Effects of GaN capping on the structural and the optical properties of InN nanostructures grown by using MOCVD

    International Nuclear Information System (INIS)

    Sun, Yuanping; Cho, Yonghoon; Wang, Hui; Wang, Lili; Zhang, Shuming; Yang, Hui

    2010-01-01

    InN nanostructures with and without GaN capping layers were grown by using metal-organic chemical vapor deposition. Morphological, structural, and optical properties were systematically studied by using atomic force microscopy, X-ray diffraction (XRD) and temperature-dependent photoluminescence (PL). XRD results show that an InGaN structure is formed for the sample with a GaN capping layer, which will reduce the quality and the IR PL emission of the InN. The lower emission peak at ∼0.7 eV was theoretically fitted and assigned as the band edge emission of InN. Temperature-dependent PL shows a good quantum efficiency for the sample without a GaN capping layers; this corresponds to a lower density of dislocations and a small activation energy.

  4. Determination of carrier diffusion length in p- and n-type GaN

    Science.gov (United States)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  5. Optical properties, luminescence quenching mechanism and radiation hardness of Eu-doped GaN red powder phosphor

    International Nuclear Information System (INIS)

    Jadwisienczak, W.; Wisniewski, K.; Spencer, M.; Thomas, T.; Ingram, D.

    2010-01-01

    We report on the luminescence quenching mechanism of Eu-doped GaN powder phosphor produced with a low-cost, high yield rapid-ammonothermal method. We have studied as-synthesized and acid rinsed Eu-doped GaN powders with the Eu concentration of ∼0.5 at.%. The Eu-doped GaN photoluminescence (PL) was investigated with 325 nm excitation wavelength at hydrostatic pressures up to 7.7 GPa in temperature range between 12 K and 300 K. The room temperature integrated Eu 3+ ion PL intensity from acid rinsed material is a few times stronger than from the as-synthesized material. The temperature dependent PL studies revealed that the thermal quenching of the dominant Eu 3+ ion transition ( 5 D 0 → 7 F 2 ) at 622 nm is stronger in the chemically modified phosphor indicating more efficient coupling between the Eu 3+ ion and passivated GaN powder grains. Furthermore, it was found that thermal quenching of Eu 3+ ion emission intensity can be completely suppressed in studied materials by applied pressure. This is due to stronger localization of bound exciton on Eu 3+ ion trap induced by hydrostatic pressure. Furthermore, the effect of 2 MeV oxygen irradiation on the PL properties has been investigated for highly efficient Eu-doped GaN phosphor embedded in KBr-GaN:Eu 3+ composite. Fairly good radiation damage resistance was obtained for 1.7 x 10 12 to 5 x 10 13 cm -2 oxygen fluence. Preliminary data indicate that Eu-doped GaN powder phosphor can be considered for devices in a radiation environment.

  6. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    Science.gov (United States)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  7. Mg doping and its effect on the semipolar GaN(1122) growth kinetics

    International Nuclear Information System (INIS)

    Lahourcade, L.; Wirthmueller, A.; Monroy, E.; Pernot, J.; Chauvat, M. P.; Ruterana, P.; Laufer, A.; Eickhoff, M.

    2009-01-01

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(1122) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(1122). We observe an enhancement of Mg incorporation in GaN(1122) compared to GaN(0001). Typical structural defects or polarity inversion domains found in Mg-doped GaN(0001) were not observed for the semipolar films investigated in the present study.

  8. Use of GaN as a Scintillating Ionizing Radiation Detector

    Science.gov (United States)

    Wensman, Johnathan; Guardala, Noel; Mathur, Veerendra; Alasagas, Leslie; Vanhoy, Jeffrey; Statham, John; Marron, Daniel; Millett, Marshall; Marsh, Jarrod; Currie, John; Price, Jack

    2017-09-01

    Gallium nitride (GaN) is a III/V direct bandgap semiconductor which has been used in light emitting diodes (LEDs) since the 1990s. Currently, due to a potential for increased efficiency, GaN is being investigated as a replacement for silicon in power electronics finding potential uses ranging from data centers to electric vehicles. In addition to LEDs and power electronics though, doped GaN can be used as a gamma insensitive fast neutron detector due to the direct band-gap, light propagation properties, and response to ionizing radiations. Investigation of GaN as a semiconductor scintillator for use in a radiation detection system involves mapping the response function of the detector crystal over a range of photon and neutron energies, and measurements of light generation in the GaN crystal due to proton, alpha, and nitrogen projectiles. In this presentation we discuss the measurements made to date, and plausible interpretations of the response functions. This work funded in part by the Naval Surface Warfare Center, Carderock Division In-house Laboratory Independent Research program.

  9. 450-nm GaN laser diode enables high-speed visible light communication with 9-Gbps QAM-OFDM.

    Science.gov (United States)

    Chi, Yu-Chieh; Hsieh, Dan-Hua; Tsai, Cheng-Ting; Chen, Hsiang-Yu; Kuo, Hao-Chung; Lin, Gong-Ru

    2015-05-18

    A TO-38-can packaged Gallium nitride (GaN) blue laser diode (LD) based free-space visible light communication (VLC) with 64-quadrature amplitude modulation (QAM) and 32-subcarrier orthogonal frequency division multiplexing (OFDM) transmission at 9 Gbps is preliminarily demonstrated over a 5-m free-space link. The 3-dB analog modulation bandwidth of the TO-38-can packaged GaN blue LD biased at 65 mA and controlled at 25°C is only 900 MHz, which can be extended to 1.5 GHz for OFDM encoding after throughput intensity optimization. When delivering the 4-Gbps 16-QAM OFDM data within 1-GHz bandwidth, the error vector magnitude (EVM), signal-to-noise ratio (SNR) and bit-error-rate (BER) of the received data are observed as 8.4%, 22.4 dB and 3.5 × 10(-8), respectively. By increasing the encoded bandwidth to 1.5 GHz, the TO-38-can packaged GaN blue LD enlarges its transmission capacity to 6 Gbps but degrades its transmitted BER to 1.7 × 10(-3). The same transmission capacity of 6 Gbps can also be achieved with a BER of 1 × 10(-6) by encoding 64-QAM OFDM data within 1-GHz bandwidth. Using the 1.5-GHz full bandwidth of the TO-38-can packaged GaN blue LD provides the 64-QAM OFDM transmission up to 9 Gbps, which successfully delivers data with an EVM of 5.1%, an SNR of 22 dB and a BER of 3.6 × 10(-3) passed the forward error correction (FEC) criterion.

  10. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  11. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  12. Morphological and luminescent characteristics of GaN dots deposited on AlN by alternate supply of TMG and NH{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Y.-L. [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China); Gong, J.-R. [Institute of Opto-Mechatronics, National Chung Cheng University, Chiayi 621, Taiwan (China); Lin, T.-Y. [Institute of Optoelectronic Sciences, National Taiwan Ocean University, Keelung 202, Taiwan (China); Lin, H.-Y. [Department of Physics, National Taiwan University, Taipei 106, Taiwan (China); Chen, Yang-Fang [Department of Physics, National Taiwan University, Taipei 106, Taiwan (China); Lin, K.-M. [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China)

    2006-03-15

    GaN dots were deposited on AlN underlayers by alternate supply of trimethylgallium (TMG) and ammonia (NH{sub 3}) in an inductively heated quartz reactor operated at atmospheric pressure. Various growth parameters including deposition temperature, TMG admittance and pulse time between TMG and NH{sub 3} exposures were proposed to investigate the influence of growth parameters on the size distribution of GaN dots. It appears that GaN dots with uniform size distribution can be achieved under certain growth conditions. Based on the study of atomic force microscopy (AFM), high deposition temperature was found to be in favor of forming large GaN dots with small dot density. Decrement of TMG flow rate or reduction in the number of growth cycle tends to enable the formation of GaN dots with small dot sizes. The results of room temperature (RT) cathodoluminescence (CL) measurements of the GaN dots exhibit an emission peak at 3.735 eV. A remarkable blue shift of GaN dot emission was observed by reduced temperature photoluminescence (PL) measurements.

  13. Dopant Adsorption and Incorporation at Irradiated GaN Surfaces

    Science.gov (United States)

    Sun, Qiang; Selloni, Annabella; Myers, Thomas; Doolittle, W. Alan

    2006-03-01

    Mg and O are two of the common dopants in GaN, but, in spite of extensive investigation, the atomic scale understanding of their adsorption and incorporation is still incomplete. In particular, high-energy electron irradiation, such as occurring during RHEED, has been reported to have an important effect on the incorporation of these impurities, but no study has addressed the detailed mechanisms of this effect yet. Here we use DFT calculations to study the adsorption and incorporation of Mg and O at the Ga- and N-polar GaN surfaces under various Ga, Mg and O coverage conditions as well as in presence of light or electron beam-induced electronic excitation. We find that the adsorption and incorporation of the two impurities have opposite surface polarity dependence: substitutional Mg prefers to incorporate at the GaN(0001) surface, while O prefers to adsorb and incorporate at the N-polar surface. In addition, our results indicate that in presence of light irradiation the tendency of Mg to surface-segregate is reduced. The O adsorption energy on the N-polar surface is also significantly reduced, consistent with the experimental observation of a much smaller concentration of oxygen in the irradiated samples.

  14. Effect of annealing on metastable shallow acceptors in Mg-doped GaN layers grown on GaN substrates

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Paskov, Plamen P.; Bergman, Peder; Monemar, Bo; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.

    2008-01-01

    Mg-doped GaN layers grown by metal-organic vapor phase epitaxy on GaN substrates produced by the halide vapor phase technique demonstrate metastability of the near-band-gap photoluminescence (PL). The acceptor bound exciton (ABE) line possibly related to the C acceptor vanishes in as-grown samples within a few minutes under UV laser illumination. Annealing activates the more stable Mg acceptors and passivates C acceptors. Consequently, only the ABE line related to Mg is dominant in PL spectra...

  15. Successful Fabrication of GaN Epitaxial Layer on Non-Catalytically grown Graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Sung Won [Konkuk University, Chungju (Korea, Republic of); Choi, Suk-Ho [Kyung Hee University, Yongin (Korea, Republic of)

    2016-07-15

    Sapphire is widely used as a substrate for the growth of GaN epitaxial layer (EPI), but has several drawbacks such as high cost, large lattice mismatch, non-flexibility, and so on. Here, we first employ graphene directly grown on Si or sapphire substrate as a platform for the growth and lift-off of GaN-light-emitting diode (LED) EPI, useful for not only recycling the substrate but also transferring the GaN-LED EPI to other flexible substrates. Sequential standard processes of nucleation/recrystallization of GaN seeds and deposition of undoped (u-) GaN/AlN buffer layer were done on graphene/substrate before the growth of GaN-LED EPI, accompanied by taping and lift-off of u-GaN/AlN or GaN-LED EPI. This approach can overcome the limitations by the catalytic growth and transfer of graphene, and make the oxygen-plasma treatment of graphene for the growth of GaN EPI unnecessary.

  16. Modification of GaN(0001) growth kinetics by Mg doping

    International Nuclear Information System (INIS)

    Monroy, E.; Andreev, T.; Holliger, P.; Bellet-Amalric, E.; Shibata, T.; Tanaka, M.; Daudin, B.

    2004-01-01

    We have studied the effect of Mg doping on the surface kinetics of GaN during growth by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface of GaN, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN. The growth window is hence significantly reduced. Higher growth temperatures lead to an enhancement of Mg segregation and an improvement of the surface morphology

  17. A 99%-efficiency GaN converter for 6.78 MHz magnetic resonant wireless power transfer system

    Directory of Open Access Journals (Sweden)

    Yoshiyuki Akuzawa

    2014-10-01

    Full Text Available The authors developed a high-efficiency gallium-nitride (GaN Class-E converter for a 6.78 MHz magnetic resonant wireless power transfer system. A negative-bias gate driver circuit made it possible to use a depletion mode GaN high-electron-mobility transistor (HEMT, and simplified the converter circuit. As the depletion mode GaN HEMT with very small gate–source capacitance provided almost ideal zero-voltage switching, the authors attained a drain efficiency of 98.8% and a total efficiency of 97.7%, including power consumption of a gate driver circuit, at a power output of 33 W. In addition, the authors demonstrated a 6.78 MHz magnetic resonant wireless power transfer system that consisted of the GaN Class-E converter, a pair of magnetic resonant coils 150 mm in diameter with an air-gap distance of 40 mm, and a full-bridge rectifier using Si Schottky barrier diodes. The system achieved a dc–dc efficiency of 82.8% at a power output of 25 W. The efficiencies of coil coupling and the rectifier were estimated to be ∼ 94 and 90%, respectively.

  18. Thermal quenching of the yellow luminescence in GaN

    Science.gov (United States)

    Reshchikov, M. A.; Albarakati, N. M.; Monavarian, M.; Avrutin, V.; Morkoç, H.

    2018-04-01

    We observed varying thermal quenching behavior of the yellow luminescence band near 2.2 eV in different GaN samples. In spite of the different behavior, the yellow band in all the samples is caused by the same defect—the YL1 center. In conductive n-type GaN, the YL1 band quenches with exponential law, and the Arrhenius plot reveals an ionization energy of ˜0.9 eV for the YL1 center. In semi-insulating GaN, an abrupt and tunable quenching of the YL1 band is observed, where the apparent activation energy in the Arrhenius plot is not related to the ionization energy of the defect. In this case, the ionization energy can be found by analyzing the shift of the characteristic temperature of PL quenching with excitation intensity. We conclude that only one defect, namely, the YL1 center, is responsible for the yellow band in undoped and doped GaN samples grown by different techniques.

  19. Mn doped GaN thin films and nanoparticles

    Czech Academy of Sciences Publication Activity Database

    Šofer, Z.; Sedmidubský, D.; Huber, Š.; Hejtmánek, Jiří; Macková, Anna; Fiala, R.

    2012-01-01

    Roč. 9, 8-9 (2012), s. 809-824 ISSN 1475-7435 R&D Projects: GA ČR GA104/09/0621 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : GaN nanoparticles * GaN thin films * manganese * transition metals * MOVPE * ion implantations Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.087, year: 2012

  20. Defect formation and magnetic properties of Co-doped GaN crystal and nanowire

    International Nuclear Information System (INIS)

    Shi, Li-Bin; Liu, Jing-Jing; Fei, Ying

    2013-01-01

    Theoretical calculation based on density functional theory (DFT) and generalized gradient approximation (GGA) has been carried out in studying defect formation and magnetic properties of Co doped GaN crystal and nanowire (NW). Co does not exhibit site preference in GaN crystal. However, Co occupies preferably surface sites in GaN NW. Transition level of the defect is also investigated in GaN crystal. We also find that Co Ga (S) in NW does not produce spin polarization and Co Ga (B) produces spontaneous spin polarization. Ferromagnetic (FM) and antiferromagnetic (AFM) couplings are analyzed by six different configurations. The results show that AFM coupling is more stable than FM coupling for Co doped GaN crystal. It is also found from Co doped GaN NW calculation that the system remains FM stability for majority of the configurations. Magnetic properties in Co doped GaN crystal can be mediated by N and Ga vacancies. The FM and AFM stability can be explained by Co 3d energy level coupling

  1. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  2. Atomic structures and mechanical properties of single-crystal GaN nanotubes

    International Nuclear Information System (INIS)

    Xu, B.; Lu, A.J.; Pan, B.C.; Yu, Q.X.

    2005-01-01

    An approach is proposed to theoretically construct a realistic single-crystal GaN nanotube at atomic scale. The generated atomic structures of the single-crystal GaN nanotubes match the structural aspects from experiment very well. Our energetic calculations show that a single-crystal GaN nanotube with [100]-oriented lateral facets is more stable than that with [110]-oriented lateral facets, when they have around the same wall thickness. For a specified orientation of the lateral facets on the single-crystal GaN nanotubes, the energetic stabilities of the tubes obey a P rule, in which P is the ratio of the number of four-coordinated atoms to the number of three-coordinated atoms. Furthermore, the Young's modulus of the considered GaN nanotubes decrease with increasing the ratio of the number of bulk atoms to the number of surface atoms in each type of tube. Our calculations and analysis demonstrate that the surface effect of a single-crystal nanotube enhances its Young's modulus significantly

  3. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  4. Contributed Review: Experimental characterization of inverse piezoelectric strain in GaN HEMTs via micro-Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bagnall, Kevin R.; Wang, Evelyn N. [Department of Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States)

    2016-06-15

    Micro-Raman thermography is one of the most popular techniques for measuring local temperature rise in gallium nitride (GaN) high electron mobility transistors with high spatial and temporal resolution. However, accurate temperature measurements based on changes in the Stokes peak positions of the GaN epitaxial layers require properly accounting for the stress and/or strain induced by the inverse piezoelectric effect. It is common practice to use the pinched OFF state as the unpowered reference for temperature measurements because the vertical electric field in the GaN buffer that induces inverse piezoelectric stress/strain is relatively independent of the gate bias. Although this approach has yielded temperature measurements that agree with those derived from the Stokes/anti-Stokes ratio and thermal models, there has been significant difficulty in quantifying the mechanical state of the GaN buffer in the pinched OFF state from changes in the Raman spectra. In this paper, we review the experimental technique of micro-Raman thermography and derive expressions for the detailed dependence of the Raman peak positions on strain, stress, and electric field components in wurtzite GaN. We also use a combination of semiconductor device modeling and electro-mechanical modeling to predict the stress and strain induced by the inverse piezoelectric effect. Based on the insights gained from our electro-mechanical model and the best values of material properties in the literature, we analyze changes in the E{sub 2} high and A{sub 1} (LO) Raman peaks and demonstrate that there are major quantitative discrepancies between measured and modeled values of inverse piezoelectric stress and strain. We examine many of the hypotheses offered in the literature for these discrepancies but conclude that none of them satisfactorily resolves these discrepancies. Further research is needed to determine whether the electric field components could be affecting the phonon frequencies apart from the

  5. Contributed Review: Experimental characterization of inverse piezoelectric strain in GaN HEMTs via micro-Raman spectroscopy

    International Nuclear Information System (INIS)

    Bagnall, Kevin R.; Wang, Evelyn N.

    2016-01-01

    Micro-Raman thermography is one of the most popular techniques for measuring local temperature rise in gallium nitride (GaN) high electron mobility transistors with high spatial and temporal resolution. However, accurate temperature measurements based on changes in the Stokes peak positions of the GaN epitaxial layers require properly accounting for the stress and/or strain induced by the inverse piezoelectric effect. It is common practice to use the pinched OFF state as the unpowered reference for temperature measurements because the vertical electric field in the GaN buffer that induces inverse piezoelectric stress/strain is relatively independent of the gate bias. Although this approach has yielded temperature measurements that agree with those derived from the Stokes/anti-Stokes ratio and thermal models, there has been significant difficulty in quantifying the mechanical state of the GaN buffer in the pinched OFF state from changes in the Raman spectra. In this paper, we review the experimental technique of micro-Raman thermography and derive expressions for the detailed dependence of the Raman peak positions on strain, stress, and electric field components in wurtzite GaN. We also use a combination of semiconductor device modeling and electro-mechanical modeling to predict the stress and strain induced by the inverse piezoelectric effect. Based on the insights gained from our electro-mechanical model and the best values of material properties in the literature, we analyze changes in the E_2 high and A_1 (LO) Raman peaks and demonstrate that there are major quantitative discrepancies between measured and modeled values of inverse piezoelectric stress and strain. We examine many of the hypotheses offered in the literature for these discrepancies but conclude that none of them satisfactorily resolves these discrepancies. Further research is needed to determine whether the electric field components could be affecting the phonon frequencies apart from the inverse

  6. Simultaneous measurement of temperature, stress, and electric field in GaN HEMTs with micro-Raman spectroscopy.

    Science.gov (United States)

    Bagnall, Kevin R; Moore, Elizabeth A; Badescu, Stefan C; Zhang, Lenan; Wang, Evelyn N

    2017-11-01

    As semiconductor devices based on silicon reach their intrinsic material limits, compound semiconductors, such as gallium nitride (GaN), are gaining increasing interest for high performance, solid-state transistor applications. Unfortunately, higher voltage, current, and/or power levels in GaN high electron mobility transistors (HEMTs) often result in elevated device temperatures, degraded performance, and shorter lifetimes. Although micro-Raman spectroscopy has become one of the most popular techniques for measuring localized temperature rise in GaN HEMTs for reliability assessment, decoupling the effects of temperature, mechanical stress, and electric field on the optical phonon frequencies measured by micro-Raman spectroscopy is challenging. In this work, we demonstrate the simultaneous measurement of temperature rise, inverse piezoelectric stress, thermoelastic stress, and vertical electric field via micro-Raman spectroscopy from the shifts of the E 2 (high), A 1 longitudinal optical (LO), and E 2 (low) optical phonon frequencies in wurtzite GaN. We also validate experimentally that the pinched OFF state as the unpowered reference accurately measures the temperature rise by removing the effect of the vertical electric field on the Raman spectrum and that the vertical electric field is approximately the same whether the channel is open or closed. Our experimental results are in good quantitative agreement with a 3D electro-thermo-mechanical model of the HEMT we tested and indicate that the GaN buffer acts as a semi-insulating, p-type material due to the presence of deep acceptors in the lower half of the bandgap. This implementation of micro-Raman spectroscopy offers an exciting opportunity to simultaneously probe thermal, mechanical, and electrical phenomena in semiconductor devices under bias, providing unique insight into the complex physics that describes device behavior and reliability. Although GaN HEMTs have been specifically used in this study to

  7. Simultaneous measurement of temperature, stress, and electric field in GaN HEMTs with micro-Raman spectroscopy

    Science.gov (United States)

    Bagnall, Kevin R.; Moore, Elizabeth A.; Badescu, Stefan C.; Zhang, Lenan; Wang, Evelyn N.

    2017-11-01

    As semiconductor devices based on silicon reach their intrinsic material limits, compound semiconductors, such as gallium nitride (GaN), are gaining increasing interest for high performance, solid-state transistor applications. Unfortunately, higher voltage, current, and/or power levels in GaN high electron mobility transistors (HEMTs) often result in elevated device temperatures, degraded performance, and shorter lifetimes. Although micro-Raman spectroscopy has become one of the most popular techniques for measuring localized temperature rise in GaN HEMTs for reliability assessment, decoupling the effects of temperature, mechanical stress, and electric field on the optical phonon frequencies measured by micro-Raman spectroscopy is challenging. In this work, we demonstrate the simultaneous measurement of temperature rise, inverse piezoelectric stress, thermoelastic stress, and vertical electric field via micro-Raman spectroscopy from the shifts of the E2 (high), A1 longitudinal optical (LO), and E2 (low) optical phonon frequencies in wurtzite GaN. We also validate experimentally that the pinched OFF state as the unpowered reference accurately measures the temperature rise by removing the effect of the vertical electric field on the Raman spectrum and that the vertical electric field is approximately the same whether the channel is open or closed. Our experimental results are in good quantitative agreement with a 3D electro-thermo-mechanical model of the HEMT we tested and indicate that the GaN buffer acts as a semi-insulating, p-type material due to the presence of deep acceptors in the lower half of the bandgap. This implementation of micro-Raman spectroscopy offers an exciting opportunity to simultaneously probe thermal, mechanical, and electrical phenomena in semiconductor devices under bias, providing unique insight into the complex physics that describes device behavior and reliability. Although GaN HEMTs have been specifically used in this study to

  8. Carbon doped GaN buffer layer using propane for high electron mobility transistor applications: Growth and device results

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.; Nilsson, D.; Danielsson, Ö.; Pedersen, H.; Janzén, E.; Forsberg, U. [Department of Physics, Chemistry, and Biology (IFM), Linköping University, Linköping 58183 (Sweden); Bergsten, J.; Rorsman, N. [Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, Göteborg 41296 (Sweden)

    2015-12-28

    The creation of a semi insulating (SI) buffer layer in AlGaN/GaN High Electron Mobility Transistor (HEMT) devices is crucial for preventing a current path beneath the two-dimensional electron gas (2DEG). In this investigation, we evaluate the use of a gaseous carbon gas precursor, propane, for creating a SI GaN buffer layer in a HEMT structure. The carbon doped profile, using propane gas, is a two stepped profile with a high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) epitaxial layer closest to the substrate and a lower doped layer (3 × 10{sup 16 }cm{sup −3}) closest to the 2DEG channel. Secondary Ion Mass Spectrometry measurement shows a uniform incorporation versus depth, and no memory effect from carbon doping can be seen. The high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) does not influence the surface morphology, and a roughness root-mean-square value of 0.43 nm is obtained from Atomic Force Microscopy. High resolution X-ray diffraction measurements show very sharp peaks and no structural degradation can be seen related to the heavy carbon doped layer. HEMTs are fabricated and show an extremely low drain induced barrier lowering value of 0.1 mV/V, demonstrating an excellent buffer isolation. The carbon doped GaN buffer layer using propane gas is compared to samples using carbon from the trimethylgallium molecule, showing equally low leakage currents, demonstrating the capability of growing highly resistive buffer layers using a gaseous carbon source.

  9. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  10. Individual GaN nanowires exhibit strong piezoelectricity in 3D.

    Science.gov (United States)

    Minary-Jolandan, Majid; Bernal, Rodrigo A; Kuljanishvili, Irma; Parpoil, Victor; Espinosa, Horacio D

    2012-02-08

    Semiconductor GaN NWs are promising components in next generation nano- and optoelectronic systems. In addition to their direct band gap, they exhibit piezoelectricity, which renders them particularly attractive in energy harvesting applications for self-powered devices. Nanowires are often considered as one-dimensional nanostructures; however, the electromechanical coupling leads to a third rank tensor that for wurtzite crystals (GaN NWs) possesses three independent coefficients, d(33), d(13), and d(15). Therefore, the full piezoelectric characterization of individual GaN NWs requires application of electric fields in different directions and measurements of associated displacements on the order of several picometers. In this Letter, we present an experimental approach based on scanning probe microscopy to directly quantify the three-dimensional piezoelectric response of individual GaN NWs. Experimental results reveal that GaN NWs exhibit strong piezoelectricity in three dimensions, with up to six times the effect in bulk. Based on finite element modeling, this finding has major implication on the design of energy harvesting systems exhibiting unprecedented levels of power density production. The presented method is applicable to other piezoelectric NW materials as well as wires manufactured along different crystallographic orientations. © 2011 American Chemical Society

  11. Bulk GaN Schottky Diodes for Millimeter Wave Frequency Multipliers, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Within the context of this project, White Light Power Inc. (WLPI) will demonstrate the feasibility of using vertical GaN Schottky diodes for high-power rectification...

  12. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  13. Characterization of low-frequency noise in molecular beam epitaxy-grown GaN epilayers deposited on double buffer layers

    International Nuclear Information System (INIS)

    Fong, W.K.; Ng, S.W.; Leung, B.H.; Surya, Charles

    2003-01-01

    We report the growth of high-mobility Si-doped GaN epilayers utilizing unique double buffer layer (DBL) structures, which consist of a thin buffer layer and a thick GaN intermediate-temperature buffer layer (ITBL). In this study, three types of DBL were investigated: (i) thin GaN low-temperature buffer layer/GaN ITBL (type I); (ii) nitridated Ga metal film/GaN ITBL (type II); and (iii) thin AlN high-temperature buffer layer/GaN ITBL (type III). Systematic measurements were conducted on the electron mobilities and the low-frequency noise over a wide range of temperatures. It is found that the electron mobilities of the GaN films are substantially improved with the use of DBLs, with the sample using type III DBL which exhibits the highest low-temperature mobility. Furthermore, the same sample also demonstrates the elimination of deep levels at 91 and 255 meV below the conduction band. This is believed to result from the relaxation of tensile stress during growth with the use of type III DBLs

  14. Amphoteric arsenic in GaN

    CERN Document Server

    Wahl, U; Araújo, J P; Rita, E; Soares, JC

    2007-01-01

    We have determined the lattice location of implanted arsenic in GaN by means of conversion electron emission channeling from radioactive $^{73}$As. We give direct evidence that As is an amphoteric impurity, thus settling the long-standing question as to whether it prefers cation or anion sites in GaN. The amphoteric character of As and the fact that As$\\scriptstyle_{Ga}\\,$ " anti-sites ” are not minority defects provide additional aspects to be taken into account for an explanantion of the so-called “ miscibility gap ” in ternary GaAs$\\scriptstyle_{1-x}$N$\\scriptstyle_{x}$ compounds, which cannot be grown with a single phase for values of $x$ in the range 0.1<${x}$< 0.99.

  15. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  16. Breaking Through the Multi-Mesa-Channel Width Limited of Normally Off GaN HEMTs Through Modulation of the Via-Hole-Length

    Science.gov (United States)

    Chien, Cheng-Yen; Wu, Wen-Hsin; You, Yao-Hong; Lin, Jun-Huei; Lee, Chia-Yu; Hsu, Wen-Ching; Kuan, Chieh-Hsiung; Lin, Ray-Ming

    2017-06-01

    We present new normally off GaN high-electron-mobility transistors (HEMTs) that overcome the typical limitations in multi-mesa-channel (MMC) width through modulation of the via-hole-length to regulate the charge neutrality screen effect. We have prepared enhancement-mode (E-mode) GaN HEMTs having widths of up to 300 nm, based on an enhanced surface pinning effect. E-mode GaN HEMTs having MMC structures and widths as well as via-hole-lengths of 100 nm/2 μm and 300 nm/6 μm, respectively, exhibited positive threshold voltages ( V th) of 0.79 and 0.46 V, respectively. The on-resistances of the MMC and via-hole-length structures were lower than those of typical tri-gate nanoribbon GaN HEMTs. In addition, the devices not only achieved the E-mode but also improved the power performance of the GaN HEMTs and effectively mitigated the device thermal effect. We controlled the via-hole-length sidewall surface pinning effect to obtain the E-mode GaN HEMTs. Our findings suggest that via-hole-length normally off GaN HEMTs have great potential for use in next-generation power electronics.

  17. Stage of GAN (Grupo de Analise do Nucleo) calculus methodology

    International Nuclear Information System (INIS)

    Silva, C.F. da.

    1987-11-01

    This Technical Note presents the stage of GAN Calculus Methodology in areas of Neutronics, Fuel Rod Performance and Fission Products Inventory. Proposals of GAN's members are presented and analyzed for each of these areas and a work schedule is established. (author)

  18. Rare earths in GaN and ZnO studied with the PAC method; Seltene Erden in GaN und ZnO untersucht mit der PAC-Methode

    Energy Technology Data Exchange (ETDEWEB)

    Nedelec, R.

    2007-07-01

    The present thesis deals with the implantation and annealing behaviour of two examples of large-band-gap semiconductors GaN and ZnO. The studies begin with the annealing behaviour of GaN after the implantation of {sup 172}Lu. For GaN the annealing process begins at low temperatures with the decreasing of the damping of the lattice frequency. At essentially higher temperatures finally the substitunial contribution increases. This behaviour is also observed for other probe nuclei in GaN. For ZnO the behaviour at low temperature is different. Both for {sup 172}Lu and for {sup 181}Hf the damping is already after the implantation very low. The increasement of the substitutional contribution occurs like in GaN at higher temperatures. Thereafter for GaN and ZnO PAC spectra were token up at different measurement temperatures between 25 and 873 K. For {sup 172}Lu in GaN and in ZnO a strong temperature dependence of the lattice field gradient was observed. Also for {sup 181}Hf in ZnO a strong temperature dependence is observed. For {sup 172}Lu by means of a model for the interaction of quadrupole moments of electronic shells with the nucleus a lattice field gradient of {+-}5.9.10{sup 15} Vcm{sup -2} could be determined. For {sup 172}Lu in ZnO the model yields at 293 K a lattice field gradient of +14.10{sup 15} Vcm{sup -2} respectively -13.10{sup 15} Vcm{sup -2}. The corrsponding measurement with {sup 181}Hf yields a lattice field gradient of {+-}5.7.10{sup 15} Vcm{sup -2}.

  19. Design and simulation of GaN based Schottky betavoltaic nuclear micro-battery

    International Nuclear Information System (INIS)

    San, Haisheng; Yao, Shulin; Wang, Xiang; Cheng, Zaijun; Chen, Xuyuan

    2013-01-01

    The current paper presents a theoretical analysis of Ni-63 nuclear micro-battery based on a wide-band gap semiconductor GaN thin-film covered with thin Ni/Au films to form Schottky barrier for carrier separation. The total energy deposition in GaN was calculated using Monte Carlo methods by taking into account the full beta spectral energy, which provided an optimal design on Schottky barrier width. The calculated results show that an 8 μm thick Schottky barrier can collect about 95% of the incident beta particle energy. Considering the actual limitations of current GaN growth technique, a Fe-doped compensation technique by MOCVD method can be used to realize the n-type GaN with a carrier concentration of 1×10 15 cm −3 , by which a GaN based Schottky betavoltaic micro-battery can achieve an energy conversion efficiency of 2.25% based on the theoretical calculations of semiconductor device physics. - Highlights: • Ni-63 is employed as the pure beta radioisotope source. • The Schottky junction betavoltaic battery is based on the wide-band gap semiconductor GaN. • The total energy deposition of incident beta particles in GaN was simulated by the Monte Carlo method. • A Fe-doped compensation technique is suggested to increase the energy conversion efficiency

  20. Bulk GaN Schottky Diodes for Millimeter Wave Frequency Multipliers, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Within the context of this project, White Light Power Inc. (WLPI) will demonstrate prototype vertical GaN Schottky diodes for high-power rectification at W-band. To...

  1. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  2. Photoconductive GaN UV Detectors

    National Research Council Canada - National Science Library

    Baranowski, Jacek

    1999-01-01

    This report results from a contract tasking University of Warsaw as follows: The contractor will investigate the growth of GaN material using atmospheric pressure metalorganic chemical vapor deposition method (MOCVD...

  3. AlGaN/GaN heterostructures with an AlGaN layer grown directly on reactive-ion-etched GaN showing a high electron mobility (>1300 cm2 V-1 s-1)

    Science.gov (United States)

    Yamamoto, Akio; Makino, Shinya; Kanatani, Keito; Kuzuhara, Masaaki

    2018-04-01

    In this study, the metal-organic-vapor-phase-epitaxial growth behavior and electrical properties of AlGaN/GaN structures prepared by the growth of an AlGaN layer on a reactive-ion-etched (RIE) GaN surface without regrown GaN layers were investigated. The annealing of RIE-GaN surfaces in NH3 + H2 atmosphere, employed immediately before AlGaN growth, was a key process in obtaining a clean GaN surface for AlGaN growth, that is, in obtaining an electron mobility as high as 1350 cm2 V-1 s-1 in a fabricated AlGaN/RIE-GaN structure. High-electron-mobility transistors (HEMTs) were successfully fabricated with AlGaN/RIE-GaN wafers. With decreasing density of dotlike defects observed on the surfaces of AlGaN/RIE-GaN wafers, both two-dimensional electron gas properties of AlGaN/RIE-GaN structures and DC characteristics of HEMTs were markedly improved. Since dotlike defect density was markedly dependent on RIE lot, rather than on growth lot, surface contaminations of GaN during RIE were believed to be responsible for the formation of dotlike defects and, therefore, for the inferior electrical properties.

  4. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  5. Performance Analysis of GaN Capping Layer Thickness on GaN/AlGaN/GaN High Electron Mobility Transistors.

    Science.gov (United States)

    Sharma, N; Periasamy, C; Chaturvedi, N

    2018-07-01

    In this paper, we present an investigation of the impact of GaN capping layer and AlGaN layer thickness on the two-dimensional (2D)-electron mobility and the carrier concentration which was formed close to the AlGaN/GaN buffer layer for Al0.25Ga0.75N/GaN and GaN/Al0.25Ga0.75N/GaN heterostructures deposited on sapphire substrates. The results of our analysis clearly indicate that expanding the GaN capping layer thickness from 1 nm to 100 nm prompts an increment in the electron concentration at hetero interface. As consequence of which drain current was additionally increments with GaN cap layer thicknesses, and eventually saturates at approximately 1.85 A/mm for capping layer thickness greater than 40 nm. Interestingly, for the same structure, the 2D-electron mobility, decrease monotonically with GaN capping layer thickness, and saturate at approximately 830 cm2/Vs for capping layer thickness greater than 50 nm. A device with a GaN cap layer didn't exhibit gate leakage current. Furthermore, it was observed that the carrier concentration was first decrease 1.03 × 1019/cm3 to 6.65 × 1018/cm3 with AlGaN Layer thickness from 5 to 10 nm and after that it increases with the AlGaN layer thickness from 10 to 30 nm. The same trend was followed for electric field distributions. Electron mobility decreases monotonically with AlGaN layer thickness. Highest electron mobility 1354 cm2/Vs were recorded for the AlGaN layer thickness of 5 nm. Results obtained are in good agreement with published experimental data.

  6. Optical and magnetic resonance studies of Mg-doped GaN homoepitaxial layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Glaser, E.R.; Murthy, M.; Freitas, J.A.; Storm, D.F.; Zhou, L.; Smith, D.J.

    2007-01-01

    Low-temperature photoluminescence (PL) and optically detected magnetic resonance (ODMR) at 24 GHz have been performed on a series of MBE-grown Mg-doped (10 17 -10 20 cm -3 ) GaN homoepitaxial layers. High-resolution PL at 5 K revealed intense bandedge emission with narrow linewidths (0.2-0.4 meV) attributed to annihilation of excitons bound to shallow Mg acceptors. In contrast to many previous reports for GaN heteroepitaxial layers doped with [Mg]>3x10 18 cm -3 , the only visible PL observed was strong shallow donor-shallow acceptor recombination with zero phonon line at 3.27 eV. Most notably, ODMR on this emission from a sample doped with [Mg] of 1x10 17 cm -3 revealed the first evidence for the highly anisotropic g-tensor (g parallel ∼2.19, g perpendicular ∼0) expected for Mg shallow acceptors in wurtzite GaN. This result is attributed to the much reduced dislocation densities (≤5x10 6 cm -3 ) and Mg impurity concentrations compared to those characteristic of the more conventional investigated Mg-doped GaN heteroepitaxial layers

  7. Electromechanical Characterization of Single GaN Nanobelt Probed with Conductive Atomic Force Microscope

    Science.gov (United States)

    Yan, X. Y.; Peng, J. F.; Yan, S. A.; Zheng, X. J.

    2018-04-01

    The electromechanical characterization of the field effect transistor based on a single GaN nanobelt was performed under different loading forces by using a conductive atomic force microscope (C-AFM), and the effective Schottky barrier height (SBH) and ideality factor are simulated by the thermionic emission model. From 2-D current image, the high value of the current always appears on the nanobelt edge with the increase of the loading force less than 15 nN. The localized (I-V) characteristic reveals a typical rectifying property, and the current significantly increases with the loading force at the range of 10-190 nN. The ideality factor is simulated as 9.8 within the scope of GaN nano-Schottky diode unity (6.5-18), therefore the thermionic emission current is dominant in the electrical transport of the GaN-tip Schottky junction. The SBH is changed through the piezoelectric effect induced by the loading force, and it is attributed to the enhanced current. Furthermore, a single GaN nanobelt has a high mechanical-induced current ratio that could be made use of in a nanoelectromechanical switch.

  8. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  9. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  10. Ab initio-based approach to reconstruction, adsorption and incorporation on GaN surfaces

    International Nuclear Information System (INIS)

    Ito, T; Akiyama, T; Nakamura, K

    2012-01-01

    Reconstruction, adsorption and incorporation on various GaN surfaces are systematically investigated using an ab initio-based approach that predicts the surface phase diagram as functions of temperature and beam-equivalent pressure (BEP). The calculated results for GaN surface reconstructions with polar (0 0 0 1), nonpolar (1 1 −2 0), semipolar (1 −1 0 1) and semipolar (1 1 −2 2) orientations imply that reconstructions on GaN surfaces with Ga adlayers generally appear on the polar and the semipolar surfaces, while the stable ideal surface without Ga adsorption is found on the nonpolar GaN(1 1 −2 0) surface because it satisfies the electron counting rule. The hydrogen adsorption on GaN(0 0 0 1) and GaN(1 1 −2 0) realizes several surface structures forming N–H and Ga–NH 2 bonds on their surfaces that depend on temperature and Ga BEP during metal-organic vapor-phase epitaxy (MOVPE). In contrast, the stable structures due to hydrogen adsorption on the semipolar GaN(1 −1 0 1) and GaN(1 1 −2 2) surfaces are not varied over the wide range of temperature and Ga BEP. This implies that the hydrogen adsorbed stable structures are expected to emerge on the semipolar surfaces during MOVPE regardless of the growth conditions. Furthermore, we clarify that Mg incorporation on GaN(1 −1 0 1) surfaces is enhanced by hydrogen adsorption consistent with experimental findings

  11. Spin diffusion in bulk GaN measured with MnAs spin injector

    KAUST Repository

    Jahangir, Shafat; Dogan, Fatih; Kum, Hyun; Manchon, Aurelien; Bhattacharya, Pallab

    2012-01-01

    Spin injection and precession in bulk wurtzite n-GaN with different doping densities are demonstrated with a ferromagnetic MnAs contact using the three-terminal Hanle measurement technique. Theoretical analysis using minimum fitting parameters indicates that the spin accumulation is primarily in the n-GaN channel rather than at the ferromagnet (FM)/semiconductor (SC) interface states. Spin relaxation in GaN is interpreted in terms of the D’yakonov-Perel mechanism, yielding a maximum spin lifetime of 44 ps and a spin diffusion length of 175 nm at room temperature. Our results indicate that epitaxial ferromagnetic MnAs is a suitable high-temperature spin injector for GaN.

  12. Spin diffusion in bulk GaN measured with MnAs spin injector

    KAUST Repository

    Jahangir, Shafat

    2012-07-16

    Spin injection and precession in bulk wurtzite n-GaN with different doping densities are demonstrated with a ferromagnetic MnAs contact using the three-terminal Hanle measurement technique. Theoretical analysis using minimum fitting parameters indicates that the spin accumulation is primarily in the n-GaN channel rather than at the ferromagnet (FM)/semiconductor (SC) interface states. Spin relaxation in GaN is interpreted in terms of the D’yakonov-Perel mechanism, yielding a maximum spin lifetime of 44 ps and a spin diffusion length of 175 nm at room temperature. Our results indicate that epitaxial ferromagnetic MnAs is a suitable high-temperature spin injector for GaN.

  13. Thermal analysis and improvement of cascode GaN device package for totem-pole bridgeless PFC rectifier

    International Nuclear Information System (INIS)

    She, Shuojie; Zhang, Wenli; Liu, Zhengyang; Lee, Fred C.; Huang, Xiucheng; Du, Weijing; Li, Qiang

    2015-01-01

    The totem-pole bridgeless power factor correction (PFC) rectifier has a simpler topology and higher efficiency than other boost-type bridgeless PFC rectifiers. Its promising performance is enabled by using high-voltage gallium nitride (GaN) high-electron-mobility transistors, which have considerably better figures of merit (e.g., lower reverse recovery charges and less switching losses) than the state-of-the-art silicon metal-oxide-semiconductor field-effect transistors. Cascode GaN devices in traditional packages, i.e., the TO-220 and power quad flat no-lead, are used in the totem-pole PFC boost rectifier. But the parasitic inductances induced by the traditional packages not only significantly deteriorate the switching characteristics of the discrete GaN device but also adversely affect the performance of the built PFC rectifier. A new stack-die packaging structure with an embedded capacitor has been introduced and proven to be efficient in reducing parasitic ringing at the turn-off transition and achieving true zero-voltage-switching turn-on. However, the thermal dissipation capability of the device packaged in this configuration becomes a limitation on further pushing the operating frequency and the output current level for high-efficiency power conversion. This paper focuses on the thermal analysis of the cascode GaN devices in different packages and the GaN-based multichip module used in a two-phase totem-pole bridgeless PFC boost rectifier. A series of thermal models are built based on the actual structures and materials of the packaged devices to evaluate their thermal performance. Finite element analysis (FEA) simulation results of the cascode GaN device in a flip-chip format demonstrate the possibility of increasing the device switching speed while maintaining the peak temperature of the device below 125 °C. Thermal analysis of the GaN-based power module in a very similar structure is also conducted using the FEA method. Experimental data measured using

  14. Transport mechanisms in Schottky diodes realized on GaN

    Science.gov (United States)

    Amor, Sarrah; Ahaitouf, Ali; Ahaitouf, Abdelaziz; Salvestrini, Jean Paul; Ougazzaden, Abdellah

    2017-03-01

    This work is focused on the conducted transport mechanisms involved on devices based in gallium nitride GaN and its alloys. With considering all conduction mechanisms of current, its possible to understanded these transport phenomena. Thanks to this methodology the current-voltage characteristics of structures with unusual behaviour are further understood and explain. Actually, the barrier height (SBH) is a complex problem since it depends on several parameters like the quality of the metal-semiconductor interface. This study is particularly interesting as solar cells are made on this material and their qualification is closely linked to their transport properties.

  15. GaN Based Electronics And Their Applications

    Science.gov (United States)

    Ren, Fan

    2002-03-01

    The Group III-nitrides were initially researched for their promise to fill the void for a blue solid state light emitter. Electronic devices from III-nitrides have been a more recent phenomenon. The thermal conductivity of GaN is three times that of GaAs. For high power or high temperature applications, good thermal conductivity is imperative for heat removal or sustained operation at elevated temperatures. The development of III-N and other wide bandgap technologies for high temperature applications will likely take place at the expense of competing technologies, such as silicon-on-insulator (SOI), at moderate temperatures. At higher temperatures (>300°C), novel devices and components will become possible. The automotive industry will likely be one of the largest markets for such high temperature electronics. One of the most noteworthy advantages for III-N materials over other wide bandgap semiconductors is the availability of AlGaN/GaN and InGaN/GaN heterostructures. A 2-dimensional electron gas (2DEG) has been shown to exist at the AlGaN/GaN interface, and heterostructure field effect transistors (HFETs) from these materials can exhibit 2DEG mobilities approaching 2000 cm2 / V?s at 300K. Power handling capabilities of 12 W/mm appear feasible, and extraordinary large signal performance has already been demonstrated, with a current state-of-the-art of >10W/mm at X-band. In this talk, high speed and high temperature AlGaN/GaN HEMTs as well as MOSHEMTs, high breakdown voltage GaN (>6KV) and AlGaN (9.7 KV) Schottky diodes, and their applications will be presented.

  16. Luminescence evolution of porous GaN thin films prepared via UV-assisted electrochemical etching

    International Nuclear Information System (INIS)

    Cheah, S.F.; Lee, S.C.; Ng, S.S.; Yam, F.K.; Abu Hassan, H.; Hassan, Z.

    2015-01-01

    Porous gallium nitride (GaN) thin films with different surface morphologies and free carriers properties were fabricated from Si-doped GaN thin films using ultra-violet assisted electrochemical etching approach under various etching voltages. Fluctuation of luminescence signals was observed in the photoluminescence spectra of porous GaN thin films. Taking advantage of the spectral sensitivity of infrared attenuated total reflection spectroscopy on semiconductor materials, roles of free carriers and porous structure in controlling luminescence properties of GaN were investigated thoroughly. The results revealed that enhancement in luminescence signal is not always attained upon porosification. Although porosification is correlated to the luminescence enhancement, however, free carrier is the primary factor to enhance luminescence intensity. Due to unavoidable significant reduction of free carriers from Si-doped GaN in the porosification process, control of etching depth (i.e., thickness of porous layer formed from the Si-doped layer) is critical in fabricating porous GaN thin film with enhanced luminescence response. - Highlights: • Various pore morphologies with free carrier properties are produced by Si-doped GaN. • Free carriers are important to control the luminescence signal of porous GaN. • Enhancement of luminescence signal relies on the pore depth of Si-doped layer

  17. Growth and characterization of semi-insulating carbon-doped/undoped GaN multiple-layer buffer

    International Nuclear Information System (INIS)

    Kim, Dong-Seok; Won, Chul-Ho; Kang, Hee-Sung; Kim, Young-Jo; Kang, In Man; Lee, Jung-Hee; Kim, Yong Tae

    2015-01-01

    We have proposed a new semi-insulating GaN buffer layer, which consists of multiple carbon-doped and undoped GaN layer. The buffer layer showed sufficiently good semi-insulating characteristics, attributed to the depletion effect between the carbon-doped GaN and the undoped GaN layers, even though the thickness of the carbon-doped GaN layer in the periodic structure was designed to be very thin to minimize the total carbon incorporation into the buffer layer. The AlGaN/AlN/GaN heterostructure grown on the proposed buffer exhibited much better electrical and structural properties than that grown on the conventional thick carbon-doped semi-insulating GaN buffer layer, confirmed by Hall measurement, x-ray diffraction, and secondary ion mass spectrometry. The fabricated device also showed excellent buffer breakdown characteristics. (paper)

  18. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    Science.gov (United States)

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. GaN light-emitting device based on ionic liquid electrolyte

    Science.gov (United States)

    Hirai, Tomoaki; Sakanoue, Tomo; Takenobu, Taishi

    2018-06-01

    Ionic liquids (ILs) are attractive materials for fabricating unique hybrid devices based on electronics and electrochemistry; thus, IL-gated transistors and organic light-emitting devices of light-emitting electrochemical cells (LECs) are investigated for future low-voltage and high-performance devices. In LECs, voltage application induces the formation of electrochemically doped p–n homojunctions owing to ion rearrangements in composites of semiconductors and electrolytes, and achieves electron–hole recombination for light emission at the homojunctions. In this work, we applied this concept of IL-induced electrochemical doping to the fabrication of GaN-based light-emitting devices. We found that voltage application to the layered IL/GaN structure accumulated electrons on the GaN surface owing to ion rearrangements and improved the conductivity of GaN. The ion rearrangement also enabled holes to be injected by the strong electric field of electric double layers on hole injection contacts. This simultaneous injection of holes and electrons into GaN mediated by ions achieves light emission at a low voltage of around 3.4 V. The light emission from the simple IL/GaN structure indicates the usefulness of an electrochemical technique in generating light emission with great ease of fabrication.

  20. Nanoscale imaging of surface piezoresponse on GaN epitaxial layers

    International Nuclear Information System (INIS)

    Stoica, T.; Calarco, R.; Meijers, R.; Lueth, H.

    2007-01-01

    Surfaces of GaN films were investigated by atomic force microscopy (AFM) with implemented piezoelectric force microscopy technique. A model of PFM based on the surface depletion region in GaN films is discussed. The local piezoelectric effect of the low frequency regime was found to be in phase with the applied voltage on large domains, corresponding to a Ga-face of the GaN layer. Low piezoresponse is obtained within the inter-domain regions. The use of frequencies near a resonance frequency enhances very much the resolution of piezo-imaging, but only for very low scanning speed the piezo-imaging can follow the local piezoelectric effect. An inversion of the PFM image contrast is obtained for frequencies higher than the resonance frequencies. The effect of a chemical surface treatment on the topography and the piezoresponse of the GaN films was also investigated. Textured surfaces with very small domains were observed after the chemical treatment. For this kind of surfaces, piezo-induced torsion rather than bending of the AFM cantilever dominates the contrast of the PFM images. A small memory effect was observed, and explained by surface charging and confinement of the piezoelectric effect within the carrier depletion region at the GaN surface

  1. Growth of GaN nanostructures with polar and semipolar orientations for the fabrication of UV LEDs

    Science.gov (United States)

    Brault, Julien; Damilano, Benjamin; Courville, Aimeric; Leroux, Mathieu; Kahouli, Abdelkarim; Korytov, Maxim; Vennéguès, Philippe; Randazzo, Gaetano; Chenot, Sébastien; Vinter, Borge; De Mierry, Philippe; Massies, Jean; Rosales, Daniel; Bretagnon, Thierry; Gil, Bernard

    2014-03-01

    (Al,Ga)N light emitting diodes (LEDs), emitting over a large spectral range from 360 nm (GaN) down to 210 nm (AlN), have been successfully fabricated over the last decade. Clear advantages compared to the traditional mercury lamp technology (e.g. compactness, low-power operation, lifetime) have been demonstrated. However, LED efficiencies still need to be improved. The main problems are related to the structural quality and the p-type doping efficiency of (Al,Ga)N. Among the current approaches, GaN nanostructures, which confine carriers along both the growth direction and the growth plane, are seen as a solution for improving the radiative recombination efficiency by strongly reducing the impact of surrounding defects. Our approach, based on a 2D - 3D growth mode transition in molecular beam epitaxy, can lead to the spontaneous formation of GaN nanostructures on (Al,Ga)N over a broad range of Al compositions. Furthermore, the versatility of the process makes it possible to fabricate nanostructures on both (0001) oriented "polar" and (11 2 2) oriented "semipolar" materials. We show that the change in the crystal orientation has a strong impact on the morphological and optical properties of the nanostructures. The influence of growth conditions are also investigated by combining microscopy (SEM, TEM) and photoluminescence techniques. Finally, their potential as UV emitters will be discussed and the performances of GaN / (Al,Ga)N nanostructure-based LED demonstrators are presented.

  2. Role of Ga vacancies in enhancing the leakage current of GaN Schottky barrier ultraviolet photodetectors

    International Nuclear Information System (INIS)

    De-Gang, Zhao; Shuang, Zhang; Wen-Bao, Liu; De-Sheng, Jiang; Jian-Jun, Zhu; Zong-Shun, Liu; Hui, Wang; Shu-Ming, Zhang; Hui, Yang; Xiao-Peng, Hao; Long, Wei

    2010-01-01

    The leakage current of GaN Schottky barrier ultraviolet photodetectors is investigated. It is found that the photodetectors adopting undoped GaN instead of lightly Si-doped GaN as an active layer show a much lower leakage current even when they have a higher dislocation density. It is also found that the density of Ga vacancies in undoped GaN is much lower than in Si-doped GaN. The Ga vacancies may enhance tunneling and reduce effective Schottky barrier height, leading to an increase of leakage current. It suggests that when undoped GaN is used as the active layer, it is necessary to reduce the leakage current of GaN Schottky barrier ultraviolet photodetector. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  3. Transmission electron microscopy of defects and internal fields in GaN structures

    Energy Technology Data Exchange (ETDEWEB)

    Mokhtari, H

    2001-07-01

    The main aim of this study was to understand the microstructure of GaN and InGaN/GaN and to examine electric fields around the defects, and across the quantum wells by electron holography. For this reason different types of GaN and InGaN/GaN samples have been prepared and studied. Conventional transmission electron microscopy has been used for structural study of two MBE grown GaN/GaAs samples, grown at room temperature and at 340 deg C. The structure of the samples were found to be hexagonal polycrystalline in an amorphous GaN matrix, and textured hexagonal polycrystalline material respectively. The experimental results indicate that the higher growth temperature results in a more crystalline material with a higher density of bigger grain sizes. Different types of undoped and Si doped GaN/Sapphire samples were studied, with respect to the defect structure in GaN films. GaN was found to be a highly defective material with a dislocation density of 10{sup 9}/cm{sup 2}. The majority of the dislocations are edge dislocations. It has been found that nanopipes are open core screw dislocations, and the population and size of the nanopipes is proportional to the Si doping concentration. Dislocation structures were found to depend on the Si doping level in the material, with higher Si doping giving a lower density of dislocations with a more random distribution. In addition some EELS, EDX and HRTEM have been performed on the nanopipes and dislocations in order to investigate Si segregation in the defects. In MBE grown GaN/In{sub 0.1}Ga{sub 0.9}N/GaN SQWs and MQWs, V shaped defects were found to be present in the InGaN regions, which locally reduced the width of the InGaN layers. (author)

  4. Transmission electron microscopy of defects and internal fields in GaN structures

    International Nuclear Information System (INIS)

    Mokhtari, H.

    2001-07-01

    The main aim of this study was to understand the microstructure of GaN and InGaN/GaN and to examine electric fields around the defects, and across the quantum wells by electron holography. For this reason different types of GaN and InGaN/GaN samples have been prepared and studied. Conventional transmission electron microscopy has been used for structural study of two MBE grown GaN/GaAs samples, grown at room temperature and at 340 deg C. The structure of the samples were found to be hexagonal polycrystalline in an amorphous GaN matrix, and textured hexagonal polycrystalline material respectively. The experimental results indicate that the higher growth temperature results in a more crystalline material with a higher density of bigger grain sizes. Different types of undoped and Si doped GaN/Sapphire samples were studied, with respect to the defect structure in GaN films. GaN was found to be a highly defective material with a dislocation density of 10 9 /cm 2 . The majority of the dislocations are edge dislocations. It has been found that nanopipes are open core screw dislocations, and the population and size of the nanopipes is proportional to the Si doping concentration. Dislocation structures were found to depend on the Si doping level in the material, with higher Si doping giving a lower density of dislocations with a more random distribution. In addition some EELS, EDX and HRTEM have been performed on the nanopipes and dislocations in order to investigate Si segregation in the defects. In MBE grown GaN/In 0.1 Ga 0.9 N/GaN SQWs and MQWs, V shaped defects were found to be present in the InGaN regions, which locally reduced the width of the InGaN layers. (author)

  5. Heteroepitaxial Patterned Growth of Vertically Aligned and Periodically Distributed ZnO Nanowires on GaN Using Laser Interference Ablation

    KAUST Repository

    Yuan, Dajun

    2010-08-23

    A simple two-step method of fabricating vertically aligned and periodically distributed ZnO nanowires on gallium nitride (GaN) substrates is described. The method combines laser interference ablation (LIA) and low temperature hydrothermal decomposition. The ZnO nanowires grow heteroepitaxially on unablated regions of GaN over areas spanning 1 cm2, with a high degree of control over size, orientation, uniformity, and periodicity. High resolution transmission electron microscopy and scanning electron microscopy are utilized to study the structural characteristics of the LIA-patterned GaN substrate in detail. These studies reveal the possible mechanism for the preferential, site-selective growth of the ZnO nanowires. The method demonstrates high application potential for wafer-scale integration into sensor arrays, piezoelectric devices, and optoelectronic devices. © 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Ion implantation of Cd and Ag into AlN and GaN

    CERN Document Server

    Miranda, Sérgio M C; Correia, João Guilherme; Vianden, Reiner; Johnston, Karl; Alves, Eduardo; Lorenz, Katharina

    2012-01-01

    GaN and AlN thin films were implanted with cadmium (Cd) or silver (Ag), to fluences ranging from 1×1013 to 1.7 × 1015 at/cm$^{2}$. The implanted samples were annealed at 950 ºC under flowing nitrogen. While implantation damage could be fully removed for the lowest fluences, for higher fluences the crystal quality was only partially recovered. For the high fluence samples the lattice site location of the ions was studied by Rutherford Backscattering/ channelling (RBS/C). Cd ions are found to be incorporated in substitutional cation sites (Al or Ga) while Ag is slightly displaced from this position. To further investigate the incorporation sites, Perturbed Angular Correlation (PAC) measurements were performed and the electric field gradients at the site of the probe nuclei were determined.

  7. Thermal Annealing induced relaxation of compressive strain in porous GaN structures

    KAUST Repository

    Ben Slimane, Ahmed

    2012-01-01

    The effect of annealing on strain relaxation in porous GaN fabricated using electroless chemical etching is presented. The Raman shift of 1 cm-1 in phonon frequency of annealed porous GaN with respect to as-grown GaN corresponds to a relaxation of compressive strain by 0.41 ± 0.04 GPa. The strain relief promises a marked reduction in threading dislocation for subsequent epitaxial growth.

  8. Hybrid device based on GaN nanoneedles and MEH-PPV/PEDOT:PSS polymer

    International Nuclear Information System (INIS)

    Shin, Min Jeong; Gwon, Dong-Oh; Lee, Chan-Mi; Lee, Gang Seok; Jeon, In-Jun; Ahn, Hyung Soo; Yi, Sam Nyung; Ha, Dong Han

    2015-01-01

    Highlights: • A hybrid device was demonstrated by using MEH-PPV, PEDOT:PSS, and GaN nanoneedles. • I–V curve of the hybrid device showed its rectification behaviour, similar to a diode. • EL peak originated by the different potential barriers at MEH-PPV and GaN interface. - Abstract: A hybrid device that combines the properties of organic and inorganic semiconductors was fabricated and studied. It incorporated poly[2-methoxy-5-(2-ethylhexyloxy)- 1,4-phenylenevinylene] (MEH-PPV) and poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) as organic polymers and GaN nanoneedles as an inorganic semiconductor. Layers of the two polymers were spin coated on to the GaN nanoneedles. The one peak in the electroluminescence spectrum originated from the MEH-PPV layer owing to the different potential barriers of electrons and holes at its interface with the GaN nanoneedles. However, the photoluminescence spectrum showed peaks due to both GaN nanoneedles and MEH-PPV. Such hybrid structures, suitably developed, might be able to improve the efficiency of optoelectronic devices

  9. Hybrid device based on GaN nanoneedles and MEH-PPV/PEDOT:PSS polymer

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Min Jeong; Gwon, Dong-Oh; Lee, Chan-Mi; Lee, Gang Seok [Department of Applied Science, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Jeon, In-Jun [Department of Nano-semiconductor Engineering, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Ahn, Hyung Soo [Department of Applied Science, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Department of Nano-semiconductor Engineering, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Yi, Sam Nyung, E-mail: snyi@kmou.ac.kr [Department of Applied Science, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Department of Nano-semiconductor Engineering, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Ha, Dong Han [Division of Convergence Technology, Korea Research Institute of Standards and Science, Daejeon 305-340 (Korea, Republic of)

    2015-08-15

    Highlights: • A hybrid device was demonstrated by using MEH-PPV, PEDOT:PSS, and GaN nanoneedles. • I–V curve of the hybrid device showed its rectification behaviour, similar to a diode. • EL peak originated by the different potential barriers at MEH-PPV and GaN interface. - Abstract: A hybrid device that combines the properties of organic and inorganic semiconductors was fabricated and studied. It incorporated poly[2-methoxy-5-(2-ethylhexyloxy)- 1,4-phenylenevinylene] (MEH-PPV) and poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) as organic polymers and GaN nanoneedles as an inorganic semiconductor. Layers of the two polymers were spin coated on to the GaN nanoneedles. The one peak in the electroluminescence spectrum originated from the MEH-PPV layer owing to the different potential barriers of electrons and holes at its interface with the GaN nanoneedles. However, the photoluminescence spectrum showed peaks due to both GaN nanoneedles and MEH-PPV. Such hybrid structures, suitably developed, might be able to improve the efficiency of optoelectronic devices.

  10. A High-Efficiency 100-W GaN Three-Way Doherty Amplifier for Base-Station Applications

    NARCIS (Netherlands)

    Pelk, M.J.; Neo, W.C.E.; Gajadharsing, J.R.; Pengelly, R.S.; De Vreede, L.C.N.

    2008-01-01

    A three-way Doherty 100-W GaN base-station power amplifier at 2.14 GHz is presented. Simple, but accurate design equations for the output power combiner of the amplifier are introduced. Mixed-signal techniques are utilized for uncompromised control of the amplifier stages to optimize efficiency, as

  11. Breaking Through the Multi-Mesa-Channel Width Limited of Normally Off GaN HEMTs Through Modulation of the Via-Hole-Length.

    Science.gov (United States)

    Chien, Cheng-Yen; Wu, Wen-Hsin; You, Yao-Hong; Lin, Jun-Huei; Lee, Chia-Yu; Hsu, Wen-Ching; Kuan, Chieh-Hsiung; Lin, Ray-Ming

    2017-12-01

    We present new normally off GaN high-electron-mobility transistors (HEMTs) that overcome the typical limitations in multi-mesa-channel (MMC) width through modulation of the via-hole-length to regulate the charge neutrality screen effect. We have prepared enhancement-mode (E-mode) GaN HEMTs having widths of up to 300 nm, based on an enhanced surface pinning effect. E-mode GaN HEMTs having MMC structures and widths as well as via-hole-lengths of 100 nm/2 μm and 300 nm/6 μm, respectively, exhibited positive threshold voltages (V th ) of 0.79 and 0.46 V, respectively. The on-resistances of the MMC and via-hole-length structures were lower than those of typical tri-gate nanoribbon GaN HEMTs. In addition, the devices not only achieved the E-mode but also improved the power performance of the GaN HEMTs and effectively mitigated the device thermal effect. We controlled the via-hole-length sidewall surface pinning effect to obtain the E-mode GaN HEMTs. Our findings suggest that via-hole-length normally off GaN HEMTs have great potential for use in next-generation power electronics.

  12. Germanium doping of GaN by metalorganic chemical vapor deposition for polarization screening applications

    KAUST Repository

    Young, N.G.

    2016-10-01

    We demonstrate n-type doping of GaN with Ge by MOCVD at high concentrations that are necessary to fully screen the polarization fields in c-plane InGaN/GaN quantum wells. Hall measurements show linear Ge incorporation with dopant flow rate and carrier concentrations exceeding 1×10 cm. GaN:Ge layers exhibit excellent electron mobility, high conductivity, and contact resistivity comparable to the best unannealed contacts to Si-doped GaN. However, the surface morphology begins to degrade with Ge concentrations above 1×10 cm, resulting in severe step bunching and a network of plateaus and trenches, even in layers as thin as 10 nm.

  13. Germanium doping of GaN by metalorganic chemical vapor deposition for polarization screening applications

    KAUST Repository

    Young, N.G.; Farrell, R.M.; Iza, M.; Nakamura, S.; DenBaars, S.P.; Weisbuch, C.; Speck, J.S.

    2016-01-01

    We demonstrate n-type doping of GaN with Ge by MOCVD at high concentrations that are necessary to fully screen the polarization fields in c-plane InGaN/GaN quantum wells. Hall measurements show linear Ge incorporation with dopant flow rate and carrier concentrations exceeding 1×10 cm. GaN:Ge layers exhibit excellent electron mobility, high conductivity, and contact resistivity comparable to the best unannealed contacts to Si-doped GaN. However, the surface morphology begins to degrade with Ge concentrations above 1×10 cm, resulting in severe step bunching and a network of plateaus and trenches, even in layers as thin as 10 nm.

  14. Monolithic Flexible Vertical GaN Light-Emitting Diodes for a Transparent Wireless Brain Optical Stimulator.

    Science.gov (United States)

    Lee, Han Eol; Choi, JeHyuk; Lee, Seung Hyun; Jeong, Minju; Shin, Jung Ho; Joe, Daniel J; Kim, DoHyun; Kim, Chang Wan; Park, Jung Hwan; Lee, Jae Hee; Kim, Daesoo; Shin, Chan-Soo; Lee, Keon Jae

    2018-05-18

    Flexible inorganic-based micro light-emitting diodes (µLEDs) are emerging as a significant technology for flexible displays, which is an important area for bilateral visual communication in the upcoming Internet of Things era. Conventional flexible lateral µLEDs have been investigated by several researchers, but still have significant issues of power consumption, thermal stability, lifetime, and light-extraction efficiency on plastics. Here, high-performance flexible vertical GaN light-emitting diodes (LEDs) are demonstrated by silver nanowire networks and monolithic fabrication. Transparent, ultrathin GaN LED arrays adhere to a human fingernail and stably glow without any mechanical deformation. Experimental studies provide outstanding characteristics of the flexible vertical μLEDs (f-VLEDs) with high optical power (30 mW mm -2 ), long lifetime (≈12 years), and good thermal/mechanical stability (100 000 bending/unbending cycles). The wireless light-emitting system on the human skin is successfully realized by transferring the electrical power f-VLED. Finally, the high-density GaN f-VLED arrays are inserted onto a living mouse cortex and operated without significant histological damage of brain. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  16. Real time spectroscopic ellipsometry investigation of homoepitaxial GaN grown by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Brown, April [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    The growth of GaN by plasma assisted molecular beam epitaxy on GaN template substrates (GaN on sapphire) is investigated with in-situ multi-channel spectroscopic ellipsometry. Growth is performed under various Ga/N flux ratios at growth temperatures in the range 710-780 C. The thermal roughening of the GaN template caused by decomposition of the surface is investigated through the temporal variation of the GaN pseudodielectric function over the temperature range of 650 C to 850 C. The structural, morphological, and optical properties are also discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Neumann, L.; Gerlach, J.W.; Rauschenbach, B.

    2012-01-01

    Ultra-thin gallium nitride (GaN) films were deposited using the ion-beam assisted molecular-beam epitaxy technique. The influence of the nitrogen ion to gallium atom flux ratio (I/A ratio) during the early stages of GaN nucleation and thin film growth directly, without a buffer layer on super-polished 6H-SiC(0001) substrates was studied. The deposition process was performed at a constant substrate temperature of 700 °C by evaporation of Ga and irradiation with hyperthermal nitrogen ions from a constricted glow-discharge ion source. The hyperthermal nitrogen ion flux was kept constant and the kinetic energy of the ions did not exceed 25 eV. The selection of different I/A ratios in the range from 0.8 to 3.2 was done by varying the Ga deposition rate between 5 × 10 13 and 2 × 10 14 at. cm −2 s −1 . The crystalline surface structure during the GaN growth was monitored in situ by reflection high-energy electron diffraction. The surface topography of the films as well as the morphology of separated GaN islands on the substrate surface was examined after film growth using a scanning tunneling microscope without interruption of ultra-high vacuum. The results show, that the I/A ratio has a major impact on the properties of the resulting ultra-thin GaN films. The growth mode, the surface roughness, the degree of GaN coverage of the substrate and the polytype mixture depend notably on the I/A ratio. - Highlights: ► Ultra-thin epitaxial GaN films prepared by hyperthermal ion-beam assisted deposition. ► Surface structure and topography studied during and after initial growth stages. ► Growth mode dependent on nitrogen ion to gallium atom flux ratio. ► Change from three-dimensional to two-dimensional growth for Ga-rich growth conditions.

  18. Structural characterisation of GaN and GaN:O thin films

    International Nuclear Information System (INIS)

    Granville, S.; Budde, F.; Koo, A.; Ruck, B.J.; Trodahl, H.J.; Bittar, A.; Metson, J.B.; James, B.J.; Kennedy, V.J.; Markwitz, A.; Prince, K.E.

    2005-01-01

    In its crystalline form, the wide band-gap semiconductor GaN is of exceptional interest in the development of suitable materials for short wavelength optoelectronic devices. One of the barriers to its potential usefulness however is the large concentration of defects present even in MBE-grown material often due to the lattice mismatch of the GaN with common substrate materials. Calculations have suggested that GaN films grown with an amorphous structure retain many of the useful properties of the crystalline material, including the wide band-gap and a low density of states in the gap, and thus may be a suitable alternative to the single crystal GaN for a variety of applications. We have performed structural and compositional measurements on heavily disordered GaN thin films with and without measureable O and H concentrations grown using ion-assisted deposition. X-ray diffraction and x-ray absorption fine structure measurements show that stoichiometric films are composed of nanocrystallites of ∼3-4 nm in size and that GaN films containing O to 10 at % or greater are amorphous. Rutherford backscattering spectroscopy (RBS) was performed and nuclear reaction analysis (NRA) measurements were made to determine the elemental composition of the films and elastic recoil detection (ERD) detected the hydrogen concentrations. Secondary ion mass spectroscopy (SIMS) measurements were used to depth profile the films. X-ray photoelectron spectroscopy (XPS) measurements probed the bonding environment of the Ga in the films. (author). 2 figs., 1 tab

  19. The feasibility of tunable p-type Mg doping in a GaN monolayer nanosheet

    International Nuclear Information System (INIS)

    Xia, Congxin; Peng, Yuting; Wei, Shuyi; Jia, Yu

    2013-01-01

    Based on density functional theory, the electronic structures, formation energy and transition energy level of a p-type Mg-doped GaN nanosheet are investigated. Numerical results show that the transition energy level decreases monotonously with increasing Mg doping concentration in Mg-doped GaN nanosheet systems, which is lower than that of the Mg-doped bulk GaN case. Moreover, the formation energy calculations indicate that Mg-doped GaN nanosheet structures can be realized under N-rich experimental growth conditions

  20. Secondary ion mass spectrometry analysis of In-doped p-type GaN films

    International Nuclear Information System (INIS)

    Chiou, C.Y.; Wang, C.C.; Ling, Y.C.; Chiang, C.I.

    2003-01-01

    SIMS was used to investigate the isoelectronic In-doped p-type GaN films. The growth rate of the p-type GaN film decreased with increasing Mg and In doping. The Mg saturation in GaN was 3.55x10 19 atoms/cm 3 . The role of In as surfactant was evaluated by varying In concentrations and it was observed that the surface appeared smooth with increasing In incorporation. The Mg solubility in p-type GaN improved to 0.0025% molar ratio of the GaN with In incorporation. The In concentration results observed in neutron activation analysis (NAA) were found to be higher by a factor of 2.88 than that observed in SIMS and can be attributed to the difference in sensitivity of the two techniques. Good linearity in the results was observed from both techniques

  1. Estimation of free carrier concentrations in high-quality heavily doped GaN:Si micro-rods by photoluminescence and Raman spectroscopy

    Science.gov (United States)

    Mohajerani, M. S.; Khachadorian, S.; Nenstiel, C.; Schimpke, T.; Avramescu, A.; Strassburg, M.; Hoffmann, A.; Waag, A.

    2016-03-01

    The controlled growth of highly n-doped GaN micro rods is one of the major challenges in the fabrication of recently developed three-dimensional (3D) core-shell light emitting diodes (LEDs). In such structures with a large active area, higher electrical conductivity is needed to achieve higher current density. In this contribution, we introduce high quality heavily-doped GaN:Si micro-rods which are key elements of the newly developed 3D core-shell LEDs. These structures were grown by metal-organic vapor phase epitaxy (MOVPE) using selective area growth (SAG). We employed spatially resolved micro-Raman and micro-photoluminescence (PL) in order to directly determine a free-carrier concentration profile in individual GaN micro-rods. By Raman spectroscopy, we analyze the low-frequency branch of the longitudinal optical (LO)-phonon-plasmon coupled modes and estimate free carrier concentrations from ≍ 2.4 × 1019 cm-3 up to ≍ 1.5 × 1020 cm-3. Furthermore, free carrier concentrations are determined by estimating Fermi energy level from the near band edge emission measured by low-temperature PL. The results from both methods reveal a good consistency.

  2. Nanoscratch Characterization of GaN Epilayers on c- and a-Axis Sapphire Substrates

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2010-01-01

    Full Text Available Abstract In this study, we used metal organic chemical vapor deposition to form gallium nitride (GaN epilayers on c- and a-axis sapphire substrates and then used the nanoscratch technique and atomic force microscopy (AFM to determine the nanotribological behavior and deformation characteristics of the GaN epilayers, respectively. The AFM morphological studies revealed that pile-up phenomena occurred on both sides of the scratches formed on the GaN epilayers. It is suggested that cracking dominates in the case of GaN epilayers while ploughing during the process of scratching; the appearances of the scratched surfaces were significantly different for the GaN epilayers on the c- and a-axis sapphire substrates. In addition, compared to the c-axis substrate, we obtained higher values of the coefficient of friction (μ and deeper penetration of the scratches on the GaN a-axis sapphire sample when we set the ramped force at 4,000 μN. This discrepancy suggests that GaN epilayers grown on c-axis sapphire have higher shear resistances than those formed on a-axis sapphire. The occurrence of pile-up events indicates that the generation and motion of individual dislocation, which we measured under the sites of critical brittle transitions of the scratch track, resulted in ductile and/or brittle properties as a result of the deformed and strain-hardened lattice structure.

  3. Electrical, optical, and structural properties of GaN films prepared by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Polyakov, A.Y.; Smirnov, N.B.; Yakimov, E.B.; Usikov, A.S.; Helava, H.; Shcherbachev, K.D.; Govorkov, A.V.; Makarov, Yu N.; Lee, In-Hwan

    2014-01-01

    Highlights: • GaN films are prepared by hydride vapor phase epitaxy (HVPE). • Residual donors and deep traps show a minimum density versus growth temperature. • This minimum is located close to the HVPE growth temperature of 950 °C. • Good crystalline GaN with residual donor density < 10 16 cm −3 can be grown at 950 °C. - Abstract: Two sets of undoped GaN films with the thickness of 10–20 μm were prepared by hydride vapor phase epitaxy (HVPE) and characterized by capacitance–voltage (C–V) profiling, microcathodoluminescence (MCL) spectra measurements, MCL imaging, electron beam induced current (EBIC) imaging, EBIC dependence on accelerating voltage, deep levels transient spectroscopy, high resolution X-ray diffraction measurements. The difference in growth conditions was mainly related to the lower (850 °C, group 1) or higher (950 °C, group 2) growth temperature. Both groups of samples showed similar crystalline quality with the dislocation density close to 10 8 cm −2 , but very different electrical and optical properties. In group 1 samples the residual donors concentration was ∼10 17 cm −3 or higher, the MCL spectra were dominated by the band-edge luminescence, and the diffusion length of charge carriers was close to 0.1 μm. Group 2 samples had a 2–4.5 μm thick highly resistive layer on top, for which MCL spectra were determined by green, yellow and red defect bands, and the diffusion length was 1.5 times higher than in group 1. We also present brief results of growth at the “standard” HVPE growth temperature of 1050 °C that show the presence of a minimum in the net donor concentration and deep traps density as a function of the growth temperature. Possible reasons for the observed results are discussed in terms of the electrical compensation of residual donors by deep traps

  4. Surface States Effect on the Large Photoluminescence Redshift in GaN Nanostructures

    KAUST Repository

    Ben Slimane, Ahmed

    2013-01-01

    We report on the large photoluminescence redshift observed in nanostructures fabricated using n-type GaN by ultraviolet (UV) metal-assisted electroless chemical-etching method. The scanning electron microscopy (SEM) characterization showed nanostructures with size dispersion ranging from 10 to 100 nm. We observed the crystalline structure using high resolution transmission electron microscopy (HRTEM) and electron energy loss (EELS) techniques. In contrast to 362 nm UV emission from the GaN epitaxy, the nanostructures emitted violet visible-light in photoluminescence (PL) characterization with increasing optical excitation. An energy band model was presented to shed light on the large PL redshift under the influence of surface states, which resulted in two competing photoluminescence mechanisms depending on excitation conditions.

  5. The importance of structural inhomogeneity in GaN thin films

    Science.gov (United States)

    Liliental-Weber, Z.; Reis, Roberto dos; Weyher, Jan L.; Staszczak, Grzegorz; Jakieła, Rafał

    2016-12-01

    This paper describes two types of MOCVD-grown n-type GaN layers (Samples A and B) with similar carrier concentration but behaved differently under galvanic photo-etching. In order to understand this behavior, Transmission Electron Microscopy (TEM) for cross-section and plan-view samples, Secondary Ion Mass Spectroscopy (SIMS) and photoluminescence (PL) techniques were applied. SIMS studies showed that Si, C and O are approximately at the same concentration in both samples, but Sample B also contained Fe and Mg. Both GaN samples were grown on sapphire substrate with Ga growth polarity, which was confirmed by Convergent Beam Electron Diffraction (CBED). Despite a smaller layer thickness in Sample B, the density of edge dislocations is almost one order of magnitude lower than in Sample A. In addition, planar defects formed in this sample in the transition area between the undoped buffer and Si doped layers resulted in a substantial decrease in the density of screw dislocations at the sample surface. These planar defects most probably gave rise to the PL lines observed at 3.42 eV and 3.32 eV. The new PL lines that only appeared in Sample B might be related to Mg impurities found in this sample. There were no detectable gettering of these impurities at dislocations using different diffraction conditions. However, Fe rich platelets were found only in Sample B due to the presence of Fe as well as hexagonal features, similar to defects reported earlier in highly Mg-doped GaN. These structural and chemical non-uniformities between the two GaN samples can explain their different etching behaviors. This paper demonstrates that samples with similar carrier concentrations do not necessarily ensure similar structural and optical properties and that additional material characterization are needed to ensure that devices built on such samples have similar performance.

  6. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  7. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Alevli, Mustafa; Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi

    2016-01-01

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor

  8. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr [Department of Physics, Marmara University, Göztepe Kadıköy, 34722 İstanbul (Turkey); Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr [Institute of Materials Science and Nanotechnology, Bilkent University, Bilkent, 06800 Ankara, Turkey and National Nanotechnology Research Center (UNAM), Bilkent University, Bilkent, 06800 Ankara (Turkey)

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  9. Formation of Ga2O3 by the oxidation of p-type GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pinnisch, Melanie; Reppin, Daniel; Stehr, Jan; Laufer, Andreas; Hofmann, Detlev M.; Meyer, Bruno K. [1. Physikalisches Institut, Justus-Liebig-University, Giessen (Germany)

    2010-07-01

    Both GaN and Ga{sub 2}O{sub 3} are wide band gap semiconductors with energies of 3.45 eV and 4.9 eV, respectively. While GaN can be achieved p- or n-type conducting by doping, Ga{sub 2}O{sub 3} is n-type or high resistive dependent on the presence of oxygen vacancies. We studied the conversion of p-type Mg doped GaN thin films to Ga{sub 2}O{sub 3} by thermal treatments in the temperature range from 600 C to 1200 C and in different atmospheres. Changes of the film properties were studied by means of X-ray diffraction, photo-electron spectroscopy and atomic force microscopy. Optical and magnetic resonance methods were used to investigate the evolution of the dopands and defects.

  10. Fabrication and characterization of high quality n-ZnO/p-GaN heterojunction light emission diodes

    International Nuclear Information System (INIS)

    Zheng Hao; Mei, Z.X.; Zeng, Z.Q.; Liu, Y.Z.; Guo, L.W.; Jia, J.F.; Xue, Q.K.; Zhang, Z.; Du, X.L.

    2011-01-01

    High quality single crystalline n-type ZnO film was grown on p-type GaN substrate using molecular beam epitaxy. Transmission electron microscopy reveals a sharp ZnO/GaN interface. Light-emitting diode was fabricated from this heterostructure, and a turn-on voltage of ∼ 3.4 V was demonstrated. We found that the emission peak shifts from violet (430 nm) to near-ultraviolet (375 nm) when the driving current increases from 0.38 mA to 3.08 mA. This intriguing phenomenon can be understood by charged carrier's radical recombination occurring at both sides of the device, and the current enhancement of ZnO emission efficiency.

  11. Effects of hydrogen on Mn-doped GaN: A first principles calculation

    International Nuclear Information System (INIS)

    Wu, M.S.; Xu, B.; Liu, G.; Lei, X.L.; Ouyang, C.Y.

    2013-01-01

    First-principles calculations based on spin density functional theory are performed to study the effects of H on the structural, electronic and magnetic properties of the Mn-doped GaN dilute magnetic semiconductors. Our results show that the interstitial H atom prefers to bond with N atom rather than Mn atom, which means that H favors to form the N–H complex rather than Mn–H complex in the Mn-doped GaN. After introducing one H atom in the system, the total magnetic moment of the Mn-doped GaN increases by 25%, from 4.0μ B to 5.0μ B . The physics mechanism of the increase of magnetic moment after hydrogenation in Mn-doped GaN is discussed

  12. P- and N-type implantation doping of GaN with Ca and O

    International Nuclear Information System (INIS)

    Zolper, J.C.; Wilson, R.G.; Pearton, S.J.

    1996-01-01

    III-N photonic devices have made great advances in recent years following the demonstration of doping of GaN p-type with Mg and n-type with Si. However, the deep ionization energy level of Mg in GaN (∼ 160 meV) limits the ionized of acceptors at room temperature to less than 1.0% of the substitutional Mg. With this in mind, the authors used ion implantation to characterize the ionization level of Ca in GaN since Ca had been suggested by Strite to be a shallow acceptor in GaN. Ca-implanted GaN converted from n-to-p type after a 1,100 C activation anneal. Variable temperature Hall measurements give an ionization level at 169 meV. Although this level is equivalent to that of Mg, Ca-implantation may have advantages (shallower projected range and less straggle for a given energy) than Mg for electronic devices. In particular, the authors report the first GaN device using ion implantation doping. This is a GaN junction field effect transistor (JFET) which employed Ca-implantation. A 1.7 microm JFET had a transconductance of 7 mS/mm, a saturation current at 0 V gate bias of 33 mA/mm, a f t of 2.7 GHz, and a f max of 9.4 GHz. O-implantation was also studied and shown to create a shallow donor level (∼ 25 meV) that is similar to Si. SIMS profiles of as-implanted and annealed samples showed no measurable redistribution of either Ca or O in GaN at 1,125 C

  13. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  14. Radiotracer Spectroscopy on Group II Acceptors in GaN

    CERN Multimedia

    2002-01-01

    The semiconductor GaN is already used for the production of high power light emitting diodes in the blue and UV spectral range. But the $\\rho$-type doping, which is usually obtained by Mg doping, is still inefficient due to compensation and passivation effects caused by defects present in the material. It is theoretically predicted, that Be is a more promising candidate for $\\rho$-doping with a lower ionization energy of 60meV. It is our goal to investigate the electrical and optical properties of Be- and Mg-related defects in GaN to clarify the problem of compensation and passivation. The used methods are standard spectroscopic methods in semiconductor physics which are improved by using radioactive isotopes. The radioactive decay of $^{7}$Be and $^{28}$Mg is used to clearly correlate different signals with Be or Mg related defects. We intend to use the spectroscopic techniques Deep Level Transient Spectroscopy (DLTS), Thermal Admittance Spectroscopy (TAS), photoluminescence (PL) and additionally Hall-effect...

  15. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    Science.gov (United States)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  16. In-situ measurement of the strain relaxation of GaN nanograins during X-ray irradiation

    International Nuclear Information System (INIS)

    Choe, Hyeokmin; Lee, Sanghwa; Sohn, Yuri; Kim, Chinkyo

    2008-01-01

    GaN nanograins were grown on a c-plane sapphire substrate and their strain relaxation due to X-ray irradiation was investigated in-situ by utilizing synchrotron xray scattering. The GaN nanograins were constantly exposed to the synchrotron X-ray and θ-2θ scans through the (002) Bragg peak of GaN were repeatedly carried out during the irradiation. The Bragg peak of the compressively strained GaN nanograins gradually shifted toward higher angle, which implies that the GaN nanograins in compressive strain experienced strain relaxation during X-ray irradiation. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. W and WSix Ohmic contacts on p- and n-type GaN

    International Nuclear Information System (INIS)

    Cao, X.A.; Ren, F.; Pearton, S.J.; Zeitouny, A.; Eizenberg, M.; Zolper, J.C.; Abernathy, C.R.; Han, J.; Shul, R.J.; Lothian, J.R.

    1999-01-01

    W and WSi Ohmic contacts on both p- and n-type GaN have been annealed at temperatures from 300 to 1000 degree C. There is minimal reaction (≤100 Angstrom broadening of the metal/GaN interface) even at 1000 degree C. Specific contact resistances in the 10 -5 Ω cm 2 range are obtained for WSi x on Si-implanted GaN with a peak doping concentration of ∼5x10 20 cm -3 , after annealing at 950 degree C. On p-GaN, leaky Schottky diode behavior is observed for W, WSi x and Ni/Au contacts at room temperature, but true Ohmic characteristics are obtained at 250 - 300 degree C, where the specific contact resistances are, typically, in the 10 -2 Ω cm 2 range. The best contacts for W and WSi x are obtained after 700 degree C annealing for periods of 30 - 120 s. The formation of β-W 2 N interfacial phases appear to be important in determining the contact quality. copyright 1999 American Vacuum Society

  18. Gadolinium-Based GaN for Neutron Detection with Gamma Discrimination

    Science.gov (United States)

    2016-06-01

    Gadolinium-Based GaN for Neutron Detection with Gamma Discrimination Distribution Statement A. Approved for public release; distribution is...Final Technical Report BRBAA08-Per5-Y-1-2-0030 Title: “Gadolinium-Based GaN for Neutron Detection with Gamma Discrimination ” Grant...Analysis  .............................................................................................  23   6.   Gamma-ray Discrimination

  19. Dislocation core structures in Si-doped GaN

    International Nuclear Information System (INIS)

    Rhode, S. L.; Fu, W. Y.; Sahonta, S.-L.; Kappers, M. J.; Humphreys, C. J.; Horton, M. K.; Pennycook, T. J.; Dusane, R. O.; Moram, M. A.

    2015-01-01

    Aberration-corrected scanning transmission electron microscopy was used to investigate the core structures of threading dislocations in plan-view geometry of GaN films with a range of Si-doping levels and dislocation densities ranging between (5 ± 1) × 10 8  and (10 ± 1) × 10 9  cm −2 . All a-type (edge) dislocation core structures in all samples formed 5/7-atom ring core structures, whereas all (a + c)-type (mixed) dislocations formed either double 5/6-atom, dissociated 7/4/8/4/9-atom, or dissociated 7/4/8/4/8/4/9-atom core structures. This shows that Si-doping does not affect threading dislocation core structures in GaN. However, electron beam damage at 300 keV produces 4-atom ring structures for (a + c)-type cores in Si-doped GaN

  20. Dislocation core structures in Si-doped GaN

    Energy Technology Data Exchange (ETDEWEB)

    Rhode, S. L., E-mail: srhode@imperial.ac.uk; Fu, W. Y.; Sahonta, S.-L.; Kappers, M. J.; Humphreys, C. J. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Horton, M. K. [Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom); Pennycook, T. J. [SuperSTEM, STFC Daresbury Laboratories, Warrington WA4 4AD (United Kingdom); Department of Materials, University of Oxford, Parks Road, Oxford OX1 3PH (United Kingdom); Dusane, R. O. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology Bombay, Mumbai 400076 (India); Moram, M. A. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom)

    2015-12-14

    Aberration-corrected scanning transmission electron microscopy was used to investigate the core structures of threading dislocations in plan-view geometry of GaN films with a range of Si-doping levels and dislocation densities ranging between (5 ± 1) × 10{sup 8} and (10 ± 1) × 10{sup 9} cm{sup −2}. All a-type (edge) dislocation core structures in all samples formed 5/7-atom ring core structures, whereas all (a + c)-type (mixed) dislocations formed either double 5/6-atom, dissociated 7/4/8/4/9-atom, or dissociated 7/4/8/4/8/4/9-atom core structures. This shows that Si-doping does not affect threading dislocation core structures in GaN. However, electron beam damage at 300 keV produces 4-atom ring structures for (a + c)-type cores in Si-doped GaN.