WorldWideScience

Sample records for high dielectric thin

  1. Dielectric and acoustical high frequency characterisation of PZT thin films

    International Nuclear Information System (INIS)

    Conde, Janine; Muralt, Paul

    2010-01-01

    Pb(Zr, Ti)O 3 (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {100} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  2. Dielectric and acoustical high frequency characterisation of PZT thin films

    Science.gov (United States)

    Conde, Janine; Muralt, Paul

    2010-02-01

    Pb(Zr, Ti)O3 (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {100} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  3. Dielectric and acoustical high frequency characterisation of PZT thin films

    Energy Technology Data Exchange (ETDEWEB)

    Conde, Janine; Muralt, Paul, E-mail: janine.conde@epfl.ch [Department of Materials Science, EPFL (Switzerland)

    2010-02-15

    Pb(Zr, Ti)O{sub 3} (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {l_brace}100{r_brace} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  4. Investigation of high- k yttrium copper titanate thin films as alternative gate dielectrics

    International Nuclear Information System (INIS)

    Monteduro, Anna Grazia; Ameer, Zoobia; Rizzato, Silvia; Martino, Maurizio; Caricato, Anna Paola; Maruccio, Giuseppe; Tasco, Vittorianna; Lekshmi, Indira Chaitanya; Hazarika, Abhijit; Choudhury, Debraj; Sarma, D D

    2016-01-01

    Nearly amorphous high- k yttrium copper titanate thin films deposited by laser ablation were investigated in both metal–oxide–semiconductor (MOS) and metal–insulator–metal (MIM) junctions in order to assess the potentialities of this material as a gate oxide. The trend of dielectric parameters with film deposition shows a wide tunability for the dielectric constant and AC conductivity, with a remarkably high dielectric constant value of up to 95 for the thick films and conductivity as low as 6  ×  10 −10 S cm −1 for the thin films deposited at high oxygen pressure. The AC conductivity analysis points out a decrease in the conductivity, indicating the formation of a blocking interface layer, probably due to partial oxidation of the thin films during cool-down in an oxygen atmosphere. Topography and surface potential characterizations highlight differences in the thin film microstructure as a function of the deposition conditions; these differences seem to affect their electrical properties. (paper)

  5. Nonlinear dielectric thin films for high-power electric storage with energy density comparable with electrochemical supercapacitors.

    Science.gov (United States)

    Yao, Kui; Chen, Shuting; Rahimabady, Mojtaba; Mirshekarloo, Meysam Sharifzadeh; Yu, Shuhui; Tay, Francis Eng Hock; Sritharan, Thirumany; Lu, Li

    2011-09-01

    Although batteries possess high energy storage density, their output power is limited by the slow movement of charge carriers, and thus capacitors are often required to deliver high power output. Dielectric capacitors have high power density with fast discharge rate, but their energy density is typically much lower than electrochemical supercapacitors. Increasing the energy density of dielectric materials is highly desired to extend their applications in many emerging power system applications. In this paper, we review the mechanisms and major characteristics of electric energy storage with electrochemical supercapacitors and dielectric capacitors. Three types of in-house-produced ferroic nonlinear dielectric thin film materials with high energy density are described, including (Pb(0.97)La(0.02))(Zr(0.90)Sn(0.05)Ti(0.05))O(3) (PLZST) antiferroelectric ceramic thin films, Pb(Zn(1/3)Nb(2/3))O(3-)Pb(Mg(1/3)Nb(2/3))O(3-)PbTiO(3) (PZN-PMN-PT) relaxor ferroelectric ceramic thin films, and poly(vinylidene fluoride) (PVDF)-based polymer blend thin films. The results showed that these thin film materials are promising for electric storage with outstandingly high power density and fairly high energy density, comparable with electrochemical supercapacitors.

  6. Perovskite oxynitride LaTiOxNy thin films: Dielectric characterization in low and high frequencies

    International Nuclear Information System (INIS)

    Lu, Y.; Ziani, A.; Le Paven-Thivet, C.; Benzerga, R.; Le Gendre, L.; Fasquelle, D.; Kassem, H.

    2011-01-01

    Lanthanum titanium oxynitride (LaTiO x N y ) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO x N y thin films deposited on conductive single crystal Nb–STO show a dielectric constant ε′ ≈ 140 with low losses tanδ = 0.012 at 100 kHz. For the LaTiO x N y polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO 2 /Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO x N y films deposited on MgO substrate present a high dielectric constant with low losses (ε′ ≈ 170, tanδ = 0.011, 12 GHz).

  7. Low-Temperature Solution-Processed Gate Dielectrics for High-Performance Organic Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Jaekyun Kim

    2015-10-01

    Full Text Available A low-temperature solution-processed high-k gate dielectric layer for use in a high-performance solution-processed semiconducting polymer organic thin-film transistor (OTFT was demonstrated. Photochemical activation of sol-gel-derived AlOx films under 150 °C permitted the formation of a dense film with low leakage and relatively high dielectric-permittivity characteristics, which are almost comparable to the results yielded by the conventionally used vacuum deposition and high temperature annealing method. Octadecylphosphonic acid (ODPA self-assembled monolayer (SAM treatment of the AlOx was employed in order to realize high-performance (>0.4 cm2/Vs saturation mobility and low-operation-voltage (<5 V diketopyrrolopyrrole (DPP-based OTFTs on an ultra-thin polyimide film (3-μm thick. Thus, low-temperature photochemically-annealed solution-processed AlOx film with SAM layer is an attractive candidate as a dielectric-layer for use in high-performance organic TFTs operated at low voltages.

  8. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  9. Bi-axially crumpled silver thin-film electrodes for dielectric elastomer actuators

    International Nuclear Information System (INIS)

    Low, Sze-Hsien; Lau, Gih-Keong

    2014-01-01

    Metal thin films, which have high conductivity, are much stiffer and may fracture at a much lower strain than dielectric elastomers. In order to fabricate compliant electrodes for use in dielectric elastomer actuators (DEAs), metal thin films have been formed into either zigzag patterns or corrugations, which favour bending and only allow uniaxial DEA deformations. However, biaxially compliant electrodes are desired in order to maximize generated forces of DEA. In this paper, we present crumpled metal thin-film electrodes that are biaxially compliant and have full area coverage over the dielectric elastomer. These crumpled metal thin-film electrodes are more stretchable than flat metal thin films; they remain conductive beyond 110% radial strain. Also, crumpling reduced the stiffening effect of metal thin films on the soft elastomer. As such, DEAs using crumpled metal thin-film electrodes managed to attain relatively high actuated area strains of up to 128% at 1.8 kV (102 Vμm −1 ). (paper)

  10. Perovskite oxynitride LaTiO{sub x}N{sub y} thin films: Dielectric characterization in low and high frequencies

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Y.; Ziani, A. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Le Paven-Thivet, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Fasquelle, D. [Laboratoire d' Etude des Materiaux et des Composants pour l' Electronique (LEMCEL) UPRES-EA 2601, University of Littoral-Cote d' Opale, 50 rue Ferdinand Buisson, F-62228 Calais cedex (France); Kassem, H. [Laboratoire de l' Integration du Materiau au Systeme(IMS) UMR-CNRS 5218, groupe Materiaux, University of Bordeaux 1, 16 avenue Pey-Berland, 33607 Pessac (France); and others

    2011-11-01

    Lanthanum titanium oxynitride (LaTiO{sub x}N{sub y}) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO{sub x}N{sub y} thin films deposited on conductive single crystal Nb-STO show a dielectric constant {epsilon} Prime Almost-Equal-To 140 with low losses tan{delta} = 0.012 at 100 kHz. For the LaTiO{sub x}N{sub y} polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO{sub 2}/Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO{sub x}N{sub y} films deposited on MgO substrate present a high dielectric constant with low losses ({epsilon} Prime Almost-Equal-To 170, tan{delta} = 0.011, 12 GHz).

  11. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a highdielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  12. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  13. Fabrication of Nonvolatile Memory Effects in High-k Dielectric Thin Films Using Electron Irradiation

    International Nuclear Information System (INIS)

    Park, Chanrock; Cho, Daehee; Kim, Jeongeun; Hwang, Jinha

    2010-01-01

    Electron Irradiation can be applied towards nano-floating gate memories which are recognized as one of the next-generation nonvolatile memory semiconductors. NFGMs can overcome the preexisting limitations encountered in Dynamic Random Access Memories and Flash memories with the excellent advantages, i. e. high-density information storage, high response speed, high compactness, etc. The traditional nano-floating gate memories are fabricated through multi-layered nano structures of the dissimilar materials where the charge-trapping portions are sandwiched into the high-k dielectrics. However, this work reports the unique nonvolatile responses in single-layered high-k dielectric thin films if irradiated with highly accelerated electron beams. The implications of the electron irradiation will be discussed towards high-performance nano-floating gate memories

  14. Enhanced dielectric and electrical properties of annealed PVDF thin film

    Science.gov (United States)

    Arshad, A. N.; Rozana, M. D.; Wahid, M. H. M.; Mahmood, M. K. A.; Sarip, M. N.; Habibah, Z.; Rusop, M.

    2018-05-01

    Poly (vinylideneflouride) (PVDF) thin films were annealed at various annealing temperatures ranging from 70°C to 170°C. This study demonstrates that PVDF thin films annealed at temperature of 70°C (AN70) showed significant enhancement in their dielectric constant (14) at frequency of 1 kHz in comparison to un-annealed PVDF (UN-PVDF), dielectric constant (10) at the same measured frequency. As the annealing temperature was increased from 90°C (AN90) to 150°C (AN150), the dielectric constant value of PVDF thin films was observed to decrease gradually to 11. AN70 also revealed low tangent loss (tan δ) value at similar frequency. With respect to its resistivity properties, the values were found to increase from 1.98×104 Ω.cm to 3.24×104 Ω.cm for AN70 and UN-PVDF films respectively. The improved in dielectric constant, with low tangent loss and high resistivity value suggests that 70°C is the favorable annealing temperature for PVDF thin films. Hence, AN70 is a promising film to be utilized for application in electronic devices such as low frequency capacitor.

  15. Electrode/Dielectric Strip For High-Energy-Density Capacitor

    Science.gov (United States)

    Yen, Shiao-Ping S.

    1994-01-01

    Improved unitary electrode/dielectric strip serves as winding in high-energy-density capacitor in pulsed power supply. Offers combination of qualities essential for high energy density: high permittivity of dielectric layers, thinness, and high resistance to breakdown of dielectric at high electric fields. Capacitors with strip material not impregnated with liquid.

  16. The Electrical Breakdown of Thin Dielectric Elastomers

    DEFF Research Database (Denmark)

    Zakaria, Shamsul Bin; Morshuis, Peter H. F.; Yahia, Benslimane Mohamed

    2014-01-01

    Dielectric elastomers are being developed for use in actuators, sensors and generators to be used in various applications, such as artificial eye lids, pressure sensors and human motion energy generators. In order to obtain maximum efficiency, the devices are operated at high electrical fields....... This increases the likelihood for electrical breakdown significantly. Hence, for many applications the performance of the dielectric elastomers is limited by this risk of failure, which is triggered by several factors. Amongst others thermal effects may strongly influence the electrical breakdown strength....... In this study, we model the electrothermal breakdown in thin PDMS based dielectric elastomers in order to evaluate the thermal mechanisms behind the electrical failures. The objective is to predict the operation range of PDMS based dielectric elastomers with respect to the temperature at given electric field...

  17. Yttrium scandate thin film as alternative high-permittivity dielectric for germanium gate stack formation

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Cimang, E-mail: cimang@adam.t.u-tokyo.ac.jp; Lee, Choong Hyun; Nishimura, Tomonori; Toriumi, Akira [Department of Materials Engineering, The University of Tokyo, 7-3-1 Hongo, Tokyo 113-8656 (Japan); JST, CREST, 7-3-1 Hongo, Tokyo 113-8656 (Japan)

    2015-08-17

    We investigated yttrium scandate (YScO{sub 3}) as an alternative high-permittivity (k) dielectric thin film for Ge gate stack formation. Significant enhancement of k-value is reported in YScO{sub 3} comparing to both of its binary compounds, Y{sub 2}O{sub 3} and Sc{sub 2}O{sub 3}, without any cost of interface properties. It suggests a feasible approach to a design of promising high-k dielectrics for Ge gate stack, namely, the formation of high-k ternary oxide out of two medium-k binary oxides. Aggressive scaling of equivalent oxide thickness (EOT) with promising interface properties is presented by using YScO{sub 3} as high-k dielectric and yttrium-doped GeO{sub 2} (Y-GeO{sub 2}) as interfacial layer, for a demonstration of high-k gate stack on Ge. In addition, we demonstrate Ge n-MOSFET performance showing the peak electron mobility over 1000 cm{sup 2}/V s in sub-nm EOT region by YScO{sub 3}/Y-GeO{sub 2}/Ge gate stack.

  18. Cellulose triacetate, thin film dielectric capacitor

    Science.gov (United States)

    Yen, Shiao-Ping S. (Inventor); Jow, T. Richard (Inventor)

    1995-01-01

    Very thin films of cellulose triacetate are cast from a solution containing a small amount of high boiling temperature, non-solvent which evaporates last and lifts the film from the casting surface. Stretched, oriented, crystallized films have high electrical breakdown properties. Metallized films less than about 2 microns in thickness form self-healing electrodes for high energy density, pulsed power capacitors. Thicker films can be utilized as a dielectric for a capacitor.

  19. Dielectric relaxation of barium strontium titanate and application to thin films for DRAM capacitors

    Science.gov (United States)

    Baniecki, John David

    This thesis examines the issues associated with incorporating the high dielectric constant material Barium Strontium Titanate (BSTO) in to the storage capacitor of a dynamic random access memory (DRAM). The research is focused on two areas: characterizing and understanding the factors that control charge retention in BSTO thin films and modifying the electrical properties using ion implantation. The dielectric relaxation of BSTO thin films deposited by metal-organic chemical vapor deposition (MOCVD) is investigated in the time and frequency domains. It is shown that the frequency dispersion of the complex capacitance of BSTO thin films can be understood in terms of a power-law frequency dependence from 1mHz to 20GHz. From the correspondence between the time and frequency domain measurements, it is concluded that the power-law relaxation currents extend back to the nano second regime of DRAM operation. The temperature, field, and annealing dependence of the dielectric relaxation currents are also investigated and mechanisms for the observed power law relaxation are explored. An equivalent circuit model of a high dielectric constant thin film capacitor is developed based on the electrical measurements and implemented in PSPICE. Excellent agreement is found between the experimental and simulated electrical characteristics showing the utility of the equivalent circuit model in simulating the electrical properties of high dielectric constant thin films. Using the equivalent circuit model, it is shown that the greatest charge loss due to dielectric relaxation occurs during the first read after a refresh time following a write to the opposite logic state for a capacitor that has been written to the same logic state for a long time (opposite state write charge loss). A theoretical closed form expression that is a function of three material parameters is developed which estimates the opposite state write charge loss due to dielectric relaxation. Using the closed form

  20. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  1. FDTD simulations and analysis of thin sample dielectric properties measurements using coaxial probes

    Energy Technology Data Exchange (ETDEWEB)

    Bringhurst, S.; Iskander, M.F.; White, M.J. [Univ. of Utah, Salt Lake City, UT (United States). Electrical Engineering Dept.

    1996-12-31

    A metallized ceramic probe has been designed for high temperature broadband dielectric properties measurements. The probe was fabricated out of an alumina tube and rod as the outer and inner conductors respectively. The alumina was metallized with a 3 mil layer of moly-manganese and then covered with a 0.5 mil protective layer of nickel plating. The probe has been used to make complex dielectric properties measurements over the complete frequency band from 500 MHz to 3 GHz, and for temperatures as high as 1,000 C. A 3D Finite-Difference Time-Domain (FDTD) code was used to help investigate the feasibility of this probe to measure the complex permittivity of thin samples. It is shown that by backing the material under test with a standard material of known dielectric constant, the complex permittivity of thin samples can be measured accurately using the developed FDTD algorithm. This FDTD procedure for making thin sample dielectric properties measurements will be described.

  2. Pentacene based thin film transistors with high-k dielectric Nd2O3 as a gate insulator

    International Nuclear Information System (INIS)

    Sarma, R.; Saikia, D.

    2010-01-01

    We have investigated the pentacene based Organic Thin Film Transistors (OTFTs) with high-k dielectric Nd 2 O 3 . Use of high dielectric constant (high-k) gate insulator Nd 2 O 3 reduces the threshold voltage and sub threshold swing of the OTFTs. The calculated threshold voltage -2.2V and sub-threshold swing 1V/decade, current ON-OFF ratio is 1.7 X 10 4 and mobility is 0.13cm 2 /V.s. Pentacene film is deposited on Nd 2 O 3 surface using two step deposition method. Deposited pentacene film is found poly crystalline in nature. (author)

  3. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a highdielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  4. Pulsed EM Field Response of a Thin, High-Contrast, Finely Layered Structure With Dielectric and Conductive Properties

    NARCIS (Netherlands)

    De Hoop, A.T.; Jiang, L.

    2009-01-01

    The response of a thin, high-contrast, finely layered structure with dielectric and conductive properties to an incident, pulsed, electromagnetic field is investigated theoretically. The fine layering causes the standard spatial discretization techniques to solve Maxwell's equations numerically to

  5. Plasma polymerized high energy density dielectric films for capacitors

    Science.gov (United States)

    Yamagishi, F. G.

    1983-01-01

    High energy density polymeric dielectric films were prepared by plasma polymerization of a variety of gaseous monomers. This technique gives thin, reproducible, pinhole free, conformable, adherent, and insoluble coatings and overcomes the processing problems found in the preparation of thin films with bulk polymers. Thus, devices are prepared completely in a vacuum environment. The plasma polymerized films prepared all showed dielectric strengths of greater than 1000 kV/cm and in some cases values of greater than 4000 kV/cm were observed. The dielectric loss of all films was generally less than 1% at frequencies below 10 kHz, but this value increased at higher frequencies. All films were self healing. The dielectric strength was a function of the polymerization technique, whereas the dielectric constant varied with the structure of the starting material. Because of the thin films used (thickness in the submicron range) surface smoothness of the metal electrodes was found to be critical in obtaining high dielectric strengths. High dielectric strength graft copolymers were also prepared. Plasma polymerized ethane was found to be thermally stable up to 150 C in the presence of air and 250 C in the absence of air. No glass transitions were observed for this material.

  6. Dielectric Scattering Patterns for Efficient Light Trapping in Thin-Film Solar Cells.

    Science.gov (United States)

    van Lare, Claire; Lenzmann, Frank; Verschuuren, Marc A; Polman, Albert

    2015-08-12

    We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.

  7. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2015-01-01

    Full Text Available We investigated amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using different high-k gate dielectric materials such as silicon nitride (Si3N4 and aluminum oxide (Al2O3 at low temperature process (<300°C and compared them with low temperature silicon dioxide (SiO2. The IGZO device with high-k gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, postannealing treatment is an essential process for completing the process. The chemical reaction of the high-k/IGZO interface due to heat formation in high-k/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-k gate dielectric materials and explained the interface effect by charge band diagram.

  8. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    International Nuclear Information System (INIS)

    Lin, Y. H.; Chou, J. C.

    2015-01-01

    We investigated amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT_s) using different high-Κ gate dielectric materials such as silicon nitride (Si_3N_4) and aluminum oxide (Al_2O_3) at low temperature process (<300 degree) and compared them with low temperature silicon dioxide (SiO_2). The IGZO device with high-Κ gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, post annealing treatment is an essential process for completing the process. The chemical reaction of the high-κ/IGZO interface due to heat formation in high-Κ/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-Κ gate dielectric materials and explained the interface effect by charge band diagram.

  9. Ultra-thin Metal and Dielectric Layers for Nanophotonic Applications

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Leandro, Lorenzo; Malureanu, Radu

    2015-01-01

    In our talk we first give an overview of the various thin films used in the field of nanophotonics. Then we describe our own activity in fabrication and characterization of ultra-thin films of high quality. We particularly focus on uniform gold layers having thicknesses down to 6 nm fabricated by......-beam deposition on dielectric substrates and Al-oxides/Ti-oxides multilayers prepared by atomic layer deposition in high aspect ratio trenches. In the latter case we show more than 1:20 aspect ratio structures can be achieved....

  10. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric.

    Science.gov (United States)

    Fujii, Mami N; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-12-18

    The use of indium-gallium-zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic-inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic-inorganic hybrid devices.

  11. Structural and dielectric characterization of sputtered Tantalum Titanium Oxide thin films for high temperature capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A., E-mail: rouahi_ahlem@yahoo.fr [Univ. Grenoble Alpes, G2Elab, F-38000 (France); Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Challali, F. [Laboratoire des Sciences des Procédés et des Matériaux (LSPM)-CNRS-UPR3407, Université Paris13, 99 Avenue Jean-Baptiste Clément, 93430, Villetaneuse (France); Dakhlaoui, I. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Vallée, C. [CNRS, LTM, CEA-LETI, F-38000 Grenoble (France); Salimy, S. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Jomni, F.; Yangui, B. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Besland, M.P.; Goullet, A. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Sylvestre, A. [Univ. Grenoble Alpes, G2Elab, F-38000 (France)

    2016-05-01

    In this study, the dielectric properties of metal-oxide-metal capacitors based on Tantalum Titanium Oxide (TiTaO) thin films deposited by reactive magnetron sputtering on aluminum bottom electrode are investigated. The structure of the films was characterized by Atomic Force Microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The dielectric properties of TiTaO thin films were studied by complex impedance spectroscopy over a wide frequency range (10{sup -2} - to 10{sup 5} Hz) and temperatures in -50 °C to 325 °C range. The contributions of different phases, phases’ boundaries and conductivity effect were highlighted by Cole – Cole diagram (ε” versus ε’). Two relaxation processes have been identified in the electric modulus plot. A first relaxation process appears at low temperature with activation energy of 0.37 eV and it is related to the motion of Ti{sup 4+} (Skanavi’s model). A second relaxation process at high temperature is related to Maxwell-Wagner-Sillars relaxation with activation energy of 0.41 eV. - Highlights: • Titanium Tantalum Oxide thin films are grown on Aluminum substrate. • The existence of phases was confirmed by X-ray photoelectron spectroscopy. • Conductivity effect appears in Cole-Cole plot. • At low temperatures, a relaxation phenomenon obeys to Skanavi’s model. • Maxwell-Wagner-Sillars polarization is processed at high temperatures.

  12. Stimulated Raman gain scattering in thin planar dielectric waveguides

    NARCIS (Netherlands)

    Kanger, Johannes S.; Otto, Cornelis; Greve, Jan

    1995-01-01

    The stimulated Raman gain effect in planar dielectric waveguides is analyzed for the study of thin layers. Calculations show high gain factors and predict the possibility of detecting monolayers. Compared with those for methods based on ref lection, the gain can be 4 orders of magnitude higher for a

  13. Dielectric response of fully and partially depleted ferroelectric thin films and inversion of the thickness effect

    International Nuclear Information System (INIS)

    Misirlioglu, I B; Yildiz, M

    2013-01-01

    We study the effect of full and partial depletion on the dielectric response characteristics of ferroelectric thin films with impurities via a computational approach. Using a thermodynamic approach along with the fundamental equations for semiconductors, we show that films with partial depletion display unique features and an enhanced dielectric response compared with those fully depleted. We find that the capacitance peak at switching can be significantly suppressed in the case of high impurity densities (>10 25 m −3 ) with relatively low ionization energy, of the order of 0.5 eV. For conserved number of species in films, electromigration of ionized impurities at room temperature is negligible and has nearly no effect on the dielectric response. In films with high impurity density, the dielectric response at zero bias is enhanced with respect to charge-free films or those with relatively low impurity density ( 24 m −3 ). We demonstrate that partially depleted films should be expected to exhibit peculiar capacitance–voltage characteristics at low and high bias and that the thickness effect probed in experiments in ferroelectric thin films could be entirely inverted in thin films with depletion charges where a higher dielectric response can be measured in thicker films. Therefore, depletion charge densities in ferroelectric thin films should be estimated before size-effect-related studies. Finally, we noted that these findings are in good qualitative agreement with dielectric measurements carried out on PbZr x Ti 1−x O 3 . (paper)

  14. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    International Nuclear Information System (INIS)

    Le Paven, C.; Lu, Y.; Nguyen, H.V.; Benzerga, R.; Le Gendre, L.; Rioual, S.; Benzegoutta, D.; Tessier, F.; Cheviré, F.

    2014-01-01

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO 3 and Pt(111)/TiO 2 /SiO 2 /(001)Si substrates by RF magnetron sputtering, using a La 2 Ti 2 O 7 homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La 2 Ti 2 O 7 films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti 4+ ions, with no trace of Ti 3+ , and provides a La/Ti ratio of 1.02. The depositions being performed from a La 2 Ti 2 O 7 target under oxygen rich plasma, the same composition (La 2 Ti 2 O 7 ) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2 1 space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO 3 substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La 2 Ti 2 O 7 orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La 2 Ti 2 O 7 films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La 2 Ti 2 O 7 chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing

  15. Effect of crystal structure on strontium titanate thin films and their dielectric properties

    Science.gov (United States)

    Kampangkeaw, Satreerat

    Strontium titanate (SrTiO3 or STO) has application in radio and microwave-frequency tunable capacitor devices particularly at low temperatures due to its high dielectric constant, low loss and the electric field tunability of its dielectric constant. The main goal of improving the performance in these devices is to increase the tunability and decrease the dielectric loss at the same time, especially at microwave frequencies. Thin films of STO however, show dramatic differences compared to the bulk. The dielectric constant of bulk STO increases nonlinearly from 300 at room temperature to 30000 at 4 K and the loss range is 10-3--10 -4. On the other hand. STO thin films, while showing a dielectric constant close to 300 at room temperature, typically reach a maximum between 1000 and 10000 in the 30 K to 100 K range before decreasing, and the high-loss range is 10-2--10-3. We have grown strontium titanate thin films using a pulsed laser deposition technique on substrates selected to have a small lattice mismatch between the film and substrate. Neodymium gallate (NdGaO3 or NGO) and lanthanum aluminate (LaAlO3 or LAO) substrates were good candidates due to only 1--2% mismatching. Film capacitor devices were fabricated with 25 micron gap separation. 1.5 mm total gap length and an overall 1 x 2 mm dimension using standard lithography and gold metal evaporative techniques. Their nonlinear dielectric constant and loss tangent were measured at low frequencies and also at 2 GHz, and from room temperature down to 4 K. The resulting films show significant variations of dielectric properties with position on the substrates with respect to the deposition plume axis. In the presence of DC electric fields up to +/-4 V/mum, STO films show improved dielectric tunability and low loss in regions far from the plume axis. We found that the films grown on NCO have lower dielectric loss than those on LAO due to a closer match of the NCO lattice to that of STO. We investigated the possible

  16. Tungsten trioxide as high-{kappa} gate dielectric for highly transparent and temperature-stable zinc-oxide-based thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Michael; Wenckstern, Holger von; Grundmann, Marius [Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Institut fuer Experimentelle Physik II, Linnestr. 5, 04103 Leipzig (Germany)

    2012-07-01

    We demonstrate metal-insulator-semiconductor field-effect transistors with high-{kappa}, room-temperature deposited, highly transparent tungsten trioxide (WO{sub 3}) as gate dielectric. The channel material consists of a zinc oxide (ZnO) thin-film. The transmittance and resistivity of WO{sub 3} films was tuned in order to obtain a highly transparent and insulating WO{sub 3} dielectric. The devices were processed by standard photolithography using lift-off technique. On top of the WO{sub 3} dielectric a highly transparent and conductive oxide consisting of ZnO: Al 3% wt. was deposited. The gate structure of the devices exhibits an average transmittance in the visible spectral range of 86%. The on/off-current ratio is larger than 10{sup 8} with off- and gate leakage-currents below 3 x 10{sup -8} A/cm{sup 2}. Due to the high relative permittivity of {epsilon}{sub r} {approx} 70, a gate voltage sweep of only 2 V is necessary to turn the transistor on and off with a minimum subthreshold swing of 80 mV/decade. The channel mobility of the transistors equals the Hall-effect mobility with a value of 5 cm{sup 2}/Vs. It is furthermore shown, that the devices are stable up to operating temperatures of at least 150 C.

  17. Structure and performance of dielectric films based on self-assembled nanocrystals with a high dielectric constant.

    Science.gov (United States)

    Huang, Limin; Liu, Shuangyi; Van Tassell, Barry J; Liu, Xiaohua; Byro, Andrew; Zhang, Henan; Leland, Eli S; Akins, Daniel L; Steingart, Daniel A; Li, Jackie; O'Brien, Stephen

    2013-10-18

    Self-assembled films built from nanoparticles with a high dielectric constant are attractive as a foundation for new dielectric media with increased efficiency and range of operation, due to the ability to exploit nanofabrication techniques and emergent electrical properties originating from the nanoscale. However, because the building block is a discrete one-dimensional unit, it becomes a challenge to capture potential enhancements in dielectric performance in two or three dimensions, frequently due to surface effects or the presence of discontinuities. This is a recurring theme in nanoparticle film technology when applied to the realm of thin film semiconductor and device electronics. We present the use of chemically synthesized (Ba,Sr)TiO3 nanocrystals, and a novel deposition-polymerization technique, as a means to fabricate the dielectric layer. The effective dielectric constant of the film is tunable according to nanoparticle size, and effective film dielectric constants of up to 34 are enabled. Wide area and multilayer dielectrics of up to 8 cm(2) and 190 nF are reported, for which the building block is an 8 nm nanocrystal. We describe models for assessing dielectric performance, and distinct methods for improving the dielectric constant of a nanocrystal thin film. The approach relies on evaporatively driven assembly of perovskite nanocrystals with uniform size distributions in a tunable 7-30 nm size range, coupled with the use of low molecular weight monomer/polymer precursor chemistry that can infiltrate the porous nanocrystal thin film network post assembly. The intercrystal void space (low k dielectric volume fraction) is minimized, while simultaneously promoting intercrystal connectivity and maximizing volume fraction of the high k dielectric component. Furfuryl alcohol, which has good affinity to the surface of (Ba,Sr)TiO3 nanocrystals and miscibility with a range of solvents, is demonstrated to be ideal for the production of nanocomposites. The

  18. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  19. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.

    2013-07-18

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  20. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  1. Materials science, integration, and performance characterization of high-dielectric constant thin film based devices

    Science.gov (United States)

    Fan, Wei

    To overcome the oxidation and diffusion problems encountered during Copper integration with oxide thin film-based devices, TiAl/Cu/Ta heterostructure has been first developed in this study. Investigation on the oxidation and diffusion resistance of the laminate structure showed high electrical conductance and excellent thermal stability in oxygen environment. Two amorphous oxide layers that were formed on both sides of the TiAl barrier after heating in oxygen have been revealed as the structure that effectively prevents oxygen penetration and protects the integrity of underlying Cu layer. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were subsequently deposited on the Cu-based bottom electrode by RF magnetron sputtering to investigate the interaction between the oxide and Cu layers. The thickness of the interfacial layer and interface roughness play critical roles in the optimization of the electrical performance of the BST capacitors using Cu-based electrode. It was determined that BST deposition at moderate temperature followed by rapid thermal annealing in pure oxygen yields BST/Cu capacitors with good electrical properties for application to high frequency devices. The knowledge obtained on the study of barrier properties of TiAl inspired a continuous research on the materials science issues related to the application of the hybrid TiAlOx, as high-k gate dielectric in MOSFET devices. Novel fabrication process such as deposition of ultra-thin TiAl alloy layer followed by oxidation with atomic oxygen has been established in this study. Stoichiometric amorphous TiAlOx layers, exhibiting only Ti4+ and Al3+ states, were produced with a large variation of oxidation temperature (700°C to room temperature). The interfacial SiOx formation between TiAlOx and Si was substantially inhibited by the use of the low temperature oxidation process. Electrical characterization revealed a large permittivity of 30 and an improved band structure for the produced TiAlOx layers

  2. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    Energy Technology Data Exchange (ETDEWEB)

    Le Paven, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Lu, Y. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Nguyen, H.V. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); CEA LETI, Minatec Campus, 38054 Grenoble (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Rioual, S. [Laboratoire de Magnétisme de Brest (EA CNRS 4522), Université de Bretagne Occidentale, 29000 Brest (France); Benzegoutta, D. [Institut des Nanosciences de Paris (INSP, UMR CNRS 7588), Université Pierre et Marie Curie, 75005 Paris (France); Tessier, F.; Cheviré, F. [Institut des Sciences Chimiques de Rennes (ISCR, UMR-CNRS 6226), Equipe Verres et Céramiques, Université de Rennes 1, 35000 Rennes (France); and others

    2014-02-28

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO{sub 3} and Pt(111)/TiO{sub 2}/SiO{sub 2}/(001)Si substrates by RF magnetron sputtering, using a La{sub 2}Ti{sub 2}O{sub 7} homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La{sub 2}Ti{sub 2}O{sub 7} films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti{sup 4+} ions, with no trace of Ti{sup 3+}, and provides a La/Ti ratio of 1.02. The depositions being performed from a La{sub 2}Ti{sub 2}O{sub 7} target under oxygen rich plasma, the same composition (La{sub 2}Ti{sub 2}O{sub 7}) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2{sub 1} space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO{sub 3} substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La{sub 2}Ti{sub 2}O{sub 7} orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La{sub 2}Ti{sub 2}O{sub 7} films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La{sub 2}Ti{sub 2}O{sub 7} chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing.

  3. Low operating voltage InGaZnO thin-film transistors based on Al2O3 high-k dielectrics fabricated using pulsed laser deposition

    International Nuclear Information System (INIS)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K.; Lee, W. J.; Shin, B. C.; Cho, C. R.

    2014-01-01

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al 2 O 3 dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al 2 O 3 and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al 2 O 3 gate dielectric exhibits a very low leakage current density of 1.3 x 10 -8 A/cm 2 at 5 V and a high capacitance density of 60.9 nF/cm 2 . The IGZO TFT with a structure of Ni/IGZO/Al 2 O 3 /Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm 2 V -1 s -1 , an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10 7 .

  4. Sodium beta-alumina thin films as gate dielectrics for AlGaN/GaN metal—insulator—semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Tian Ben-Lang; Chen Chao; Li Yan-Rong; Zhang Wan-Li; Liu Xing-Zhao

    2012-01-01

    Sodium beta-alumina (SBA) is deposited on AlGaN/GaN by using a co-deposition process with sodium and Al 2 O 3 as the precursors. The X-ray diffraction (XRD) spectrum reveals that the deposited thin film is amorphous. The binding energy and composition of the deposited thin film, obtained from the X-ray photoelectron spectroscopy (XPS) measurement, are consistent with those of SBA. The dielectric constant of the SBA thin film is about 50. Each of the capacitance—voltage characteristics obtained at five different frequencies shows a high-quality interface between SBA and AlGaN. The interface trap density of metal—insulator—semiconductor high-electron-mobility transistor (MISHEMT) is measured to be (3.5∼9.5)×10 10 cm −2 ·eV −1 by the conductance method. The fixed charge density of SBA dielectric is on the order of 2.7×10 12 cm −2 . Compared with the AlGaN/GaN metal—semiconductor heterostructure high-electron-mobility transistor (MESHEMT), the AlGaN/GaN MISHEMT usually has a threshold voltage that shifts negatively. However, the threshold voltage of the AlGaN/GaN MISHEMT using SBA as the gate dielectric shifts positively from −5.5 V to −3.5 V. From XPS results, the surface valence-band maximum (VBM-EF) of AlGaN is found to decrease from 2.56 eV to 2.25 eV after the SBA thin film deposition. The possible reasons why the threshold voltage of AlGaN/GaN MISHEMT with the SBA gate dielectric shifts positively are the influence of SBA on surface valence-band maximum (VBM-EF), the reduction of interface traps and the effects of sodium ions, and/or the fixed charges in SBA on the two-dimensional electron gas (2DEG). (condensed matter: structural, mechanical, and thermal properties)

  5. Plasmonic versus dielectric enhancement in thin-film solar cells

    DEFF Research Database (Denmark)

    Dühring, Maria Bayard; Mortensen, N. Asger; Sigmund, Ole

    2012-01-01

    to its metallic counterpart. We show that the enhanced normalized short-circuit current for a cell with silicon strips can be increased 4 times compared to the best performance for strips of silver, gold, or aluminium. For this particular case, the simple dielectric grating may outperform its plasmonic......Several studies have indicated that broadband absorption of thin-film solar cells can be enhanced by use of surface-plasmon induced resonances of metallic parts like strips or particles. The metallic parts may create localized modes or scatter incoming light to increase absorption in thin......-film semiconducting material. For a particular case, we show that coupling to the same type of localized slab-waveguide modes can be obtained by a surface modulation consisting of purely dielectric strips. The purely dielectric device turns out to have a significantly higher broadband enhancement factor compared...

  6. Influence of Doping Concentration on Dielectric, Optical, and Morphological Properties of PMMA Thin Films

    Directory of Open Access Journals (Sweden)

    Lyly Nyl Ismail

    2012-01-01

    Full Text Available PMMA thin films were deposited by sol gel spin coating method on ITO substrates. Toluene was used as the solvent to dissolve the PMMA powder. The PMMA concentration was varied from 30 ~ 120 mg. The dielectric properties were measured at frequency of 0 ~ 100 kHz. The dielectric permittivity was in the range of 7.3 to 7.5 which decreased as the PMMA concentration increased. The dielectric loss is in the range of 0.01 ~ –0.01. All samples show dielectric characteristics which have dielectric loss is less than 0.05. The optical properties for thin films were measured at room temperature across 200 ~ 1000 nm wavelength region. All samples are highly transparent. The energy band gaps are in the range of 3.6 eV to 3.9 eV when the PMMA concentration increased. The morphologies of the samples show that all samples are uniform and the surface roughness increased as the concentration increased. From this study, it is known that, the dielectric, optical, and morphology properties were influenced by the amount of PMMA concentration in the solution.

  7. Dielectric loss of strontium titanate thin films

    Science.gov (United States)

    Dalberth, Mark Joseph

    1999-12-01

    Interest in strontium titanate (STO) thin films for microwave device applications continues to grow, fueled by the telecommunications industry's interest in phase shifters and tunable filters. The optimization of these devices depends upon increasing the phase or frequency tuning and decreasing the losses in the films. Currently, the dielectric response of thin film STO is poorly understood through lack of data and a theory to describe it. We have studied the growth of STO using pulsed laser deposition and single crystal substrates like lanthanum aluminate and neodymium gallate. We have researched ways to use ring resonators to accurately measure the dielectric response as a function of temperature, electric field, and frequency from low radio frequencies to a few gigahertz. Our films grown on lanthanum aluminate show marked frequency dispersion in the real part of the dielectric constant and hints of thermally activated loss behavior. We also found that films grown with conditions that optimized the dielectric constant showed increased losses. In an attempt to simplify the system, we developed a technique called epitaxial lift off, which has allowed us to study films removed from their growth substrates. These free standing films have low losses and show obvious thermally activated behavior. The "amount of tuning," as measured by a figure of merit, KE, is greater in these films than in the films still attached to their growth substrates. We have developed a theory that describes the real and imaginary parts of the dielectric constant. The theory models the real part using a mean field description of the ionic motion in the crystal and includes the loss by incorporating the motion of charged defects in the films.

  8. Functional Design of Dielectric-Metal-Dielectric-Based Thin-Film Encapsulation with Heat Transfer and Flexibility for Flexible Displays.

    Science.gov (United States)

    Kwon, Jeong Hyun; Choi, Seungyeop; Jeon, Yongmin; Kim, Hyuncheol; Chang, Ki Soo; Choi, Kyung Cheol

    2017-08-16

    In this study, a new and efficient dielectric-metal-dielectric-based thin-film encapsulation (DMD-TFE) with an inserted Ag thin film is proposed to guarantee the reliability of flexible displays by improving the barrier properties, mechanical flexibility, and heat dissipation, which are considered to be essential requirements for organic light-emitting diode (OLED) encapsulation. The DMD-TFE, which is composed of Al 2 O 3 , Ag, and a silica nanoparticle-embedded sol-gel hybrid nanocomposite, shows a water vapor transmission rate of 8.70 × 10 -6 g/m 2 /day and good mechanical reliability at a bending radius of 30 mm, corresponding to 0.41% strain for 1000 bending cycles. The electrical performance of a thin-film encapsulated phosphorescent organic light-emitting diode (PHOLED) was identical to that of a glass-lid encapsulated PHOLED. The operational lifetimes of the thin-film encapsulated and glass-lid encapsulated PHOLEDs are 832 and 754 h, respectively. After 80 days, the thin-film encapsulated PHOLED did not show performance degradation or dark spots on the cell image in a shelf-lifetime test. Finally, the difference in lifetime of the OLED devices in relation to the presence and thickness of a Ag film was analyzed by applying various TFE structures to fluorescent organic light-emitting diodes (FOLEDs) that could generate high amounts of heat. To demonstrate the difference in heat dissipation effect among the TFE structures, the saturated temperatures of the encapsulated FOLEDs were measured from the back side surface of the glass substrate, and were found to be 67.78, 65.12, 60.44, and 39.67 °C after all encapsulated FOLEDs were operated at an initial luminance of 10 000 cd/m 2 for sufficient heat generation. Furthermore, the operational lifetime tests of the encapsulated FOLED devices showed results that were consistent with the measurements of real-time temperature profiles taken with an infrared camera. A multifunctional hybrid thin-film encapsulation

  9. Application of Dielectric, Ferroelectric and Piezoelectric Thin Film Devices in Mobile Communication and Medical Systems

    NARCIS (Netherlands)

    Klee, M.; Beelen, D.; Keurl, W.; Kiewitt, R.; Kumar, B.; Mauczok, R.; Reimann, K.; Renders, Ch.; Roest, A.; Roozeboom, F.; Steeneken, P.G.; Tiggelman, M.P.J.; Vanhelmont, F.; Wunnicke, O.; Lok, P.; Neumann, K.; Fraser, J.; Schmitz, G.

    2007-01-01

    Dielectric, ferroelectric and piezoelectric thin films are getting more and more attention for next generation mobile communication and medical systems. Thin film technologies based on dielectric, ferroelectric and piezoelectric thin films enable System-in-Package (SiP) devices, resulting in optimal

  10. Adjustable threshold-voltage in all-inkjet-printed organic thin film transistor using double-layer dielectric structures

    International Nuclear Information System (INIS)

    Wu, Wen-Jong; Lee, Chang-Hung; Hsu, Chun-Hao; Yang, Shih-Hsien; Lin, Chih-Ting

    2013-01-01

    An all-inkjet-printed organic thin film transistor (OTFT) with a double-layer dielectric structure is proposed and implemented in this study. By using the double-layer structure with different dielectric materials (i.e., polyvinylphenol with poly(vinylidene fluoride-co-hexafluoropropylene)), the threshold-voltage of OTFT can be adjusted. The threshold-voltage shift can be controlled by changing the composition of dielectric layers. That is, an enhancement-mode OTFT can be converted to a depletion-mode OTFT by selectively printing additional dielectric layers to form a high-k/low-k double-layer structure. The printed OTFT has a carrier mobility of 5.0 × 10 −3 cm 2 /V-s. The threshold-voltages of the OTFTs ranged between − 13 V and 10 V. This study demonstrates an additional design parameter for organic electronics manufactured using inkjet printing technology. - Highlights: • A double-layer dielectric organic thin film transistor, OTFT, is implemented. • The threshold voltage of OTFT can be configured by the double dielectric structure. • The composition of the dielectric determines the threshold voltage shift. • The characteristics of OTFTs can be adjusted by double dielectric structures

  11. Structural-optical study of high-dielectric-constant oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, M.M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Luchena, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Capezzuto, P. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Toro, R.G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Malandrino, G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Fragala, I.L. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Nigro, R. Lo [Istituto di Microelettronica e Microsistemi, IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy)

    2006-10-31

    High-k polycrystalline Pr{sub 2}O{sub 3} and amorphous LaAlO{sub 3} oxide thin films deposited on Si(0 0 1) are studied. The microstructure is investigated using X-ray diffraction and scanning electron microscopy. Optical properties are determined in the 0.75-6.5 eV photon energy range using spectroscopic ellipsometry. The polycrystalline Pr{sub 2}O{sub 3} films have an optical gap of 3.86 eV and a dielectric constant of 16-26, which increases with film thickness. Similarly, very thin amorphous LaAlO{sub 3} films have the optical gap of 5.8 eV, and a dielectric constant below 14 which also increases with film thickness. The lower dielectric constant compared to crystalline material is an intrinsic characteristic of amorphous films.

  12. Growth Related Carrier Mobility Enhancement of Pentacene Thin-Film Transistors with High-k Oxide Gate Dielectric

    International Nuclear Information System (INIS)

    Ai-Fang, Yu; Qiong, Qi; Peng, Jiang; Chao, Jiang

    2009-01-01

    Carrier mobility enhancement from 0.09 to 0.59 cm 2 /Vs is achieved for pentacene-based thin-film transistors (TFTs) by modifying the HfO 2 gate dielectric with a polystyrene (PS) thin film. The improvement of the transistor's performance is found to be strongly related to the initial film morphologies of pentacene on the dielectrics. In contrast to the three-dimensional island-like growth mode on the HfO 2 surface, the Stranski-Krastanov growth mode on the smooth and nonpolar PS/HfO 2 surface is believed to be the origin of the excellent carrier mobility of the TFTs. A large well-connected first monolayer with fewer boundaries is formed via the Stranski–Krastanov growth mode, which facilitates a charge transport parallel to the substrate and promotes higher carrier mobility. (cross-disciplinary physics and related areas of science and technology)

  13. From surface to volume plasmons in hyperbolic metamaterials: General existence conditions for bulk high-k waves in metal-dielectric and graphene-dielectric multilayers

    DEFF Research Database (Denmark)

    Zhukovsky, Sergei; Andryieuski, Andrei; Sipe, John E.

    2014-01-01

    -dielectric and recently introduced graphene-dielectric stacks. We confirm that short-range surface plasmons in thin metal layers can give rise to hyperbolic metamaterial properties and demonstrate that long-range surface plasmons cannot. We also show that graphene-dielectric multilayers tend to support high- k waves...

  14. Low operating voltage InGaZnO thin-film transistors based on Al{sub 2}O{sub 3} high-k dielectrics fabricated using pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K. [Qingdao University, Qingdao (China); DongEui University, Busan (Korea, Republic of); Lee, W. J.; Shin, B. C. [DongEui University, Busan (Korea, Republic of); Cho, C. R. [Pusan National University, Busan (Korea, Republic of)

    2014-05-15

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al{sub 2}O{sub 3} dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al{sub 2}O{sub 3} and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al{sub 2}O{sub 3} gate dielectric exhibits a very low leakage current density of 1.3 x 10{sup -8} A/cm{sup 2} at 5 V and a high capacitance density of 60.9 nF/cm{sup 2}. The IGZO TFT with a structure of Ni/IGZO/Al{sub 2}O{sub 3}/Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm{sup 2}V{sup -1}s{sup -1}, an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10{sup 7}.

  15. Mechanical characterization of zeolite low dielectric constant thin films by nanoindentation

    International Nuclear Information System (INIS)

    Johnson, Mark; Li Zijian; Wang Junlan; Ya, Yushan

    2007-01-01

    With semiconductor technologies continuously pushing the miniaturization limits, there is a growing interest in developing novel low dielectric constant materials to replace the traditional dense SiO 2 insulators. In order to survive the multi-level integration process and provide reliable material and structure for the desired integrated circuits (IC) functions, the new low-k materials have to be mechanically strong and stable. Therefore the material selection and mechanical characterization are vital for the successful development of next generation low-k dielectrics. A new class of low-k materials, nanoporous pure-silica zeolite, is prepared in thin films using IC compatible spin coating process and characterized using depth sensing nanoindentation technique. The elastic modulus of the zeolite thin films is found to be significantly higher than that of other low-k materials with similar porosity and dielectric constants. Correlations between the mechanical, microstructural and electrical properties of the thin films are discussed in detail

  16. Drift mobility of thermalized and highly energetic holes in thin layers of amorphous dielectric SiC

    International Nuclear Information System (INIS)

    Sielski, Jan; Jeszka, Jeremiasz K.

    2012-01-01

    The development of new technology in the electronics industry requires new dielectric materials. It is also important to understand the charge-carrier transport mechanism in these materials. We examined the hole drift mobility in amorphous SiC dielectric thin films using the time-of-flight (TOF) method. Charge carriers were generated using an electron gun. The generated holes gave a dispersive TOF signal and the mobility was low. For electric field strengths above 4 x 10 5 V cm -1 the drift mobility shows a very strong dependence on the electric field and a weak temperature dependence (transport of ''high-energy'' charge carriers). At lower electric fields and for thermalized charge carriers the mobility is practically field independent and thermally activated. The observed phenomenon was attributed to the changes in the effective energy of the generated carriers moving in the high electric fields and consequently in the density of localized states taking part in the transport. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. High Stability Pentacene Transistors Using Polymeric Dielectric Surface Modifier.

    Science.gov (United States)

    Wang, Xiaohong; Lin, Guangqing; Li, Peng; Lv, Guoqiang; Qiu, Longzhen; Ding, Yunsheng

    2015-08-01

    1,6-bis(trichlorosilyl)hexane (C6Cl), polystyrene (PS), and cross-linked polystyrene (CPS) were investigated as gate dielectric modified layers for high performance organic transistors. The influence of the surface energy, roughness and morphology on the charge transport of the organic thin-film transistors (OTFTs) was investigated. The surface energy and roughness both affect the grain size of the pentacene films which will control the charge carrier mobility of the devices. Pentacene thin-film transistors fabricated on the CPS modified dielectric layers exhibited charge carrier mobility as high as 1.11 cm2 V-1 s-1. The bias stress stability for the CPS devices shows that the drain current only decays 1% after 1530 s and the mobility never decreases until 13530 s.

  18. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  19. Self-standing chitosan films as dielectrics in organic thin-film transistors

    Directory of Open Access Journals (Sweden)

    J. Morgado

    2013-12-01

    Full Text Available Organic thin film transistors, using self-standing 50 µm thick chitosan films as dielectric, are fabricated using sublimed pentacene or two conjugated polymers deposited by spin coating as semiconductors. Field-effect mobilities are found to be similar to values obtained with other dielectrics and, in the case of pentacene, a value (0.13 cm2/(V•s comparable to high performing transistors was determined. In spite of the low On/Off ratios (a maximum value of 600 was obtained for the pentacene-based transistors, these are promising results for the area of sustainable organic electronics in general and for biocompatible electronics in particular.

  20. Functional silicone copolymers and elastomers with high dielectric permittivity

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Daugaard, Anders Egede; Hvilsted, Søren

    Dielectric elastomers (DEs) are a new and promising transducer technology and are often referred to as ‘artificial muscles’, due to their ability to undergo large deformations when stimulated by electric fields. DEs consist of a soft and thin elastomeric film sandwiched between compliant electrodes......, thereby forming a capacitor [1]. Silicone elastomers are one of the most used materials for DEs due to their high efficiency, fast response times and low viscous losses. The major disadvantage of silicone elastomers is that they possess relatively low dielectric permittivity, which means that a high...... electrical field is necessary to operate the DE. The necessary electrical field can be lowered by creating silicone elastomers with higher dielectric permittivity, i.e. with a higher energy density.The aim of this work is to create new and improved silicone elastomers with high dielectric permittivity...

  1. Dielectric properties investigation of Cu2O/ZnO heterojunction thin films by electrodeposition

    International Nuclear Information System (INIS)

    Li, Qiang; Xu, Mengmeng; Fan, Huiqing; Wang, Hairong; Peng, Biaolin; Long, Changbai; Zhai, Yuchun

    2013-01-01

    Highlights: ► Bottom-up self-assembly Cu 2 O/ZnO heterojunction was fabricated by electrochemical deposition on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET). ► The dielectric response of Cu 2 O/ZnO heterojunction thin films had been investigated. ► The universal dielectric response was used to investigate the hopping behavior in Cu 2 O/ZnO heterojunction. -- Abstract: Structures and morphologies of the Cu 2 O/ZnO heterojunction electrodeposited on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET) were investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), high resolution transmission electron microscopy (HRTEM), respectively. The dielectric response of bottom-up self-assembly Cu 2 O/ZnO heterojunction was investigated. The low frequency dielectric dispersion (LFDD) was observed. The universal dielectric response (UDR) was used to investigate the frequency dependence of dielectric response for Cu 2 O/ZnO heterojunction, which was attributed to the long range and the short range hopping charge carriers at the low frequency and the high frequency region, respectively

  2. High energy density capacitors fabricated by thin film technology

    International Nuclear Information System (INIS)

    Barbee, T W; Johnson, G W; Wagner, A V.

    1999-01-01

    Low energy density in conventional capacitors severely limits efforts to miniaturize power electronics and imposes design limitations on electronics in general. We have successfully applied physical vapor deposition technology to greatly increase capacitor energy density. The high dielectric breakdown strength we have achieved in alumina thin films allows high energy density to be achieved with this moderately low dielectric constant material. The small temperature dependence of the dielectric constant, and the high reliability, high resistivity, and low dielectric loss of Al 2 O 3 , make it even more appealing. We have constructed single dielectric layer thin film capacitors and shown that they can be stacked to form multilayered structures with no loss in yield for a given capacitance. Control of film growth morphology is critical for achieving the smooth, high quality interfaces between metal and dielectric necessary for device operation at high electric fields. Most importantly, high rate deposition with extremely low particle generation is essential for achieving high energy storage at a reasonable cost. This has been achieved by reactive magnetron sputtering in which the reaction to form the dielectric oxide has been confined to the deposition surface. By this technique we have achieved a yield of over 50% for 1 cm 2 devices with an energy density of 14 J per cubic centimeter of Al 2 O 3 dielectric material in 1.2 kV, 4 nF devices. By further reducing defect density and increasing the dielectric constant of the material, we will be able to increase capacitance and construct high energy density devices to meet the requirements of applications in power electronics

  3. Constant-current corona triode adapted and optimized for the characterization of thin dielectric films

    Science.gov (United States)

    Giacometti, José A.

    2018-05-01

    This work describes an enhanced corona triode with constant current adapted to characterize the electrical properties of thin dielectric films used in organic electronic devices. A metallic grid with a high ionic transparency is employed to charge thin films (100 s of nm thick) with a large enough charging current. The determination of the surface potential is based on the grid voltage measurement, but using a more sophisticated procedure than the previous corona triode. Controlling the charging current to zero, which is the open-circuit condition, the potential decay can be measured without using a vibrating grid. In addition, the electric capacitance and the characteristic curves of current versus the stationary surface potential can also be determined. To demonstrate the use of the constant current corona triode, we have characterized poly(methyl methacrylate) thin films with films with thicknesses in the range from 300 to 500 nm, frequently used as gate dielectric in organic field-effect transistors.

  4. Growth and characteristics of PbS/polyvinyl alcohol nanocomposites for flexible high dielectric thin film applications

    International Nuclear Information System (INIS)

    Hmar, J.J.L.; Majumder, T.; Mondal, S.P.

    2016-01-01

    PbS/polyvinyl alcohol (PbS/PVA) nanocomposites have been grown by a chemical bath deposition process at various growth temperatures (60–100 °C). Transmission electron microscopy (TEM) study revealed the formation of PbS nanoparticles of diameter 6–20 nm encapsulated in PVA matrix. Optical band gap of the nanocomposite films have been found to decrease (1.45 eV–0.67 eV) with increase in growth temperature from 60 °C to 100 °C. The impedance measurements have been carried out by depositing the PbS/PVA films on indium tin oxide (ITO) coated flexible polyethylene terephthalate (PET) substrates. The room temperature dielectric permittivity and ac conductivity measurements have been carried out for ITO/PbS/PVA/Al devices deposited at various growth temperatures. The nanocomposite films demonstrate superior dielectric permittivity compare to pure PVA polymer. The flexibility studies of ITO/PbS/PVA/Al devices have been performed at different bending angles. - Highlights: • PbS nanoparticles of diameter 6–20 nm were grown in polyvinyl (PVA) matrix. • Optical band gap of nanocomposite films was varied from 1.45–0.67 eV. • The nanocomposite thin films demonstrated superior dielectric permittivity. • Flexibility study of thin film devices was performed at various bending angles.

  5. Structural and electrical characteristics of high-κ ErTixOy gate dielectrics on InGaZnO thin-film transistors

    International Nuclear Information System (INIS)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Li, Wei-Chen; Matsuda, Yasuhiro H.; Pan, Tung-Ming

    2013-01-01

    In this paper, we investigated the structural properties and electrical characteristics of high-κ ErTi x O y gate dielectrics on indium-gallium-zinc oxide thin-film transistors (IGZO TFTs). We used X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy to investigate the structural and morphological features of these dielectric films after they had been subjected to annealing at various temperatures. The high-κ ErTi x O y IGZO TFT device annealed at 400 °C exhibited better electrical characteristics in terms of a large field-effect mobility (8.24 cm 2 /V-s), low threshold voltage (0.36 V), small subthreshold swing (130 mV/dec), and high I on/off ratio(3.73 × 10 6 ). These results are attributed to the reduction of the trap states and oxygen vacancies between the ErTi x O y film and IGZO active layer interface during high-temperature annealing in oxygen ambient. The reliability of voltage stress also can be improved by the oxygen annealing at 400 °C. - Highlights: • ErTi x O y InGaZnO thin-film transistors (TFT). • Structural and electrical properties of the TFT were investigated. • TFT device annealed at 400 °C exhibited better electrical characteristics. • Reliability of TFT device can be improved by annealing at 400 °C

  6. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  7. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    Science.gov (United States)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  8. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  9. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  10. Dielectric Properties of Cd1-xZnxSe Thin Film Semiconductors

    International Nuclear Information System (INIS)

    Wahab, L.A.; Farrag, A.A.; Zayed, H.A.

    2012-01-01

    Cd 1-x Zn x Se (x=0, 0.5 and 1) thin films of thickness 300 nm have been deposited on highly cleaned glass substrates (Soda-lime glass) by thermal evaporation technique under pressure 10-5 Torr. The crystal structure, lattice parameters and grain size were determined from X-ray diffraction patterns of these films. The dielectric response and ac conductivity of the films are investigated in the frequency range from 80 Hz to 5 MHz and temperature range from 300 K to 420 K. AC conductivity increases linearly with the frequency according to the power relation σ a c (ψ)=A (ψ) s . The dielectric constant and loss show low values at high frequencies. The relaxation time t, resistance R and capacitance C were calculated from Nyquist diagram. The behavior can be modeled by an equivalent parallel RC circuit.

  11. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  12. Structure dependent resistivity and dielectric characteristics of tantalum oxynitride thin films produced by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Cristea, D., E-mail: daniel.cristea@unitbv.ro [Department of Materials Science, Transilvania University, 500036 Brasov (Romania); Crisan, A. [Department of Materials Science, Transilvania University, 500036 Brasov (Romania); Cretu, N. [Electrical Engineering and Applied Physics Department, Transilvania University, 500036 Brasov (Romania); Borges, J. [Centro de Física, Universidade do Minho, Campus de Gualtar, 4710 - 057 Braga (Portugal); Instituto Pedro Nunes, Laboratório de Ensaios, Desgaste e Materiais, Rua Pedro Nunes, 3030-199 Coimbra (Portugal); SEG-CEMUC, Mechanical Engineering Department, University of Coimbra, 3030-788 Coimbra (Portugal); Lopes, C.; Cunha, L. [Centro de Física, Universidade do Minho, Campus de Gualtar, 4710 - 057 Braga (Portugal); Ion, V.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, Lasers Department, “Photonic Processing of Advanced Materials” Group, PO Box MG-16, RO 77125 Magurele-Bucharest (Romania); Barradas, N.P. [Centro de Ciências e Tecnologias Nucleares, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10 ao km 139,7, 2695-066 Bobadela LRS (Portugal); Alves, E. [Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10 ao km 139,7, 2695-066 Bobadela LRS (Portugal); Apreutesei, M. [MATEIS Laboratory-INSA de Lyon, 21 Avenue Jean Capelle, 69621 Villeurbanne cedex (France); Université de Lyon, Institut des Nanotechnologies de Lyon INL-UMR5270, CNRS, Ecole Centrale de Lyon, Ecully F-69134 (France); Munteanu, D. [Department of Materials Science, Transilvania University, 500036 Brasov (Romania)

    2015-11-01

    Highlights: • Tantalum oxynitride thin films have been deposited by magnetron sputtering, in various configurations. • The rising of the reactive gases mixture flow has the consequence of a gradual increase in the non-metallic content in the films, which results in a 10 orders of magnitude resistivity domain. • The higher resistivity films exhibit dielectric constants up to 41 and quality factors up to 70. - Abstract: The main purpose of this work is to present and to interpret the change of electrical properties of Ta{sub x}N{sub y}O{sub z} thin films, produced by DC reactive magnetron sputtering. Some parameters were varied during deposition: the flow of the reactive gases mixture (N{sub 2} and O{sub 2}, with a constant concentration ratio of 17:3); the substrate voltage bias (grounded, −50 V or −100 V) and the substrate (glass, (1 0 0) Si or high speed steel). The obtained films exhibit significant differences. The variation of the deposition parameters induces variations of the composition, microstructure and morphology. These differences cause variation of the electrical resistivity essentially correlated with the composition and structural changes. The gradual decrease of the Ta concentration in the films induces amorphization and causes a raise of the resistivity. The dielectric characteristics of some of the high resistance Ta{sub x}N{sub y}O{sub z} films were obtained in the samples with a capacitor-like design (deposited onto high speed steel, with gold pads deposited on the dielectric Ta{sub x}N{sub y}O{sub z} films). Some of these films exhibited dielectric constant values higher than those reported for other tantalum based dielectric films.

  13. Stable dielectric response of low-loss aromatic polythiourea thin films on Pt/SiO2 substrate

    Directory of Open Access Journals (Sweden)

    A. Eršte

    2016-03-01

    Full Text Available We have investigated dielectric properties of aromatic polythiourea (ArPTU, a polar polymer containing high dipolar moments with very low defect levels thin films that were developed on Pt/SiO2 substrate. The detected response is compared to the response of commercially available polymers, such as high density polyethylene (HDPE and polypropylene (PP, which are at present used in foil capacitors. Stable values of the dielectric constant ε′≈5 (being twice higher than in HDPE and PP over broad temperature and frequency ranges and dielectric losses as low as in commercial systems suggest ArPTU as a promising candidate for future use in a variety of applications.

  14. Dielectric property study of poly(4-vinylphenol)-graphene oxide nanocomposite thin film

    Science.gov (United States)

    Roy, Dhrubojyoti

    2018-05-01

    Thin film capacitor device having a sandwich structure of indium tin oxide (ITO)-coated glass/polymer or polymer nanocomposite /silver has been fabricated and their dielectric and leakage current properties has been studied. The dielectric properties of the capacitors were characterized for frequencies ranging from 1 KHz to 1 MHz. 5 wt% Poly(4-vinylphenol)(PVPh)-Graphene (GO) nanocomposite exhibited an increase in dielectric constant to 5.6 and small rise in dielectric loss to around˜0.05 at 10 KHz w.r.t polymer. The DC conductivity measurements reveal rise of leakage current in nanocomposite.

  15. Solid-state densification of spun-cast self-assembled monolayers for use in ultra-thin hybrid dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Hutchins, Daniel O.; Acton, Orb [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Weidner, Tobias [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Cernetic, Nathan [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Baio, Joe E. [Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Castner, David G. [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Ma, Hong, E-mail: hma@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Jen, Alex K.-Y., E-mail: ajen@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemistry, University of Washington, Seattle, WA 98195 (United States)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer Rapid processing of SAM in ambient conditions is achieved by spin coating. Black-Right-Pointing-Pointer Thermal annealing of a bulk spun-cast molecular film is explored as a mechanism for SAM densification. Black-Right-Pointing-Pointer High-performance SAM-oxide hybrid dielectric is obtained utilizing a single wet processing step. - Abstract: Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO{sub x} (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7 Multiplication-Sign 10{sup -8} A cm{sup -2} and capacitance density of 0.62 {mu}F cm{sup -2} at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to

  16. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics.

    Science.gov (United States)

    Hutchins, Daniel O; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E; Castner, David G; Ma, Hong; Jen, Alex K-Y

    2012-11-15

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO x (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10 -8 A cm -2 and capacitance density of 0.62 µF cm -2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm 2 V -1 s -1 .

  17. High Dielectric Performance of Solution-Processed Aluminum Oxide-Boron Nitride Composite Films

    Science.gov (United States)

    Yu, Byoung-Soo; Ha, Tae-Jun

    2018-04-01

    The material compositions of oxide films have been extensively investigated in an effort to improve the electrical characteristics of dielectrics which have been utilized in various electronic devices such as field-effect transistors, and storage capacitors. Significantly, solution-based compositions have attracted considerable attention as a highly effective and practical technique to replace vacuum-based process in large-area. Here, we demonstrate solution-processed composite films consisting of aluminum oxide (Al2O3) and boron nitride (BN), which exhibit remarkable dielectric properties through the optimization process. The leakage current of the optimized Al2O3-BN thin films was decreased by a factor of 100 at 3V, compared to pristine Al2O3 thin film without a loss of the dielectric constant or degradation of the morphological roughness. The characterization by X-ray photoelectron spectroscopy measurements revealed that the incorporation of BN with an optimized concentration into the Al2O3 dielectric film reduced the density of oxygen vacancies which act as defect states, thereby improving the dielectric characteristics.

  18. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    Science.gov (United States)

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  19. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    Science.gov (United States)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  20. Structural, dielectric and AC conductivity study of Sb2O3 thin film ...

    Indian Academy of Sciences (India)

    52

    However, to date, no reports have appeared on impedance spectroscopy, modulus behavior, electrical conductivity, dielectric relaxation and dielectric properties of crystalline Sb2O3 thin films. This paper deals for the first time with the frequency and temperature dependence of AC conductivity and complex electric modulus ...

  1. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    Science.gov (United States)

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  2. Towards low-voltage organic thin film transistors (OTFTs with solution-processed high-k dielectric and interface engineering

    Directory of Open Access Journals (Sweden)

    Yaorong Su

    2015-11-01

    Full Text Available Although impressive progress has been made in improving the performance of organic thin film transistors (OTFTs, the high operation voltage resulting from the low gate capacitance density of traditional SiO2 remains a severe limitation that hinders OTFTs'development in practical applications. In this regard, developing new materials with high-k characteristics at low cost is of great scientific and technological importance in the area of both academia and industry. Here, we introduce a simple solution-based technique to fabricate high-k metal oxide dielectric system (ATO at low-temperature, which can be used effectively to realize low-voltage operation of OTFTs. On the other hand, it is well known that the properties of the dielectric/semiconductor and electrode/semiconductor interfaces are crucial in controlling the electrical properties of OTFTs. By optimizing the above two interfaces with octadecylphosphonic acid (ODPA self-assembled monolayer (SAM and properly modified low-cost Cu, obviously improved device performance is attained in our low-voltage OTFTs. Further more, organic electronic devices on flexible substrates have attracted much attention due to their low-cost, rollability, large-area processability, and so on. Basing on the above results, outstanding electrical performance is achieved in flexible devices. Our studies demonstrate an effective way to realize low-voltage, high-performance OTFTs at low-cost.

  3. All-optically tunable EIT-like dielectric metasurfaces hybridized with thin phase change material layers

    Science.gov (United States)

    Petronijevic, Emilija; Sibilia, Concita

    2017-05-01

    Electromagnetically induced transparency (EIT), a pump-induced narrow transparency window within the absorption region of a probe, had offered new perspectives in slow-light control in atomic physics. For applications in nanophotonics, the implementation on chip-scaled devices has later been obtained by mimicking this effect by metallic metamaterials. High losses in visible and near infrared range of metal-based metamaterialls have recently opened a new field of all-dielectric metamaterials; a proper configuration of high refractive index dielectric nanoresonators can mimick this effect without losses to get high Q, slow-light response. The next step would be the ability to tune their optical response, and in this work we investigate thin layers of phase change materials (PCM) for all-optical control of EIT-like all-dielectric metamaterials. PCM can be nonvolatively and reversibly switched between two stable phases that differ in optical properties by applying a visible laser pulse. The device is based on Si nanoresonators covered by a thin layer of PCM GeTe; optical and transient thermal simulations have been done to find and optimize the fabrication parameters and switching parameters such as the intensity and duration of the pulse. We have found that the EIT-like response can be switched on and off by applying the 532nm laser pulse to change the phase of the upper GeTe layer. We strongly believe that such approach could open new perspectives in all-optically controlled slow-light metamaterials.

  4. Correlation between the dielectric constant and X-ray diffraction pattern of Si-O-C thin films with hydrogen bonds

    International Nuclear Information System (INIS)

    Oh, Teresa; Oh, Kyoung Suk; Lee, Kwang-Man; Choi, Chi Kyu

    2004-01-01

    The amorphous structure of organic-inorganic hybrid type Si-O-C thin films was studied using the first principles molecular-dynamics method with density functional techniques. The correlation between the dielectric constant and the degree of amorphous structure in organic-inorganic hybrid type Si-O-C thin films was studied. Si-O-C thin films were deposited by high-density plasma chemical vapor deposition using bis-trimethylsilylmethane and oxygen precursors. As-deposited films and films annealed at 500 deg. C were analyzed by X-ray diffraction (XRD). For quantitative analysis, the X-ray diffraction patterns of the samples were transformed to the radial distribution function (RDF) using Fourier analysis. Hybrid type Si-O-C thin films can be divided into three types using their amorphous structure and the dielectric constant: those with organic, hybrid, and inorganic properties

  5. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    Science.gov (United States)

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  6. Sol–gel deposited ceria thin films as gate dielectric for CMOS ...

    Indian Academy of Sciences (India)

    Sol–gel deposited ceria thin films as gate dielectric for CMOS technology. ANIL G KHAIRNAR ... The semiconductor roadmap following Moore's law is responsible for ..... The financial support from University Grants Commi- ssion (UGC), New ...

  7. Pentacene-Based Thin Film Transistor with Inkjet-Printed Nanocomposite High-K Dielectrics

    Directory of Open Access Journals (Sweden)

    Chao-Te Liu

    2012-01-01

    Full Text Available The nanocomposite gate insulating film of a pentacene-based thin film transistor was deposited by inkjet printing. In this study, utilizing the pearl miller to crumble the agglomerations and the dispersant to well stabilize the dispersion of nano-TiO2 particles in the polymer matrix of the ink increases the dose concentration for pico-jetting, which could be as the gate dielectric film made by inkjet printing without the photography process. Finally, we realized top contact pentacene-TFTs and successfully accomplished the purpose of directly patternability and increase the performance of the device based on the nanocomposite by inkjet printing. These devices exhibited p-channel TFT characteristics with a high field-effect mobility (a saturation mobility of ̃0.58 cm2 V−1 s−1, a large current ratio (>103 and a low operation voltage (<6 V. Furthermore, we accorded the deposited mechanisms which caused the interface difference between of inkjet printing and spin coating. And we used XRD, SEM, Raman spectroscopy to help us analyze the transfer characteristics of pentacene films and the performance of OTFTs.

  8. Quantum-dot size and thin-film dielectric constant: precision measurement and disparity with simple models.

    Science.gov (United States)

    Grinolds, Darcy D W; Brown, Patrick R; Harris, Daniel K; Bulovic, Vladimir; Bawendi, Moungi G

    2015-01-14

    We study the dielectric constant of lead sulfide quantum dot (QD) films as a function of the volume fraction of QDs by varying the QD size and keeping the ligand constant. We create a reliable QD sizing curve using small-angle X-ray scattering (SAXS), thin-film SAXS to extract a pair-distribution function for QD spacing, and a stacked-capacitor geometry to measure the capacitance of the thin film. Our data support a reduced dielectric constant in nanoparticles.

  9. Mechanistic interaction study of thin oxide dielectric with conducting organic electrode

    International Nuclear Information System (INIS)

    Sharma, Himani; Sethi, Kanika; Raj, P. Markondeya; Gerhardt, R.A.; Tummala, Rao

    2012-01-01

    Highlights: ► Thin film-oxide dielectric-organic electrode interface studies for investigating the leakage mechanism. ► XPS to elucidate chemical-structural changes on dielectric oxide surface. ► Correlates structural characterization data with capacitor leakage current and impedance spectroscopy characteristics. - Abstract: This paper aims at understanding the interaction of intrinsic conducting polymer, PEDT, with ALD-deposited Al 2 O 3 and thermally oxidized Ta 2 O 5 dielectrics, and the underlying mechanisms for increase in leakage currents in PEDT-based capacitors. Conducting polymers offer several advantages as electrodes for high surface area capacitors because of their lower resistance, self-healing and enhanced conformality. However, capacitors with in situ polymerized PEDT show poor electrical properties that are attributed to the interfacial interaction between the organic electrode and the oxide dielectric. This study focuses on characterizing these interactions. A combination of compositional, structural and electrical characterization techniques was applied to polymer-solid-state-capacitor to understand the interfacial chemical behavior and dielectric property deterioration of alumina and tantalum-oxide films. XPS and impedance studies were employed to understand the stiochiometric and compositional changes that occur in the dielectric film on interaction with in situ deposited PEDT. Based on the observations from several complimentary techniques, it is concluded that tantalum-pentoxide has more resistance towards chemical interaction with in situ polymerized PEDT. The thermally oxidized Ta 2 O 5 -PEDT system showed leakage current of 280 nA μF −1 at 3 V with a breakdown voltage of 30 V. On the other hand, Al 2 O 3 -PEDT capacitor showed leakage current of 50 μA μF −1 and a breakdown voltage of 40 V. The study reports direct evidence for the mechanism of resistivity drop in alumina dielectric with in situ polymerized PEDT electrode.

  10. High temperature polymer film dielectrics for aerospace power conditioning capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Venkat, Narayanan, E-mail: venkats3@gmail.co [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Dang, Thuy D. [Air Force Research Laboratory-Nanostructured and Biological Materials Branch (AFRL/RXBN) (United States); Bai Zongwu; McNier, Victor K. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); DeCerbo, Jennifer N. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States); Tsao, B.-H. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Stricker, Jeffery T. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States)

    2010-04-15

    Polymer dielectrics are the preferred materials of choice for capacitive energy-storage applications because of their potential for high dielectric breakdown strengths, low dissipation factors and good dielectric stability over a wide range of frequencies and temperatures, despite having inherently lower dielectric constants relative to ceramic dielectrics. They are also amenable to large area processing into films at a relatively lower cost. Air Force currently has a strong need for the development of compact capacitors which are thermally robust for operation in a variety of aerospace power conditioning applications. While such applications typically use polycarbonate (PC) dielectric films in wound capacitors for operation from -55 deg. C to 125 deg. C, future power electronic systems would require the use of polymer dielectrics that can reliably operate up to elevated temperatures in the range of 250-350 deg. C. The focus of this research is the generation and dielectric evaluation of metallized, thin free-standing films derived from high temperature polymer structures such as fluorinated polybenzoxazoles, post-functionalized fluorinated polyimides and fluorenyl polyesters incorporating diamond-like hydrocarbon units. The discussion is centered mainly on variable temperature dielectric measurements of film capacitance and dissipation factor and the effects of thermal cycling, up to a maximum temperature of 350 deg. C, on film dielectric performance. Initial studies clearly point to the dielectric stability of these films for high temperature power conditioning applications, as indicated by their relatively low temperature coefficient of capacitance (TCC) (approx2%) over the entire range of temperatures. Some of the films were also found to exhibit good dielectric breakdown strengths (up to 470 V/mum) and a film dissipation factor of the order of <0.003 (0.3%) at the frequency of interest (10 kHz) for the intended applications. The measured relative dielectric

  11. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  12. Dielectric and piezoelectric properties of lead-free (Bi,Na)TiO3-based thin films

    Science.gov (United States)

    Abazari, M.; Safari, A.; Bharadwaja, S. S. N.; Trolier-McKinstry, S.

    2010-02-01

    Dielectric and piezoelectric properties of morphotropic phase boundary (Bi,Na)TiO3-(Bi,K)TiO3-BaTiO3 epitaxial thin films deposited on SrRuO3 coated SrTiO3 substrates were reported. Thin films of 350 nm thickness exhibited small signal dielectric permittivity and loss tangent values of 750 and 0.15, respectively, at 1 kHz. Ferroelectric hysteresis measurements indicated a remanent polarization value of 30 μC/cm2 with a coercive field of 85-100 kV/cm. The thin film transverse piezoelectric coefficient (e31,f) of these films after poling at 600 kV/cm was found to be -2.2 C/m2. The results indicate that these BNT-based thin films are a potential candidate for lead-free piezoelectric devices.

  13. Modeling the dielectric logging tool at high frequency

    International Nuclear Information System (INIS)

    Chew, W.C.

    1987-01-01

    The high frequency dielectric logging tool has been used widely in electromagnetic well logging, because by measuring the dielectric constant at high frequencies (1 GHz), the water saturation of rocks could be known without measuring the water salinity in the rocks. As such, it could be used to delineate fresh water bearing zones, as the dielectric constant of fresh water is much higher than that of oil while they may have the same resistivity. The authors present a computer model, though electromagnetic field analysis, the response of such a measurement tool in a well logging environment. As the measurement is performed at high frequency, usually with small separation between the transmitter and receivers, some small geological features could be measured by such a tool. They use the computer model to study the behavior of such a tool across geological bed boundaries, and also across thin geological beds. Such a study could be very useful in understanding the limitation on the resolution of the tool. Furthermore, they could study the standoff effect and the depth of investigation of such a tool. This could delineate the range of usefulness of the measurement

  14. Black metal thin films by deposition on dielectric antireflective moth-eye nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Caringal, Gideon Peter; Clausen, Jeppe Sandvik

    2015-01-01

    Although metals are commonly shiny and highly reflective, we here show that thin metal films appear black when deposited on a dielectric with antireflective moth-eye nanostructures. The nanostructures were tapered and close-packed, with heights in the range 300-600 nm, and a lateral, spatial...... frequency in the range 5-7 mu m(-1). A reflectance in the visible spectrum as low as 6%, and an absorbance of 90% was observed for an Al film of 100 nm thickness. Corresponding experiments on a planar film yielded 80% reflectance and 20% absorbance. The observed absorbance enhancement is attributed...... to a gradient effect causing the metal film to be antireflective, analogous to the mechanism in dielectrics and semiconductors. We find that the investigated nanostructures have too large spatial frequency to facilitate efficient coupling to the otherwise non-radiating surface plasmons. Applications...

  15. Structural characterization and dielectric properties of BaTiO3 thin films obtained by spin coating

    Directory of Open Access Journals (Sweden)

    Branimir Bajac

    2014-12-01

    Full Text Available Barium titanate thin films were prepared by spin coating deposition technique of an acetic precursor sol and sintered at 750, 900 and 1050 °C. Phase composition of the obtained thin films was characterized by X-ray diffraction and Raman spectroscopy. Their morphology was analysed by scanning electron microscopy and atomic force microscopy. Dielectric properties of thin films sintered at 750 and 900 °C were characterized by LCD device, where the influence of sintering temperature on dielectric permittivity and loss tangent was inspected. It was concluded that higher sintering temperature increases grain size and amount of tetragonal phase, hence higher relative permittivity was recorded. The almost constant relative permittivity in the measured frequency (800 Hz–0.5 MHz and temperature (25–200 °C ranges as well as low dielectric loss are very important for the application of BaTiO3 films in microelectronic devices.

  16. Conductivity, dielectric behavior and FTIR studies of high molecular weight poly(vinylchloride)-lithium triflate polymer electrolytes

    International Nuclear Information System (INIS)

    Ramesh, S.; Chai, M.F.

    2007-01-01

    Thin films of high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF 3 SO 3 ) salt were prepared by solution casting method. The ionic conductivity and dielectric measurements were carried out on these films over a wide frequency regime at various temperatures. The conductivity-temperature plots were found to obey classical Arrhenius relationship. The dielectric behavior was analysed using dielectric permittivity and dielectric modulus of the samples. FTIR studies show some simple overlapping and shift in peaks between high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF 3 SO 3 ) salt in the polymer electrolyte complexes

  17. Preparation and dielectric properties of compositionally graded lead barium zirconate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Xihong, E-mail: xhhao@imust.edu.c [Functional Materials Research Laboratory, Tongji University, Shanghai 200092 (China); School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhang, Zhiqing [School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhou, Jing [State Key Laboratory of Advanced Technology for Materials Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); An, Shengli [School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhai, Jiwei [Functional Materials Research Laboratory, Tongji University, Shanghai 200092 (China)

    2010-07-09

    Both up and down compositionally graded (Pb{sub 1-x}Ba{sub x})ZrO{sub 3} (PBZ) thin films with increasing x from 0.4 to 0.6 were deposited on Pt(1 1 1)-buffer layered silicon substrates through a sol-gel method. The microstructure and dielectric properties of graded PBZ thin films were investigated systemically. X-ray diffraction patterns confirmed that both PBZ films had crystallized into a pure perovskite phase after annealed 700 {sup o}C. Electrical measurement results showed that although up graded films had a slightly larger tunability, dielectric loss of down graded films was much lower than that of up graded films. Therefore, the figure of merit of down graded PBZ films was greatly enhanced, as compared with up graded films. Moreover, down graded PBZ thin films also displayed excellent temperature stability with a smaller temperature coefficient of capacitance (TCC) of -0.59 x 10{sup -3} {sup o}C{sup -1} from 20 {sup o}C to 80 {sup o}C.

  18. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    Science.gov (United States)

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-05-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V-1 sec-1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process.

  19. Influence of stress on the structural and dielectric properties of rf magnetron sputtered zinc oxide thin film

    Science.gov (United States)

    Menon, Rashmi; Sreenivas, K.; Gupta, Vinay

    2008-05-01

    Highly c axis oriented zinc oxide (ZnO) thin films have been prepared on 1737 Corning glass substrate by planar rf magnetron sputtering under varying pressure (10-50mTorr) and different oxygen percentage (40%-100%) in reactive gas mixtures. The as-grown ZnO thin films were found to have stress over a wide range from -6×1010to-9×107dynes/cm2. The presence of stress depends strongly on processing conditions, and films become almost stress free under a unique combination of sputtering pressure and reactive gas composition. The studies show a correlation of stress with structural and electrical properties of the ZnO thin film. The stressed films possess high electrical conductivity and exhibits strong dielectric dispersion over a wide frequency (1kHz-1MHz). The dielectric constant ɛ'(ω) of stress free ZnO film was almost frequency independent and was close to the bulk value. The measured value of dc conductivity, σdc(ω) and ac conductivity σac(ω) of stress free ZnO film was 1.3×10-9 and 6.8×10-5Ω-1cm-1, respectively. The observed variation in the structural and electrical properties of ZnO thin film with stress has been analyzed in the light of growth kinetics.

  20. Conductivity, dielectric behavior and FTIR studies of high molecular weight poly(vinylchloride)-lithium triflate polymer electrolytes

    Energy Technology Data Exchange (ETDEWEB)

    Ramesh, S. [Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Setapak, 53300 Kuala Lumpur (Malaysia)]. E-mail: ramesh@mail.utar.edu.my; Chai, M.F. [Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Setapak, 53300 Kuala Lumpur (Malaysia)

    2007-05-15

    Thin films of high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF{sub 3}SO{sub 3}) salt were prepared by solution casting method. The ionic conductivity and dielectric measurements were carried out on these films over a wide frequency regime at various temperatures. The conductivity-temperature plots were found to obey classical Arrhenius relationship. The dielectric behavior was analysed using dielectric permittivity and dielectric modulus of the samples. FTIR studies show some simple overlapping and shift in peaks between high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF{sub 3}SO{sub 3}) salt in the polymer electrolyte complexes.

  1. Nature of Dielectric Properties, Electric Modulus and AC Electrical Conductivity of Nanocrystalline ZnIn2Se4 Thin Films

    Science.gov (United States)

    El-Nahass, M. M.; Attia, A. A.; Ali, H. A. M.; Salem, G. F.; Ismail, M. I.

    2018-02-01

    The structural characteristics of thermally deposited ZnIn2Se4 thin films were indexed utilizing x-ray diffraction as well as scanning electron microscopy techniques. Dielectric properties, electric modulus and AC electrical conductivity of ZnIn2Se4 thin films were examined in the frequency range from 42 Hz to 106 Hz. The capacitance, conductance and impedance were measured at different temperatures. The dielectric constant and dielectric loss decrease with an increase in frequency. The maximum barrier height was determined from the analysis of the dielectric loss depending on the Giuntini model. The real part of the electric modulus revealed a constant maximum value at higher frequencies and the imaginary part of the electric modulus was characterized by the appearance of dielectric relaxation peaks. The AC electrical conductivity obeyed the Jonscher universal power law. Correlated barrier hopping model was the appropriate mechanism for AC conduction in ZnIn2Se4 thin films. Estimation of the density of states at the Fermi level and activation energy, for AC conduction, was carried out based on the temperature dependence of AC electrical conductivity.

  2. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  3. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  4. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Dakhel, A.A.; Jasim, Khalil E. [Department of Physics, College of Science, University of Bahrain, P.O. Box 32038 (Bahrain); Cassidy, S. [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain); Henari, F.Z., E-mail: fzhenari@rcsi-mub.com [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain)

    2013-09-20

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε{sup ′}{sub ∞}≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z{sup *}(ω) and modulus M{sup *}(ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices.

  5. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    International Nuclear Information System (INIS)

    Dakhel, A.A.; Jasim, Khalil E.; Cassidy, S.; Henari, F.Z.

    2013-01-01

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε ′ ∞ ≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z * (ω) and modulus M * (ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices

  6. Investigation of optical pump on dielectric tunability in PZT/PT thin film by THz spectroscopy.

    Science.gov (United States)

    Ji, Jie; Luo, Chunya; Rao, Yunkun; Ling, Furi; Yao, Jianquan

    2016-07-11

    The dielectric spectra of single-layer PbTiO3 (PT), single-layer PbZrxTi1-xO3 (PZT) and multilayer PZT/PT thin films under an external optical field were investigated at room temperature by time-domain terahertz (THz) spectroscopy. Results showed that the real part of permittivity increased upon application of an external optical field, which could be interpreted as hardening of the soft mode and increasing of the damping coefficient and oscillator strength. Furthermore, the central mode was observed in the three films. Among the dielectric property of the three thin films studied, the tunability of the PZT/PT superlattice was the largest.

  7. Electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous InGaZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Her, Jim-Long [Division of Natural Science, Center for General Education, Chang Gung University, Taoyuan 333, Taiwan (China); Pan, Tung-Ming, E-mail: tmpan@mail.cgu.edu.tw [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Liu, Jiang-Hung; Wang, Hong-Jun; Chen, Ching-Hung [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Koyama, Keiichi [Graduate School of Science and Engineering, Kagoshima University, Kagoshima 890-0065 (Japan)

    2014-10-31

    In this article, we studied the structural properties and electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) applications. The a-IGZO TFT device featuring the GdTiO{sub 3} gate dielectric exhibited better electrical characteristics, including a small threshold voltage of 0.14 V, a large field-effect mobility of 32.3 cm{sup 2}/V-s, a high I{sub on}/I{sub off} current ratio of 4.2 × 10{sup 8}, and a low subthreshold swing of 213 mV/decade. Furthermore, the electrical instability of GdTiO{sub 3} a-IGZO TFTs was investigated under both positive gate-bias stress (PGBS) and negative gate-bias stress (NGBS) conditions. The electron charge trapping in the gate dielectric dominates the PGBS degradation, while the oxygen vacancies control the NGBS degradation. - Highlights: • Indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) • Structural and electrical properties of the GdTiO{sub 3} film were studied. • a-IGZO TFT featuring GdTi{sub x}O{sub y} dielectric exhibited better electrical characteristics. • TFT instability investigated under positive and negative gate-bias stress conditions.

  8. Silicone elastomers with high dielectric permittivity and high dielectric breakdown strength based on dipolar copolymers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Daugaard, Anders Egede

    2014-01-01

    Dielectric elastomers (DES) are a promising new transducer technology, but high driving voltages limit their current commercial potential. One method used to lower driving voltage is to increase dielectric permittivity of the elastomer. A novel silicone elastomer system with high dielectric...

  9. Joining Chemical Pressure and Epitaxial Strain to Yield Y-doped BiFeO3 Thin Films with High Dielectric Response

    Science.gov (United States)

    Scarisoreanu, N. D.; Craciun, F.; Birjega, R.; Ion, V.; Teodorescu, V. S.; Ghica, C.; Negrea, R.; Dinescu, M.

    2016-05-01

    BiFeO3 is one of the most promising multiferroic materials but undergoes two major drawbacks: low dielectric susceptibility and high dielectric loss. Here we report high in-plane dielectric permittivity (ε’ ∼2500) and low dielectric loss (tan δ priced target.

  10. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S. [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada)

    2015-07-13

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer into the IGZO and resulted in higher resistivity films.

  11. Ferroelectricity, Piezoelectricity, and Dielectricity of 0.06PMnN-0.94PZT(45/55 Thin Film on Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Tao Zhang

    2015-01-01

    Full Text Available The high piezoelectricity and high quality factor ferroelectric thin films are important for electromechanical applications especially the micro electromechanical system (MEMS. The ternary compound ferroelectric thin films 0.06Pb(Mn1/3, Nb2/3O3 + 0.94Pb(Zr0.45, Ti0.55O3 (0.06PMnN-0.94PZT(45/55 were deposited on silicon(100 substrates by RF magnetron sputtering method considering that Mn and Nb doping will improve PZT properties in this research. For comparison, nondoped PZT(45/55 films were also deposited. The results show that both of thin films show polycrystal structures with the main (111 and (101 orientations. The transverse piezoelectric coefficients are e31,eff=−4.03 C/m2 and e31,eff=-3.5 C/m2, respectively. These thin films exhibit classical ferroelectricity, in which the coercive electric field intensities are 2Ec=147.31 kV/cm and 2Ec=135.44 kV/cm, and the saturation polarization Ps=30.86 μC/cm2 and Ps=17.74 μC/cm2, and the remnant polarization Pr=20.44 μC/cm2 and Pr=9.87 μC/cm2, respectively. Moreover, the dielectric constants and loss are εr=681 and D=5% and εr=537 and D=4.3%, respectively. In conclusion, 0.06PMnN-0.94PZT(45/55 thin films act better than nondoped films, even though their dielectric constants are higher. Their excellent ferroelectricity, piezoelectricity, and high power and energy storage property, especially the easy fabrication, integration realizable, and potentially high quality factor, make this kind of thin films available for the realistic applications.

  12. Computation of Dielectric Response in Molecular Solids for High Capacitance Organic Dielectrics.

    Science.gov (United States)

    Heitzer, Henry M; Marks, Tobin J; Ratner, Mark A

    2016-09-20

    The dielectric response of a material is central to numerous processes spanning the fields of chemistry, materials science, biology, and physics. Despite this broad importance across these disciplines, describing the dielectric environment of a molecular system at the level of first-principles theory and computation remains a great challenge and is of importance to understand the behavior of existing systems as well as to guide the design and synthetic realization of new ones. Furthermore, with recent advances in molecular electronics, nanotechnology, and molecular biology, it has become necessary to predict the dielectric properties of molecular systems that are often difficult or impossible to measure experimentally. In these scenarios, it is would be highly desirable to be able to determine dielectric response through efficient, accurate, and chemically informative calculations. A good example of where theoretical modeling of dielectric response would be valuable is in the development of high-capacitance organic gate dielectrics for unconventional electronics such as those that could be fabricated by high-throughput printing techniques. Gate dielectrics are fundamental components of all transistor-based logic circuitry, and the combination high dielectric constant and nanoscopic thickness (i.e., high capacitance) is essential to achieving high switching speeds and low power consumption. Molecule-based dielectrics offer the promise of cheap, flexible, and mass producible electronics when used in conjunction with unconventional organic or inorganic semiconducting materials to fabricate organic field effect transistors (OFETs). The molecular dielectrics developed to date typically have limited dielectric response, which results in low capacitances, translating into poor performance of the resulting OFETs. Furthermore, the development of better performing dielectric materials has been hindered by the current highly empirical and labor-intensive pace of synthetic

  13. Band Alignment and Optical Properties of (ZrO20.66(HfO20.34 Gate Dielectrics Thin Films on p-Si (100

    Directory of Open Access Journals (Sweden)

    Dahlang Tahir

    2011-11-01

    Full Text Available (ZrO20.66(HfO20.34 dielectric films on p-Si (100 were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gaps were obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for (ZrO20.66(HfO20.34 dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of ZrO2. In addition, The dielectric function ε (k, ω, index of refraction n and the extinction coefficient k for the (ZrO20.66(HfO20.34 thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-ε (k,ω-REELS software package. These optical properties are similar with ZrO2 dielectric thin films.

  14. Laser-induced damage to thin film dielectric coatings

    International Nuclear Information System (INIS)

    Walker, T.W.

    1980-01-01

    The laser-induced damage thresholds of dielectric thin film coatings have been found to be more than an order of magnitude lower than the bulk material damage thresholds. Prior damage studies have been inconclusive in determining the damage mechanism which is operative in thin films. A program was conducted in which thin film damage thresholds were measured as a function of laser wavelength (1.06 μm, 0.53 μm, 0.35 μm and 0.26 μm), laser pulse length (5 and 15 nanoseconds), film materials and film thickness. The large matrix of data was compared to predictions given by avalanche ionization, multiphoton ionization and impurity theories of laser damage. When Mie absorption cross-sections and the exact thermal equations were included into the impurity theory excellent agreement with the data was found. The avalanche and multiphoton damage theories could not account for most parametric variations in the data. For example, the damage thresholds for most films increased as the film thickness decreased and only the impurity theory could account for this behavior. Other observed changes in damage threshold with changes in laser wavelength, pulse length and film material could only be adequately explained by the impurity theory. The conclusion which results from this study is that laser damage in thin film coatings results from absorbing impurities included during the deposition process

  15. Dielectric properties of electron irradiated PbZrO 3 thin films

    Indian Academy of Sciences (India)

    The present paper deals with the study of the effects of electron (8 MeV) irradiation on the dielectric and ferroelectric properties of PbZrO3 thin films grown by sol–gel technique. The films were (0.62 m thick) subjected to electron irradiation using Microtron accelerator (delivered dose 80, 100, 120 kGy). The films were well ...

  16. Changes of optical, dielectric, and structural properties of Si15Sb85 phase change memory thin films under different initializing laser power

    International Nuclear Information System (INIS)

    Huang Huan; Zhang Lei; Wang Yang; Han Xiaodong; Wu Yiqun; Zhang Ze; Gan Fuxi

    2011-01-01

    Research highlights: → We study the optical, dielectric, and structural characteristics of Si 15 Sb 85 phase change memory thin films under a moving continuous-wave laser initialization. → The optical and dielectric constants, absorption coefficient of Si 15 Sb 85 change regularly with the increasing laser power. → The optical band gaps of Si 15 Sb 85 irradiated upon different power lasers were calculated. → HRTEM images of the samples were observed and the changes of optical and dielectric constants are determined by crystalline structures changes of the films. - Abstract: The optical, dielectric, and structural characteristics of Si 15 Sb 85 phase change memory thin films under a moving continuous-wave laser initialization are studied by using spectroscopic ellipsometry and high-resolution transmission electron microscopy. The dependence of complex refractive index, dielectric functions, absorption coefficient, and optical band gap of the films on its crystallization extents formed by the different initialization laser power are analyzed in detail. The structural change from as-deposited amorphous phase to distorted rhombohedra-Sb-like crystalline structure with the increase of initialization laser power is clearly observed with sub-nanometer resolution. The optical and dielectric constants, the relationship between them, and the local atomic arrangements of this new phase change material can help explain the phase change mechanism and design the practical phase change memory devices.

  17. Optical and microwave dielectric properties of pulsed laser deposited Na{sub 0.5}Bi{sub 0.5}TiO{sub 3} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Joseph, Andrews; Goud, J. Pundareekam; Raju, K. C. James [School of Physics, University of Hyderabad, Hyderabad, Telangana 500046 (India); Emani, Sivanagi Reddy [Advanced Center of Research in High Energy Materials (ACRHEM), School of Physics, University of Hyderabad, Telangana 500046 (India)

    2016-05-23

    Optical properties of pulsed laser deposited (PLD) sodium bismuth titanate thin films (NBT), are investigated at wavelengths of 190-2500 nm. Microwave dielectric properties were investigated using the Split Post Dielectric Resonator (SPDR) technique. At 10 GHz, the NBT films have a dielectric constant of 205 and loss tangent of 0.0373 at room temperature. The optical spectra analysis reveals that NBT thin films have an optical band gap E{sub g}=3.55 eV and it has a dielectric constant of 3.37 at 1000 nm with dielectric loss of 0.299. Hence, NBT is a promising candidate for photonic device applications.

  18. Visualization of dielectric constant-electric field-temperature phase maps for imprinted relaxor ferroelectric thin films

    International Nuclear Information System (INIS)

    Frederick, J. C.; Kim, T. H.; Maeng, W.; Brewer, A. A.; Podkaminer, J. P.; Saenrang, W.; Vaithyanathan, V.; Schlom, D. G.; Li, F.; Chen, L.-Q.; Trolier-McKinstry, S.; Rzchowski, M. S.; Eom, C. B.

    2016-01-01

    The dielectric phase transition behavior of imprinted lead magnesium niobate–lead titanate relaxor ferroelectric thin films was mapped as a function of temperature and dc bias. To compensate for the presence of internal fields, an external electric bias was applied while measuring dielectric responses. The constructed three-dimensional dielectric maps provide insight into the dielectric behaviors of relaxor ferroelectric films as well as the temperature stability of the imprint. The transition temperature and diffuseness of the dielectric response correlate with crystallographic disorder resulting from strain and defects in the films grown on strontium titanate and silicon substrates; the latter was shown to induce a greater degree of disorder in the film as well as a dielectric response lower in magnitude and more diffuse in nature over the same temperature region. Strong and stable imprint was exhibited in both films and can be utilized to enhance the operational stability of piezoelectric devices through domain self-poling.

  19. An Approach for Measuring the Dielectric Strength of OLED Materials

    Directory of Open Access Journals (Sweden)

    Sujith Sudheendran Swayamprabha

    2018-06-01

    Full Text Available Surface roughness of electrodes plays a key role in the dielectric breakdown of thin-film organic devices. The rate of breakdown will increase when there are stochastic sharp spikes on the surface of electrodes. Additionally, surface having spiking morphology makes the determination of dielectric strength very challenging, specifically when the layer is relatively thin. We demonstrate here a new approach to investigate the dielectric strength of organic thin films for organic light-emitting diodes (OLEDs. The thin films were deposited on a substrate using physical vapor deposition (PVD under high vacuum. The device architectures used were glass substrate/indium tin oxide (ITO/organic material/aluminum (Al and glass substrate/Al/organic material/Al. The dielectric strength of the OLED materials was evaluated from the measured breakdown voltage and layer thickness.

  20. Effect of La doping on crystalline orientation, microstructure and dielectric properties of PZT thin films

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Wencai; Li, Qi; Wang, Xing [Dalian Univ. of Technology, Dalian (China). School of Mechanical Engineering; Yin, Zhifu [Jilin Univ., Changchun (China). Faculty of the School of Mechanical Science and Engineering; Zou, Helin [Dalian Univ. of Technology, Dalian (China). Key Lab. for Micro/Nano Systems and Technology

    2017-11-01

    Lanthanum (La)-modified lead zirconate titanate (PLZT) thin films with doping concentration from 0 to 5 at.-% have been fabricated by sol-gel methods to investigate the effects of La doping on crystalline orientation, microstructure and dielectric properties of the modified films. The characterization of PLZT thin films were performed by X-ray diffractometry (XRD), scanning electron microscopy (SEM) and precision impedance analysis. XRD analysis showed that PLZT films with La doping concentration below 4 at.-% exhibited (100) preferred orientation. SEM results indicated that PLZT films presented dense and columnar microstructures when La doping concentration was less than 3 at.-%, while the others showed columnar microstructures only at the bottom of the cross section. The maximum dielectric constant (1502.59 at 100 Hz) was obtained in a 2 at.-% La-doped film, which increased by 53.9 % compared with undoped film. Without introducing a seed layer, (100) oriented PLZT thin films were prepared by using conventional heat treatment process and adjusting La doping concentration.

  1. Nonlinear dielectric response in ferroelectric thin films

    Directory of Open Access Journals (Sweden)

    Lente, M. H.

    2004-08-01

    Full Text Available Electrical permittivity dependence on electric external bias field was investigated in PZT thin films. The results revealed the existence of two mechanisms contributing to the electrical permittivity. The first one was related to the domain reorientation, which was responsible for a strong no linear dielectric behavior, acting only during the poling process. The second mechanism was associated with the domain wall vibrations, which presented a reasonable linear electrical behavior with the applied bias field, contributing always to the permittivity independently of the poling state of the sample. The results also indicated that the gradual reduction of the permittivity with the increase of the bias field strength may be related to the gradual bending of the domain walls. It is believed that the domain wall bending induces a hardening and/or a thinning of the walls, thus reducing the electrical permittivity. A reinterpretation of the model proposed in the literature to explain the dielectric characteristics of ferroelectric materials at high electric field regime is proposed.

    Se ha estudiado la dependencia de la permitividad eléctrica con un campo bias externo en láminas delgadas de PZT. Los resultados revelaron la existencia de dos mecanismos que contribuyen a la permitividad eléctrica. El primero está relacionado con la reorientación de dominios, actúa sólo durante el proceso de polarización y es responsable de un comportamiento dieléctrico fuertemente no lineal. El segundo mecanismo se asocia a las vibraciones de las paredes de dominio, presentando un comportamiento eléctrico razonablemente lineal con el campo bias aplicado, contribuyendo siempre a la permitividad independientemente del estado de polarización de la muestra. Los resultados indicaron también que la reducción gradual de la permitividad con el aumento de la fuerza del campo bias podría estar relacionada con el “bending” gradual de las paredes de dominio

  2. Preferential growth and enhanced dielectric properties of Ba0.7Sr0.3TiO3 thin films with preannealed Pt bottom electrode

    International Nuclear Information System (INIS)

    Zhu Xiaohong; Ren Yinjuan; Zhang Caiyun; Zhu Jiliang; Zhu Jianguo; Xiao Dingquan; Defaÿ, Emmanuel; Aïd, Marc

    2013-01-01

    Ba 0.7 Sr 0.3 TiO 3 (BST) thin films, about 100 nm in thickness, were prepared on unannealed and 700 °C-preannealed Pt bottom electrodes by the ion beam sputtering and post-deposition annealing method. It was found that the preannealed Pt layer has a more compact structure, making it not only a bottom electrode but also a good template for high-quality BST thin film growth. The BST films deposited on preannealed Pt bottom electrodes showed (0 0 l)-preferred orientation, dense and uniform microstructure with no intermediate phase formed at the film/electrode interface, and thus enhanced dielectric properties. As a result, the typical relative dielectric constant and tunability (under a dc electric field of 1 MV cm −1 ) reach 180 and 50.1%, respectively, for the BST thin films with preannealed Pt bottom electrodes, which are significantly higher than those (166 and 41.3%, respectively) for the BST thin films deposited on unannealed Pt bottom electrodes. (paper)

  3. Preferential growth and enhanced dielectric properties of Ba0.7Sr0.3TiO3 thin films with preannealed Pt bottom electrode

    Science.gov (United States)

    Zhu, Xiaohong; Defaÿ, Emmanuel; Aïd, Marc; Ren, Yinjuan; Zhang, Caiyun; Zhu, Jiliang; Zhu, Jianguo; Xiao, Dingquan

    2013-03-01

    Ba0.7Sr0.3TiO3 (BST) thin films, about 100 nm in thickness, were prepared on unannealed and 700 °C-preannealed Pt bottom electrodes by the ion beam sputtering and post-deposition annealing method. It was found that the preannealed Pt layer has a more compact structure, making it not only a bottom electrode but also a good template for high-quality BST thin film growth. The BST films deposited on preannealed Pt bottom electrodes showed (0 0 l)-preferred orientation, dense and uniform microstructure with no intermediate phase formed at the film/electrode interface, and thus enhanced dielectric properties. As a result, the typical relative dielectric constant and tunability (under a dc electric field of 1 MV cm-1) reach 180 and 50.1%, respectively, for the BST thin films with preannealed Pt bottom electrodes, which are significantly higher than those (166 and 41.3%, respectively) for the BST thin films deposited on unannealed Pt bottom electrodes.

  4. Self-aligned top-gate InGaZnO thin film transistors using SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Wong, Man; Kwok, Hoi Sing

    2013-12-02

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin film transistors (TFTs) utilizing SiO{sub 2}/Al{sub 2}O{sub 3} stack thin films as gate dielectric are developed in this paper. Due to high quality of the high-k Al{sub 2}O{sub 3} and good interface between active layer and gate dielectric, the resulting a-IGZO TFT exhibits good electrical performance including field-effect mobility of 9 cm{sup 2}/Vs, threshold voltage of 2.2 V, subthreshold swing of 0.2 V/decade, and on/off current ratio of 1 × 10{sup 7}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric is proposed. • The source/drain areas are hydrogen-doped by CHF{sub 3} plasma. • The devices show good electrical performance and scaling down behavior.

  5. Effect of annealing temperature on structural and electrical properties of high-κ YbTixOy gate dielectrics for InGaZnO thin film transistors

    International Nuclear Information System (INIS)

    Pan, Tung-Ming; Chen, Fa-Hsyang; Hung, Meng-Ning

    2015-01-01

    This paper describes the effect of annealing temperature on the structural properties and electrical characteristics of high–κ YbTi x O y gate dielectrics for indium–gallium–zinc–oxide (IGZO) thin-film transistors (TFTs). X-ray diffraction, x-ray photoelectron spectroscopy and atomic force microscopy were used to study the structural, chemical and morphological features, respectively, of these dielectric films annealed at 200, 300 and 400 °C. The YbTi x O y IGZO TFT that had been annealed at 400 °C exhibited better electrical characteristics, such as a small threshold voltage of 0.53 V, a large field-effect mobility of 19.1 cm 2 V −1 s −1 , a high I on /I off ratio of 2.8 × 10 7 , and a low subthreshold swing of 176 mV dec. −1 , relative to those of the systems that had been subjected to other annealing conditions. This result suggests that YbTi x O y dielectric possesses a higher dielectric constant as well as lower oxygen vacancies (or defects) in the film. In addition, the instability of YbTi x O y IGZO TFT was studied under positive gate-bias stress and negative gate-bias stress conditions. (paper)

  6. Structural, dielectric and ferroelectric characterization of PZT thin films

    Directory of Open Access Journals (Sweden)

    Araújo E.B.

    1999-01-01

    Full Text Available In this work ferroelectric thin films of PZT were prepared by the oxide precursor method, deposited on Pt/Si substrate. Films of 0.5 mm average thickness were obtained. Electrical and ferroelectric characterization were carried out in these films. The measured value of the dielectric constant for films was 455. Ferroelectricity was confirmed by Capacitance-Voltage (C-V characteristics and P-E hysteresis loops. Remanent polarization for films presented value around 5.0 µC/cm2 and a coercive field of 88.8 kV/cm.

  7. High-performance pentacene OTFT by incorporating Ti in LaON gate dielectric

    Science.gov (United States)

    Ma, Y. X.; Han, C. Y.; Tang, W. M.; Lai, P. T.

    2017-07-01

    Pentacene organic thin-film transistors (OTFT) using high-k LaTiON gate dielectric with different Ti contents are investigated. The LaxTi(1-x)ON films (with x = 1, 0.87, 0.76, and 0.67) are deposited by reactive sputtering followed by an annealing in N2 at 200 °C. The OTFT with La0.87Ti0.13ON can achieve a high carrier mobility of 2.6 cm2/V.s, a small threshold voltage of -1.5 V, a small sub-threshold swing of 0.07 V/dec, and a small hysteresis of 0.17 V. AFM and X-ray photoelectron spectroscopy reveal that Ti can suppress the hygroscopicity of La oxide to achieve a smoother dielectric surface, which can result in larger pentacene grains and thus higher carrier mobility. All the devices show a clockwise hysteresis because both the LaOH formation and Ti incorporation can generate acceptor-like traps in the gate dielectric.

  8. Effect of yttrium doping on the dielectric properties of CaCu{sub 3}Ti{sub 4}O{sub 12} thin film produced by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saji, Viswanathan S., E-mail: vssaji@chosun.ac.k [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of); Choe, Han Cheol [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of)

    2009-05-29

    Pure and yttrium substituted CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x/} {sub 2} (x = 0, 0.02, 0.1) thin films were prepared on boron doped silica substrate employing chemical solution deposition, spin coating and rapid thermal annealing. The phase and microstructure of the sintered films were examined using X-ray diffraction and scanning electron microscopy. Dielectric properties of the films were measured at room temperature using electrochemical impedance spectroscopy. Highly ordered polycrystalline CCTO thin film with bimodal grain size distribution was achieved at a sintering temperature of 800 {sup o}C. Yttrium doping was found to have beneficial effects on the dielectric properties of CCTO thin film. Dielectric parameters obtained for a CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x} {sub /2} (x = 0.02) film at 1 KHz were k {approx} 2700 and tan {delta} {approx} 0.07.

  9. Structural, electrical, and dielectric properties of Cr doped ZnO thin films: Role of Cr concentration

    Energy Technology Data Exchange (ETDEWEB)

    Gürbüz, Osman, E-mail: osgurbuz@yildiz.edu.tr; Okutan, Mustafa

    2016-11-30

    Highlights: • Magnetic material of Cr and semiconductor material of ZnO were grown by the magnetron sputtering co-sputter technique. • Perfect single crystalline structures were grown. • DC and AC conductivity with dielectric properties as a function of frequency (f = 5Hz–13 MHz) at room temperature were measured and compared. • Cr doped ZnO can be used in microwave, sensor and optoelectronic devices as the electrical conductivity increases while dielectric constant decreases with the Cr content. - Abstract: An undoped zinc oxide (ZnO) and different concentrations of chromium (Cr) doped ZnO Cr{sub x}ZnO{sub 1−x} (x = 3.74, 5.67, 8.10, 11.88, and 15.96) thin films were prepared using a magnetron sputtering technique at room temperature. These films were characterized by X-ray diffraction (XRD), High resolution scanning electron microscope (HR-SEM), and Energy dispersive X-ray spectrometry (EDS). XRD patterns of all the films showed that the films possess crystalline structure with preferred orientation along the (100) crystal plane. The average crystallite size obtained was found to be between 95 and 83 nm which was beneficial in high intensity recording peak. Both crystal quality and crystallite sizes decrease with increasing Cr concentration. The crystal and grain sizes of the all film were investigated using SEM analysis. The surface morphology that is grain size changes with increase Cr concentration and small grains coalesce together to form larger grains for the Cr{sub 11.88}ZnO and Cr{sub 15.96}ZnO samples. Impedance spectroscopy studies were carried out in the frequencies ranging from 5 Hz to 13 MHz at room temperature. The undoped ZnO film had the highest dielectric value, while dielectric values of other films decreased as doping concentrations increased. Besides, the dielectric constants decreased whereas the loss tangents increased with increasing Cr content. This was considered to be related to the reduction of grain size as Cr content in Zn

  10. Dielectrophoretic deformation of thin liquid films induced by surface charge patterns on dielectric substrates

    NARCIS (Netherlands)

    Berendsen, C.W.J.; Kuijpers, C.J.; Zeegers, J.C.H.; Darhuber, A.A.

    2013-01-01

    We studied the deformation of thin liquid films induced by surface charge patterns at the solid–liquid interface quantitatively by experiments and numerical simulations. We deposited a surface charge distribution on dielectric substrates by applying potential differences between a conductive liquid

  11. Inkjet-printed thin film radio-frequency capacitors based on sol-gel derived alumina dielectric ink

    KAUST Repository

    McKerricher, Garret

    2017-05-03

    There has been significant interest in printing radio frequency passives, however the dissipation factor of printed dielectric materials has limited the quality factor achievable. Al2O3 is one of the best and widely implemented dielectrics for RF passive electronics. The ability to spatially pattern high quality Al2O3 thin films using, for example, inkjet printing would tremendously simplify the incumbent fabrication processes – significantly reducing cost and allowing for the development of large area electronics. To-date, particle based Al2O3 inks have been explored as dielectrics, although several drawbacks including nozzle clogging and grain boundary formation in the films hinder progress. In this work, a particle free Al2O3 ink is developed and demonstrated in RF capacitors. Fluid and jetting properties are explored, along with control of ink spreading and coffee ring suppression. The liquid ink is heated to 400 °C decomposing to smooth Al2O3 films ~120 nm thick, with roughness of <2 nm. Metal-insulator-metal capacitors, show high capacitance density >450 pF/mm2, and quality factors of ~200. The devices have high break down voltages, >25 V, with extremely low leakage currents, <2×10−9 A/cm2 at 1 MV/cm. The capacitors compare well with similar Al2O3 devices fabricated by atomic layer deposition.

  12. Coupled Optical Tamm States in a Planar Dielectric Mirror Structure Containing a Thin Metal Film

    International Nuclear Information System (INIS)

    Zhou Hai-Chun; Yang Guang; Lu Pei-Xiang; Wang Kai; Long Hua

    2012-01-01

    The coupling between two optical Tamm states (OTSs) with the same eigenenergy is numerically investigated in a planar dielectric mirror structure containing a thin metal film. The reflectivity map in this structure at normal incidence is obtained by applying the transfer matrix method. Two splitting branches appear in the photonic bandgap region when both adjacent dielectric layers of metal film are properly set. The splitting energy of two branches strongly depends on the thickness of the metal film. According to the electric field distribution in this structure, it is found that the high-energy branch corresponds to the antisymmetric coupling between two OTSs, while the low-energy branch is associated with the symmetric coupling between two OTSs. Moreover, the optical difference frequency of two branches is located in a broad terahertz region. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  13. Low temperature dielectric relaxation and charged defects in ferroelectric thin films

    Directory of Open Access Journals (Sweden)

    A. Artemenko

    2013-04-01

    Full Text Available We report a dielectric relaxation in BaTiO3-based ferroelectric thin films of different composition and with several growth modes: sputtering (with and without magnetron and sol-gel. The relaxation was observed at cryogenic temperatures (T < 100 K for frequencies from 100 Hz up to 10 MHz. This relaxation activation energy is always lower than 200 meV and is very similar to the relaxation that we reported in the parent bulk perovskites. Based on our Electron Paramagnetic Resonance (EPR investigation, we ascribe this dielectric relaxation to the hopping of electrons among Ti3+-V(O charged defects. Being dependent on the growth process and on the amount of oxygen vacancies, this relaxation can be a useful probe of defects in actual integrated capacitors with no need for specific shaping.

  14. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  15. Domain Engineered Magnetoelectric Thin Films for High Sensitivity Resonant Magnetic Field Sensors

    Science.gov (United States)

    2011-12-01

    band gap of highly textured PZT thin films. The deposition process variables were - argon and oxygen flows, chamber pressure, RF power (DC Bias...needed another parameter to equate with the number of unknowns in the resultant model equations. From Figure 24, electronic polarizability affects the... Polarizability and Optical dielectric response of a thin.film , ., ,__~--~---\\- 000 01’ "󈧶 Ots Tncnt.re"’°l Effective Polarizability = Reddy

  16. Study of surface-modified PVP gate dielectric in organic thin film transistors with the nano-particle silver ink source/drain electrode.

    Science.gov (United States)

    Yun, Ho-Jin; Ham, Yong-Hyun; Shin, Hong-Sik; Jeong, Kwang-Seok; Park, Jeong-Gyu; Choi, Deuk-Sung; Lee, Ga-Won

    2011-07-01

    We have fabricated the flexible pentacene based organic thin film transistors (OTFTs) with formulated poly[4-vinylphenol] (PVP) gate dielectrics treated by CF4/O2 plasma on poly[ethersulfones] (PES) substrate. The solution of gate dielectrics is made by adding methylated poly[melamine-co-formaldehyde] (MMF) to PVP. The PVP gate dielectric layer was cross linked at 90 degrees under UV ozone exposure. Source/drain electrodes are formed by micro contact printing (MCP) method using nano particle silver ink for the purposes of low cost and high throughput. The optimized OTFT shows the device performance with field effect mobility of the 0.88 cm2/V s, subthreshold slope of 2.2 V/decade, and on/off current ratios of 1.8 x 10(-6) at -40 V gate bias. We found that hydrophobic PVP gate dielectric surface can influence on the initial film morphologies of pentacene making dense, which is more important for high performance OTFTs than large grain size. Moreover, hydrophobic gate dielelctric surface reduces voids and -OH groups that interrupt the carrier transport in OTFTs.

  17. Studies on dielectric properties, opto-electrical parameters and electronic polarizability of thermally evaporated amorphous Cd{sub 50}S{sub 50−x}Se{sub x} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hassanien, Ahmed Saeed, E-mail: a.s.hassanien@gmail.com [Engineering Mathematics and Physics Department, Faculty of Engineering (Shoubra), Benha University (Egypt); Physics Department, Faculty of Science and Humanities in Ad-Dawadmi, Shaqra University, 11911 (Saudi Arabia)

    2016-06-25

    The objective of this work is to study the influence of the addition of more Se on dielectric properties, opto-electrical parameters and electronic polarizability of amorphous chalcogenide Cd{sub 50}S{sub 50−x}Se{sub x} thin films (30 ≤ x ≤ 50 at%). Thin films of thickness 200 nm were synthesized by vacuum deposition at ≈8.2 × 10{sup −4} Pa. Both refractive index and extinction coefficient were used to obtain all the studied parameters. The high frequency dielectric constant, real and imaginary parts of dielectric constant were discussed. Drude theory was applied to investigate opto-electrical parameters, like optical carrier concentration, optical mobility and optical resistivity. Moreover, other parameters were investigated and studied, e.g. Drude parameters, volume and surface energy loss functions, dielectric loss factor, dielectric relaxation time, complex optical conductivity and electronic polarizability as well as optical electronegativity and third-order nonlinear optical susceptibility. Values of electronic polarizability and nonlinear optical susceptibility were found to be decreased while optical electronegativity increased as Se-content was increased. Increment of Se-content in amorphous Cd{sub 50}S{sub 50−x}Se{sub x} thin films has also led to minimize the energy losses when electromagnetic waves propagate through films as well as optical conductivity and the speed of light increased. The other studied properties and parameters of Cd{sub 50}S{sub 50−x}Se{sub x} films were found to be strongly dependent upon Se-content. - Highlights: • Thermally evaporated amorphous Cd{sub 50}S{sub 50−x}Se{sub x} (30 ≤ x ≤ 50) thin films were deposited. • Refractive index and absorption index were used to determine almost all properties. • Dielectric properties, Drude parameters and electronic polarizability were studied. • Addition of more Se to CdSSe matrix led to improve the opto-electrical properties. • New data were obtained and

  18. Cyanoresin, cyanoresin/cellulose triacetate blends for thin film, dielectric capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S. (Inventor); Lewis, Carol R. (Inventor); Cygan, Peter J. (Inventor); Jow, T. Richard (Inventor)

    1996-01-01

    Non brittle dielectric films are formed by blending a cyanoresin such as cyanoethyl, hydroxyethyl cellulose (CRE) with a compatible, more crystalline resin such as cellulose triacetate. The electrical breakdown strength of the blend is increased by orienting the films by uniaxial or biaxial stretching. Blends of high molecular weight CRE with high molecular weight cyanoethyl cellulose (CRC) provide films with high dielectric constants.

  19. A simple method for reducing inevitable dielectric loss in high-permittivity dielectric elastomers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Mazurek, Piotr Stanislaw

    2016-01-01

    elastomer matrix, with high dielectric permittivity and a low Young's modulus, aligned with no loss of mechanical stability, was prepared through the use of commercially available chloropropyl-functional silicone oil mixed into a tough commercial liquid silicone rubber silicone elastomer. The addition...... also decreased the dielectric losses of an elastomer containing dielectric permittivity-enhancing TiO2 fillers. Commercially available chloropropyl-functional silicone oil thus constitutes a facile method for improved silicone DEs, with very low dielectric losses.......Commercial viability of dielectric elastomers (DEs) is currently limited by a few obstacles, including high driving voltages (in the kV range). Driving voltage can be lowered by either decreasing the Young's modulus or increasing the dielectric permittivity of silicone elastomers, or a combination...

  20. Bias Stability Enhancement in Thin-Film Transistor with a Solution-Processed ZrO2 Dielectric as Gate Insulator

    Directory of Open Access Journals (Sweden)

    Shangxiong Zhou

    2018-05-01

    Full Text Available In this paper, a high-k metal-oxide film (ZrO2 was successfully prepared by a solution-phase method, and whose physical properties were measured by X-ray diffraction (XRD, X-ray reflectivity (XRR and atomic force microscopy (AFM. Furthermore, indium–gallium–zinc oxide thin-film transistors (IGZO-TFTs with high-k ZrO2 dielectric layers were demonstrated, and the electrical performance and bias stability were investigated in detail. By spin-coating 0.3 M precursor six times, a dense ZrO2 film, with smoother surface and fewer defects, was fabricated. The TFT devices with optimal ZrO2 dielectric exhibit a saturation mobility up to 12.7 cm2 V−1 s−1, and an on/off ratio as high as 7.6 × 105. The offset of the threshold voltage was less than 0.6 V under positive and negative bias stress for 3600 s.

  1. Effect of La and W dopants on dielectric and ferroelectric properties of PZT thin films prepared by sol-gel process

    International Nuclear Information System (INIS)

    Xiao, Mi; Zhang, Zebin; Zhang, Weikang; Zhang, Ping

    2018-01-01

    La or W-doped lead zirconate titanate thin films (PLZT or PZTW) were prepared on platinized silicon substrates by sol-gel process. The effects of La or W dopant on the phase development, microstructure, dielectric and ferroelectric characteristics of films were studied. For PLZT films, the optimum doping concentration was found to be 2 mol%. While for PZTW films, the dielectric and ferroelectric properties were found to be improved as the doping concentration increased. The fatigue properties of PLZT and PZTW thin films were also investigated, the results showed that A- or B-site donor doping could improve the fatigue properties of PZT thin films. The theory of oxygen vacancy was used to explain the performance improvement caused by donor doping. (orig.)

  2. Effect of La and W dopants on dielectric and ferroelectric properties of PZT thin films prepared by sol-gel process

    Science.gov (United States)

    Xiao, Mi; Zhang, Zebin; Zhang, Weikang; Zhang, Ping

    2018-01-01

    La or W-doped lead zirconate titanate thin films (PLZT or PZTW) were prepared on platinized silicon substrates by sol-gel process. The effects of La or W dopant on the phase development, microstructure, dielectric and ferroelectric characteristics of films were studied. For PLZT films, the optimum doping concentration was found to be 2 mol%. While for PZTW films, the dielectric and ferroelectric properties were found to be improved as the doping concentration increased. The fatigue properties of PLZT and PZTW thin films were also investigated, the results showed that A- or B-site donor doping could improve the fatigue properties of PZT thin films. The theory of oxygen vacancy was used to explain the performance improvement caused by donor doping.

  3. Relaxorlike dielectric behavior in Ba0.7Sr0.3TiO3 thin films

    Science.gov (United States)

    Zednik, Ricardo J.; McIntyre, Paul C.; Baniecki, John D.; Ishii, Masatoshi; Shioga, Takeshi; Kurihara, Kazuaki

    2007-03-01

    We present the results of a systematic dielectric study for sputter deposited barium strontium titanate thin film planar capacitors measured over a wide temperature range of 20-575K for frequencies between 1kHz and 1MHz. Our observations of dielectric loss peaks in the temperature and frequency domains cannot be understood in the typical framework of intrinsic phonon losses. We find that the accepted phenomenological Curie-von Schweidler dielectric behavior (universal relaxation law) in our barium strontium titanate films is only applicable over a narrow temperature range. An excellent fit to the Vogel-Fulcher expression suggests relaxorlike behavior in these films. The activation energy of the observed phenomenon suggests that oxygen ion motion play a role in the apparent relaxor behavior, although further experimental work is required to test this hypothesis.

  4. Thin-dielectric-layer engineering for 3D nanostructure integration using an innovative planarization approach

    International Nuclear Information System (INIS)

    Guerfi, Y; Doucet, J B; Larrieu, G

    2015-01-01

    Three-dimensional (3D) nanostructures are emerging as promising building blocks for a large spectrum of applications. One critical issue in integration regards mastering the thin, flat, and chemically stable insulating layer that must be implemented on the nanostructure network in order to build striking nano-architectures. In this letter, we report an innovative method for nanoscale planarization on 3D nanostructures by using hydrogen silesquioxane as a spin-on-glass (SOG) dielectric material. To decouple the thickness of the final layer from the height of the nanostructure, we propose to embed the nanowire network in the insulator layer by exploiting the planarizing properties of the SOG approach. To achieve the desired dielectric thickness, the structure is chemically etched back with a highly diluted solution to control the etch rate precisely. The roughness of the top surface was less than 2 nm. There were no surface defects and the planarity was excellent, even in the vicinity of the nanowires. This newly developed process was used to realize a multilevel stack architecture with sub-deca-nanometer-range layer thickness. (paper)

  5. Dielectric properties of DC reactive magnetron sputtered Al2O3 thin films

    International Nuclear Information System (INIS)

    Prasanna, S.; Mohan Rao, G.; Jayakumar, S.; Kannan, M.D.; Ganesan, V.

    2012-01-01

    Alumina (Al 2 O 3 ) thin films were sputter deposited over well-cleaned glass and Si substrates by DC reactive magnetron sputtering under various oxygen gas pressures and sputtering powers. The composition of the films was analyzed by X-ray photoelectron spectroscopy and an optimal O/Al atomic ratio of 1.59 was obtained at a reactive gas pressure of 0.03 Pa and sputtering power of 70 W. X-ray diffraction results revealed that the films were amorphous until 550 °C. The surface morphology of the films was studied using scanning electron microscopy and the as-deposited films were found to be smooth. The topography of the as-deposited and annealed films was analyzed by atomic force microscopy and a progressive increase in the rms roughness of the films from 3.2 nm to 4.53 nm was also observed with increase in the annealing temperature. Al-Al 2 O 3 -Al thin film capacitors were then fabricated on glass substrates to study the effect of temperature and frequency on the dielectric property of the films. Temperature coefficient of capacitance, AC conductivity and activation energy were determined and the results are discussed. - Highlights: ► Al 2 O 3 thin films were deposited by DC reactive magnetron sputtering. ► The films were found to be amorphous up to annealing temperature of 550 C. ► An increase in rms roughness of the films was observed with annealing. ► Al-Al 2 O 3 -Al thin film capacitors were fabricated and dielectric constant was 7.5. ► The activation energy decreased with increase in frequency.

  6. Evaluation of the effects of thermal annealing temperature and high-k dielectrics on amorphous InGaZnO thin films by using pseudo-MOS transistors

    International Nuclear Information System (INIS)

    Lee, Se-Won; Cho, Won-Ju

    2012-01-01

    The effects of annealing temperatures and high-k gate dielectric materials on the amorphous In-Ga-Zn-O thin-film transistors (a-IGZO TFTs) were investigated using pseudo-metal-oxide semiconductor transistors (Ψ-MOSFETs), a method without conventional source/drain (S/D) layer deposition. Annealing of the a-IGZO film was carried out at 150 - 900 .deg. C in a N 2 ambient for 30 min. As the annealing temperature was increased, the electrical characteristics of Ψ-MOSFETs on a-IGZO were drastically improved. However, when the annealing temperature exceeded 700 .deg. C, a deterioration of the MOS parameters was observed, including a shift of the threshold voltage (V th ) in a negative direction, an increase in the subthreshold slope (SS) and hysteresis, a decrease in the field effect mobility (μ FE ), an increase in the trap density (N t ), and a decrease in the on/off ratio. Meanwhile, the high-k gate dielectrics enhanced the performance of a-IGZO Ψ-MOSFETs. The ZrO 2 gate dielectrics particularly exhibited excellent characteristics in terms of SS (128 mV/dec), μ FE (10.2 cm -2 /V·s), N t (1.1 x 10 12 cm -2 ), and on/off ratio (5.3 x 10 6 ). Accordingly, the Ψ-MOSFET structure is a useful method for rapid evaluation of the effects of the process and the material on a-IGZO TFTs without a conventional S/D layer deposition.

  7. Optical constants, dispersion energy parameters and dielectric properties of ultra-smooth nanocrystalline BiVO4 thin films prepared by rf-magnetron sputtering

    Science.gov (United States)

    Sarkar, S.; Das, N. S.; Chattopadhyay, K. K.

    2014-07-01

    BiVO4 thin films have been prepared through radio frequency (rf) magnetron sputtering of a pre-fabricated BiVO4 target on ITO coated glass (ITO-glass) substrate and bare glass substrates. BiVO4 target material was prepared through solid-state reaction method by heating Bi2O3 and V2O5 mixture at 800 °C for 8 h. The films were characterized by X-ray diffraction, UV-Vis spectroscopy, LCR meter, field emission scanning electron microscopy, transmission electron microscopy and atomic force microscopy. BiVO4 thin films deposited on the ITO-glass substrate are much smoother compared to the thin films prepared on bare glass substrate. The rms surface roughness calculated from the AFM images comes out to be 0.74 nm and 4.2 nm for the films deposited on the ITO-glass substrate and bare glass substrate for the deposition time 150 min respectively. Optical constants and energy dispersion parameters of these extra-smooth BiVO4 thin films have been investigated in detail. Dielectric properties of the BiVO4 thin films on ITO-glass substrate were also investigated. The frequency dependence of dielectric constant of the BiVO4 thin films has been measured in the frequency range from 20 Hz to 2 MHz. It was found that the dielectric constant increased from 145 to 343 at 20 Hz as the film thickness increased from 90 nm to 145 nm (deposition time increased from 60 min to 150 min). It shows higher dielectric constant compared to the literature value of BiVO4.

  8. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    Science.gov (United States)

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  9. Infrared and THz spectroscopy of nanostructured dielectrics

    Directory of Open Access Journals (Sweden)

    Jan Petzelt

    2009-09-01

    Full Text Available Results achieved using the infrared/THz spectroscopy of various inhomogeneous dielectrics in the Department of Dielectrics, Institute of Physics, Prague, during the last decade are briefly reviewed. The discussion concerns high-permittivity ceramics with inevitable low-permittivity dead layers along the grain boundaries, relaxor ferroelectrics with highly anisotropic polar nano-regions, classical matrix-type composites, core-shell composites, filled nanoporous glasses, polycrystalline and epitaxial thin films, heterostructures and superlattices on dielectric substrates. The analysis using models based on the effective medium approach is discussed. The importance of depolarizing field and of the percolation of components on the effective ac dielectric response and the excitations contributing to it are emphasized.

  10. High thermal conductivity lossy dielectric using a multi layer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-01-01

    Systems and methods are described for loss dielectrics. A loss dielectric includes at least one high dielectric loss layer and at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. A method of manufacturing a loss dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. The systems and methods provide advantages because the loss dielectrics are less costly and more environmentally friendly than the available alternatives.

  11. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  12. Effect of titanium oxide-polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Della Pelle, Andrea M. [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States); Maliakal, Ashok, E-mail: maliakal@lgsinnovations.com [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Sidorenko, Alexander [Department of Chemistry and Biochemistry, University of the Sciences, 600 South 43rd St., Philadelphia, PA 191034 (United States); Thayumanavan, S. [Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States)

    2012-07-31

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide-polystyrene core-shell nanocomposite (TiO{sub 2}-PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO{sub 2}-PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as {alpha}-sexithiophene ({alpha}-6T) (enhancement factor for field effect mobility ranging from 30-100 Multiplication-Sign higher on TiO{sub 2}-PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for {alpha}-sexithiophene ({alpha}-6T) grown by thermal evaporation on TiO{sub 2}-PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO{sub 2}-PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2 Multiplication-Sign ) increase in mobility with increasing TiO{sub 2}-PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation

  13. Effect of titanium oxide–polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    International Nuclear Information System (INIS)

    Della Pelle, Andrea M.; Maliakal, Ashok; Sidorenko, Alexander; Thayumanavan, S.

    2012-01-01

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide–polystyrene core–shell nanocomposite (TiO 2 –PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO 2 –PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as α-sexithiophene (α-6T) (enhancement factor for field effect mobility ranging from 30-100× higher on TiO 2 –PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for α-sexithiophene (α-6T) grown by thermal evaporation on TiO 2 –PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO 2 –PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2×) increase in mobility with increasing TiO 2 –PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation rate produces organic polycrystalline films with small grain

  14. Phase sensitive molecular dynamics of self-assembly glycolipid thin films: A dielectric spectroscopy investigation

    Science.gov (United States)

    Velayutham, T. S.; Ng, B. K.; Gan, W. C.; Majid, W. H. Abd.; Hashim, R.; Zahid, N. I.; Chaiprapa, Jitrin

    2014-08-01

    Glycolipid, found commonly in membranes, is also a liquid crystal material which can self-assemble without the presence of a solvent. Here, the dielectric and conductivity properties of three synthetic glycolipid thin films in different thermotropic liquid crystal phases were investigated over a frequency and temperature range of (10-2-106 Hz) and (303-463 K), respectively. The observed relaxation processes distinguish between the different phases (smectic A, columnar/hexagonal, and bicontinuous cubic Q) and the glycolipid molecular structures. Large dielectric responses were observed in the columnar and bicontinuous cubic phases of the longer branched alkyl chain glycolipids. Glycolipids with the shortest branched alkyl chain experience the most restricted self-assembly dynamic process over the broad temperature range studied compared to the longer ones. A high frequency dielectric absorption (Process I) was observed in all samples. This is related to the dynamics of the hydrogen bond network from the sugar group. An additional low-frequency mechanism (Process II) with a large dielectric strength was observed due to the internal dynamics of the self-assembly organization. Phase sensitive domain heterogeneity in the bicontinuous cubic phase was related to the diffusion of charge carriers. The microscopic features of charge hopping were modelled using the random walk scheme, and two charge carrier hopping lengths were estimated for two glycolipid systems. For Process I, the hopping length is comparable to the hydrogen bond and is related to the dynamics of the hydrogen bond network. Additionally, that for Process II is comparable to the bilayer spacing, hence confirming that this low-frequency mechanism is associated with the internal dynamics within the phase.

  15. Electrostatically assisted fabrication of silver-dielectric core/shell nanoparticles thin film capacitor with uniform metal nanoparticle distribution and controlled spacing.

    Science.gov (United States)

    Li, Xue; Niitsoo, Olivia; Couzis, Alexander

    2016-03-01

    An electrostatically-assisted strategy for fabrication of thin film composite capacitors with controllable dielectric constant (k) has been developed. The capacitor is composed of metal-dielectric core/shell nanoparticle (silver/silica, Ag@SiO2) multilayer films, and a backfilling polymer. Compared with the simple metal particle-polymer mixtures where the metal nanoparticles (NP) are randomly dispersed in the polymer matrix, the metal volume fraction in our capacitor was significantly increased, owing to the densely packed NP multilayers formed by the electrostatically assisted assembly process. Moreover, the insulating layer of silica shell provides a potential barrier that reduces the tunneling current between neighboring Ag cores, endowing the core/shell nanocomposites with a stable and relatively high dielectric constant (k) and low dielectric loss (D). Our work also shows that the thickness of the SiO2 shell plays a dominant role in controlling the dielectric properties of the nanocomposites. Control over metal NP separation distance was realized not only by variation the shell thickness of the core/shell NPs but also by introducing a high k nanoparticle, barium strontium titanate (BST) of relatively smaller size (∼8nm) compared to 80-160nm of the core/shell Ag@SiO2 NPs. The BST assemble between the Ag@SiO2 and fill the void space between the closely packed core/shell NPs leading to significant enhancement of the dielectric constant. This electrostatically assisted assembly method is promising for generating multilayer films of a large variety of NPs over large areas at low cost. Copyright © 2015 Elsevier Inc. All rights reserved.

  16. Controlling Chain Conformations of High-k Fluoropolymer Dielectrics to Enhance Charge Mobilities in Rubrene Single-Crystal Field-Effect Transistors.

    Science.gov (United States)

    Adhikari, Jwala M; Gadinski, Matthew R; Li, Qi; Sun, Kaige G; Reyes-Martinez, Marcos A; Iagodkine, Elissei; Briseno, Alejandro L; Jackson, Thomas N; Wang, Qing; Gomez, Enrique D

    2016-12-01

    A novel photopatternable high-k fluoropolymer, poly(vinylidene fluoride-bromotrifluoroethylene) P(VDF-BTFE), with a dielectric constant (k) between 8 and 11 is demonstrated in thin-film transistors. Crosslinking P(VDF-BTFE) reduces energetic disorder at the dielectric-semiconductor interface by controlling the chain conformations of P(VDF-BTFE), thereby leading to approximately a threefold enhancement in the charge mobility of rubrene single-crystal field-effect transistors. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Ferroelectric dielectrics integrated on silicon

    CERN Document Server

    Defay, Emmanuel

    2013-01-01

    This book describes up-to-date technology applied to high-K materials for More Than Moore applications, i.e. microsystems applied to microelectronics core technologies.After detailing the basic thermodynamic theory applied to high-K dielectrics thin films including extrinsic effects, this book emphasizes the specificity of thin films. Deposition and patterning technologies are then presented. A whole chapter is dedicated to the major role played in the field by X-Ray Diffraction characterization, and other characterization techniques are also described such as Radio frequency characterizat

  18. High mobility and low operating voltage ZnGaO and ZnGaLiO transistors with spin-coated Al2O3 as gate dielectric

    International Nuclear Information System (INIS)

    Xia, D X; Xu, J B

    2010-01-01

    Spin-coated alumina serving as a gate dielectric in thin film transistors shows interesting dielectric properties for low-voltage applications, despite a moderate capacitance. With Ga singly doped and Ga, Li co-doped ZnO as the active channel layers, typical mobilities of 4.7 cm 2 V -1 s -1 and 2.1 cm 2 V -1 s -1 are achieved, respectively. At a given gate bias, the operation current is much smaller than the previously reported values in low-voltage thin film transistors, primarily relying on the giant-capacitive dielectric. The reported devices combine advantages of high mobility, low power consumption, low cost and ease of fabrication. In addition to the transparent nature of both the dielectric and semiconducting active channels, the superior electrical properties of the devices may provide a new avenue for future transparent electronics. (fast track communication)

  19. A high voltage DC-DC converter driving a Dielectric Electro Active Polymer actuator for wind turbine flaps

    DEFF Research Database (Denmark)

    Thummala, Prasanth; Zhang, Zhe; Andersen, Michael A. E.

    2012-01-01

    The Dielectric Electro Active Polymer (DEAP) material is a very thin (~80 μm) silicone elastomer film with a compliant metallic electrode layer on both sides. The DEAP is fundamentally a capacitor that is capable of very high strain. The property that the polymer changes its shape, as a result...

  20. Low-voltage organic thin film transistors (OTFTs) using crosslinked polyvinyl alcohol (PVA)/neodymium oxide (Nd2O3) bilayer gate dielectrics

    Science.gov (United States)

    Khound, Sagarika; Sarma, Ranjit

    2018-01-01

    We have reported here on the design, processing and dielectric properties of pentacene-based organic thin film transitors (OTFTs) with a bilayer gate dilectrics of crosslinked PVA/Nd2O3 which enables low-voltage organic thin film operations. The dielectric characteristics of PVA/Nd2O3 bilayer films are studied by capacitance-voltage ( C- V) and current-voltage ( I- V) curves in the metal-insulator-metal (MIM) structure. We have analysed the output electrical responses and transfer characteristics of the OTFT devices to determine their performance of OTFT parameters. The mobility of 0.94 cm2/Vs, the threshold voltage of - 2.8 V, the current on-off ratio of 6.2 × 105, the subthreshold slope of 0.61 V/decade are evaluated. Low leakage current of the device is observed from current density-electric field ( J- E) curve. The structure and the morphology of the device are studied using X-ray diffraction (XRD) and atomic force microscope (AFM), respectively. The study demonstrates an effective way to realize low-voltage, high-performance OTFTs at low cost.

  1. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    International Nuclear Information System (INIS)

    Zhu, X. H.; Defaye, E.; Aied, M.; Guigues, B.; Dubarry, C.

    2009-01-01

    Dielectric properties of Ba 0.7 Sr 0.3 TiO 3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  2. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    Science.gov (United States)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-07-01

    Dielectric properties of Ba0.7Sr0.3TiO3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  3. Evaluation of high temperature capacitor dielectrics

    Science.gov (United States)

    Hammoud, Ahmad N.; Myers, Ira T.

    1992-01-01

    Experiments were carried out to evaluate four candidate materials for high temperature capacitor dielectric applications. The materials investigated were polybenzimidazole polymer and three aramid papers: Voltex 450, Nomex 410, and Nomex M 418, an aramid paper containing 50 percent mica. The samples were heat treated for six hours at 60 C and the direct current and 60 Hz alternating current breakdown voltages of both dry and impregnated samples were obtained in a temperature range of 20 to 250 C. The samples were also characterized in terms of their dielectric constant, dielectric loss, and conductivity over this temperature range with an electrical stress of 60 Hz, 50 V/mil present. Additional measurements are underway to determine the volume resistivity, thermal shrinkage, and weight loss of the materials. Preliminary data indicate that the heat treatment of the films slightly improves the dielectric properties with no influence on their breakdown behavior. Impregnation of the samples leads to significant increases in both alternating and direct current breakdown strength. The results are discussed and conclusions made concerning their suitability as high temperature capacitor dielectrics.

  4. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  5. Impedance spectroscopic and dielectric analysis of Ba0.7Sr0.3TiO3 thin films

    International Nuclear Information System (INIS)

    Rouahi, A.; Kahouli, A.; Sylvestre, A.; Defaÿ, E.; Yangui, B.

    2012-01-01

    Highlights: ► The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba 0.7 Sr 0.3 TiO 3 . ► The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. ► The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. ► The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba 0.7 Sr 0.3 TiO 3 thin film with Pt/BST/Pt/TiO 2 /SiO 2 structure was prepared by ion beam sputtering. The film was post annealed at 700 °C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1–10 5 Hz] at different temperatures [175–350 °C]. The Nyquist plots (Z″ vs . Z′) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z″ and M″ are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M ″ /M ″ max shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  6. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud; Nayak, Pradipta K.; Wang, Zhenwei; Alshareef, Husam N.

    2016-01-01

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  7. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud

    2016-08-24

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  8. Effect of interfacial layers on dielectric properties in very thin SrBi2Ta2O9 capacitors

    International Nuclear Information System (INIS)

    Moon, Bum-Ki; Isobe, Chiharu; Hironaka, Katsuyuki; Hishikawa, Shinichi

    2001-01-01

    The effect of interfacial layers on the dielectric properties in very thin SrBi 2 Ta 2 O 9 (SBT) capacitors has been investigated using static measurements. Total permittivity (ε t ) decreased as the film thickness was reduced in both Pt/SBT/Pt and Ir/SBT/Pt capacitors. The contribution of the interfacial capacitance (C int ) and bulk capacitance to the total capacitance indicates that C int of the Ir/SBT/Pt structure was lower than that of the Pt/SBT/Pt structure, while the bulk permittivity (ε b ) was essentially the same. The dispersion of all capacitors followed the power law, while the Ir/SBT/Pt capacitor showed a larger dispersion of C int . These results suggest that the Pt/SBT/Pt capacitor is preferred for obtaining the high performance with less effect of the interfacial layers on the dielectric properties. [copyright] 2001 American Institute of Physics

  9. High thermal conductivity lossy dielectric using co-densified multilayer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-06-17

    Systems and methods are described for loss dielectrics. A method of manufacturing a lossy dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer and then densifying together. The systems and methods provide advantages because the lossy dielectrics are less costly and more environmentally friendly than the available alternatives.

  10. High-mobility pyrene-based semiconductor for organic thin-film transistors.

    Science.gov (United States)

    Cho, Hyunduck; Lee, Sunyoung; Cho, Nam Sung; Jabbour, Ghassan E; Kwak, Jeonghun; Hwang, Do-Hoon; Lee, Changhee

    2013-05-01

    Numerous conjugated oligoacenes and polythiophenes are being heavily studied in the search for high-mobility organic semiconductors. Although many researchers have designed fused aromatic compounds as organic semiconductors for organic thin-film transistors (OTFTs), pyrene-based organic semiconductors with high mobilities and on-off current ratios have not yet been reported. Here, we introduce a new pyrene-based p-type organic semiconductor showing liquid crystal behavior. The thin film characteristics of this material are investigated by varying the substrate temperature during the deposition and the gate dielectric condition using the surface modification with a self-assembled monolayer, and systematically studied in correlation with the performances of transistor devices with this compound. OTFT fabricated under the optimum deposition conditions of this compound, namely, 1,6-bis(5'-octyl-2,2'-bithiophen-5-yl)pyrene (BOBTP) shows a high-performance transistor behavior with a field-effect mobility of 2.1 cm(2) V(-1) s(-1) and an on-off current ratio of 7.6 × 10(6) and enhanced long-term stability compared to the pentacene thin-film transistor.

  11. Periodicity effects on compound waves guided by a thin metal slab sandwiched between two periodically nonhomogeneous dielectric materials

    Science.gov (United States)

    Chiadini, Francesco; Fiumara, Vincenzo; Scaglione, Antonio; Lakhtakia, Akhlesh

    2017-10-01

    Surface-plasmon-polariton waves can be compounded when a sufficiently thin metal layer is sandwiched between two half spaces filled with dissimilar periodically nonhomogeneous dielectric materials. We solved the boundary-value problem for compound waves guided by a layer of a homogeneous and isotropic metal sandwiched between a structurally chiral material (SCM) and a periodically multilayered isotropic dielectric (PMLID) material. We found that the periodicities of the PMLID material and the SCM are crucial to excite a multiplicity of compound guided waves arising from strong coupling between the two interfaces.

  12. Radiation and Internal Charging Environments for Thin Dielectrics in Interplanetary Space

    Science.gov (United States)

    Minow, Joseph I.; Parker, Linda Neergaard; Altstatt, Richard L.

    2004-01-01

    Spacecraft designs using solar sails for propulsion or thin membranes to shade instruments from the sun to achieve cryogenic operating temperatures are being considered for a number of missions in the next decades. A common feature of these designs are thin dielectric materials that will be exposed to the solar wind, solar energetic particle events, and the distant magnetotail plasma environments encountered by spacecraft in orbit about the Earth-Sun L2 point. This paper will discuss the relevant radiation and internal charging environments developed to support spacecraft design for both total dose radiation effects as well as dose rate dependent phenomenon, such as internal charging in the solar wind and distant magnetotail environments. We will describe the development of radiation and internal charging environment models based on nearly a complete solar cycle of Ulysses solar wind plasma measurements over a complete range of heliocentric latitudes and the early years of the Geotail mission where distant magnetotail plasma environments were sampled beyond X(sub GSE) = -100 Re to nearly L2 (X(sub GSE) -236 Re). Example applications of the environment models are shown to demonstrate the radiation and internal charging environments of thin materials exposed to the interplanetary space plasma environments.

  13. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  14. Characterizations of biodegradable epoxy-coated cellulose nanofibrils (CNF) thin film for flexible microwave applications

    Science.gov (United States)

    Hongyi Mi; Chien-Hao Liu; Tzu-Husan Chang; Jung-Hun Seo; Huilong Zhang; Sang June Cho; Nader Behdad; Zhenqiang Ma; Chunhua Yao; Zhiyong Cai; Shaoqin Gong

    2016-01-01

    Wood pulp cellulose nanofibrils (CNF) thin film is a novel recyclable and biodegradable material. We investigated the microwave dielectric properties of the epoxy coated-CNF thin film for potential broad applications in flexible high speed electronics. The characterizations of dielectric properties were carried out in a frequency range of 1–10 GHz. The dielectric...

  15. Self-Healing, High-Permittivity Silicone Dielectric Elastomer

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Skov, Anne Ladegaard

    2016-01-01

    possesses high dielectric permittivity and consists of an interpenetrating polymer network of silicone elastomer and ionic silicone species that are cross-linked through proton exchange between amines and acids. The ionically cross-linked silicone provides self-healing properties after electrical breakdown...... or cuts made directly to the material due to the reassembly of the ionic bonds that are broken during damage. The dielectric elastomers presented in this paper pave the way to increased lifetimes and the ability of dielectric elastomers to survive millions of cycles in high-voltage conditions....

  16. Ultimate Scaling of High-κ Gate Dielectrics: Higher-κ or Interfacial Layer Scavenging?

    Directory of Open Access Journals (Sweden)

    Takashi Ando

    2012-03-01

    Full Text Available Current status and challenges of aggressive equivalent-oxide-thickness (EOT scaling of high-κ gate dielectrics via higher-κ ( > 20 materials and interfacial layer (IL scavenging techniques are reviewed. La-based higher-κ materials show aggressive EOT scaling (0.5–0.8 nm, but with effective workfunction (EWF values suitable only for n-type field-effect-transistor (FET. Further exploration for p-type FET-compatible higher-κ materials is needed. Meanwhile, IL scavenging is a promising approach to extend Hf-based highdielectrics to future nodes. Remote IL scavenging techniques enable EOT scaling below 0.5 nm. Mobility-EOT trends in the literature suggest that short-channel performance improvement is attainable with aggressive EOT scaling via IL scavenging or La-silicate formation. However, extreme IL scaling (e.g., zero-IL is accompanied by loss of EWF control and with severe penalty in reliability. Therefore, highly precise IL thickness control in an ultra-thin IL regime ( < 0.5 nm will be the key technology to satisfy both performance and reliability requirements for future CMOS devices.

  17. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    Science.gov (United States)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  18. Wet chemical preparation of YVO{sub 4}:Eu thin films as red-emitting phosphor layers for fully transparent flat dielectric discharge lamp

    Energy Technology Data Exchange (ETDEWEB)

    Klausch, A. [Institute for Inorganic Chemistry, Dresden University of Technology, Mommsenstr. 6, 01069 Dresden (Germany); Althues, H. [Fraunhofer Institute for Material and Beam Technology Winterbergstr. 28, 01309 Dresden (Germany); Freudenberg, T. [Leibniz Institute for Solid State and Materials Research, Helmholtzstrasse 20, 01069 Dresden (Germany); Kaskel, S., E-mail: Stefan.Kaskel@chemie.tu-dresden.de [Institute for Inorganic Chemistry, Dresden University of Technology, Mommsenstr. 6, 01069 Dresden (Germany)

    2012-04-30

    Highly transparent YVO{sub 4}:Eu thin films were deposited via dip coating of liquid nanoparticle dispersions on glass substrates. Annealing of the nanoparticle layers resulted in restructuring of the material into oriented crystalline films. The crystallinity was confirmed using powder X-ray diffraction. Film thickness was adjusted to 467 nm by multiple deposition. The resulting coatings show > 99% absorbance for wavelength below 300 nm and > 90% transmission in the visible spectral range. Under UV-light excitation a bright red photoluminescence with a quantum efficiency of 20% is observed. A planar, transparent dielectric barrier discharge lamp was constructed using YVO{sub 4}:Eu coated glasses and transparent electrodes made from antimony-doped tin dioxide thin films. - Highlights: Black-Right-Pointing-Pointer Preparation of highly transparent Eu{sup 3+} doped YVO{sub 4} phosphor thin films. Black-Right-Pointing-Pointer Improved crystallinity and optical properties through heat treatment. Black-Right-Pointing-Pointer Red emitting films on glass substrates were combined with antimony tin oxide thin films. Black-Right-Pointing-Pointer Fully transparent, planar gas discharge lamp as prototype for a light emitting window.

  19. Redefinition of the self-bias voltage in a dielectrically shielded thin sheath RF discharge

    Science.gov (United States)

    Ho, Teck Seng; Charles, Christine; Boswell, Rod

    2018-05-01

    In a geometrically asymmetric capacitively coupled discharge where the powered electrode is shielded from the plasma by a layer of dielectric material, the self-bias manifests as a nonuniform negative charging in the dielectric rather than on the blocking capacitor. In the thin sheath regime where the ion transit time across the powered sheath is on the order of or less than the Radiofrequency (RF) period, the plasma potential is observed to respond asymmetrically to extraneous impedances in the RF circuit. Consequently, the RF waveform on the plasma-facing surface of the dielectric is unknown, and the behaviour of the powered sheath is not easily predictable. Sheath circuit models become inadequate for describing this class of discharges, and a comprehensive fluid, electrical, and plasma numerical model is employed to accurately quantify this behaviour. The traditional definition of the self-bias voltage as the mean of the RF waveform is shown to be erroneous in this regime. Instead, using the maxima of the RF waveform provides a more rigorous definition given its correlation with the ion dynamics in the powered sheath. This is supported by a RF circuit model derived from the computational fluid dynamics and plasma simulations.

  20. Analysis of mobile ionic impurities in polyvinylalcohol thin films by thermal discharge current and dielectric impedance spectroscopy

    Directory of Open Access Journals (Sweden)

    M. Egginger

    2012-12-01

    Full Text Available Polyvinylalcohol (PVA is a water soluble polymer frequently applied in the field of organic electronics for insulating thin film layers. By-products of PVA synthesis are sodium acetate ions which contaminate the polymer material and can impinge on the electronic performance when applied as interlayer dielectrics in thin film transistors. Uncontrollable voltage instabilities and unwanted hysteresis effects are regularly reported with PVA devices. An understanding of these effects require knowledge about the electronic dynamics of the ionic impurities and their influence on the dielectric properties of PVA. Respective data, which are largely unknown, are being presented in this work. Experimental investigations were performed from room temperature to 125°C on drop-cast PVA films of three different quality grades. Data from thermal discharge current (TDC measurements, polarization experiments, and dielectric impedance spectroscopy concurrently show evidence of mobile ionic carriers. Results from TDC measurements indicate the existence of an intrinsic, build-in electric field of pristine PVA films. The field is caused by asymmetric ionic double layer formation at the two different film-interfaces (substrate/PVA and PVA/air. The mobile ions cause strong electrode polarization effects which dominate dielectric impedance spectra. From a quantitative electrode polarization analysis of isothermal impedance spectra temperature dependent values for the concentration, the mobility and conductivity together with characteristic relaxation times of the mobile carriers are given. Also shown are temperature dependent results for the dc-permittivity and the electronic resistivity. The obtained results demonstrate the feasibility to partly remove contaminants from a PVA solution by dialysis cleaning. Such a cleaning procedure reduces the values of ion concentration, conductivity and relaxation frequency.

  1. Nanostructured pyronin Y thin films as a new organic semiconductor: Linear/nonlinear optics, band gap and dielectric properties

    Energy Technology Data Exchange (ETDEWEB)

    Zahran, H.Y. [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Yahia, I.S., E-mail: dr_isyahia@yahoo.com [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Alamri, F.H. [Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia)

    2017-05-15

    Pyronin Y dye (PY) is a kind of xanthene derivatives. Thin films of pyronin Y were deposited onto highly cleaned glass substrates using low-cost/spin coating technique. The structure properties of pyronin Y thin films with different thicknesses were investigated by using X-ray diffraction (XRD) and atomic force microscope (AFM). PY thin films for all the studied thicknesses have an amorphous structure supporting the short range order of the grain size. AFM supports the nanostructure with spherical/clusters morphologies of the investigated thin films. The optical constants of pyronin Y thin films for various thicknesses were studied by using UV–vis–NIR spectrophotometer in the wavelength range 350–2500 nm. The transmittance T(λ), reflectance R(λ) spectral and absorbance (abs(λ)) were obtained for all film thicknesses at room temperature and the normal light incident. These films showed a high transmittance in the wide scale wavelengths. For different thicknesses of the studied thin films, the optical band gaps were determined and their values around 2 eV. Real and imaginary dielectric constants, dissipation factor and the nonlinear optical parameters were calculated in the wavelengths to the range 300–2500 nm. The pyronin Y is a new organic semiconductor with a good optical absorption in UV–vis regions and it is suitable for nonlinear optical applications. - Highlights: • Pyronin Y (PY) nanostructured thin films were deposited by using spin coating technique. • XRD/AFM were used to study the structure of PY films. • The optical band gap was calculated on the basis of Tauc's model. • Linear/nonlinear optical parameters are calculated and interpreted via the applied optical theories. • PY thin films is a new organic semiconductor for its application in optoelectronic devices.

  2. Dielectric properties of DC reactive magnetron sputtered Al{sub 2}O{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Prasanna, S. [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Mohan Rao, G. [Department of Instrumentation, Indian Institute of Science (IISc), Bangalore, 560 012 (India); Jayakumar, S., E-mail: s_jayakumar_99@yahoo.com [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Kannan, M.D. [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Ganesan, V. [Low Temperature Lab, UGC-DAE Consortium for Scientific Research (CSR), Indore, 452 017 (India)

    2012-01-31

    Alumina (Al{sub 2}O{sub 3}) thin films were sputter deposited over well-cleaned glass and Si < 100 > substrates by DC reactive magnetron sputtering under various oxygen gas pressures and sputtering powers. The composition of the films was analyzed by X-ray photoelectron spectroscopy and an optimal O/Al atomic ratio of 1.59 was obtained at a reactive gas pressure of 0.03 Pa and sputtering power of 70 W. X-ray diffraction results revealed that the films were amorphous until 550 Degree-Sign C. The surface morphology of the films was studied using scanning electron microscopy and the as-deposited films were found to be smooth. The topography of the as-deposited and annealed films was analyzed by atomic force microscopy and a progressive increase in the rms roughness of the films from 3.2 nm to 4.53 nm was also observed with increase in the annealing temperature. Al-Al{sub 2}O{sub 3}-Al thin film capacitors were then fabricated on glass substrates to study the effect of temperature and frequency on the dielectric property of the films. Temperature coefficient of capacitance, AC conductivity and activation energy were determined and the results are discussed. - Highlights: Black-Right-Pointing-Pointer Al{sub 2}O{sub 3} thin films were deposited by DC reactive magnetron sputtering. Black-Right-Pointing-Pointer The films were found to be amorphous up to annealing temperature of 550 C. Black-Right-Pointing-Pointer An increase in rms roughness of the films was observed with annealing. Black-Right-Pointing-Pointer Al-Al{sub 2}O{sub 3}-Al thin film capacitors were fabricated and dielectric constant was 7.5. Black-Right-Pointing-Pointer The activation energy decreased with increase in frequency.

  3. Structural and dielectric properties of (001) and (111)-oriented BaZr0.2Ti0.8O3 epitaxial thin films

    International Nuclear Information System (INIS)

    Ventura, J.; Fina, I.; Ferrater, C.; Langenberg, E.; Coy, L.E.; Polo, M.C.; Garcia-Cuenca, M.V.; Fabrega, L.; Varela, M.

    2010-01-01

    We have grown and characterized BaZr 0.2 Ti 0.8 O 3 (BZT) epitaxial thin films deposited on (001) and (111)-oriented SrRuO 3 -buffered SrTiO 3 substrates by pulsed laser deposition. Structural and morphological characterizations were performed using X-ray diffractometry and atomic force microscopy, respectively. A cube-on-cube epitaxial relationship was ascertained from the θ-2θ and φ diffractograms in both (001) and (111)-oriented films. The (001)-oriented films showed a smooth granular morphology, whereas the faceted pyramid-like crystallites of the (111)-oriented films led to a rough surface. The dielectric response of BZT at room temperature was measured along the growth direction. The films were found to be ferroelectric, although a well-saturated hysteresis loop was obtained only for the (001)-oriented films. High leakage currents were observed for the (111) orientation, likely associated to charge transport along the boundaries of its crystallites. The remanent polarization, coercive field, dielectric constant, and relative change of dielectric permittivity (tunability) of (111)-oriented BZT were higher than those of (001)-oriented BZT.

  4. Medium band gap polymer based solution-processed high-κ composite gate dielectrics for ambipolar OFET

    Science.gov (United States)

    Canımkurbey, Betül; Unay, Hande; Çakırlar, Çiğdem; Büyükköse, Serkan; Çırpan, Ali; Berber, Savas; Altürk Parlak, Elif

    2018-03-01

    The authors present a novel ambipolar organic filed-effect transistors (OFETs) composed of a hybrid dielectric thin film of Ta2O5:PMMA nanocomposite material, and solution processed poly(selenophene, benzotriazole and dialkoxy substituted [1,2-b:4, 5-b‧] dithiophene (P-SBTBDT)-based organic semiconducting material as the active layer of the device. We find that the Ta2O5:PMMA insulator shows n-type conduction character, and its combination with the p-type P-SBTBDT organic semiconductor leads to an ambipolar OFET device. Top-gated OFETs were fabricated on glass substrate consisting of interdigitated ITO electrodes. P-SBTBDT-based material was spin coated on the interdigitated ITO electrodes. Subsequently, a solution processed Ta2O5:PMMA nanocomposite material was spin coated, thereby creating the gate dielectric layer. Finally, as a gate metal, an aluminum layer was deposited by thermal evaporation. The fabricated OFETs exhibited an ambipolar performance with good air-stability, high field-induced current and relatively high electron and hole mobilities although Ta2O5:PMMA nanocomposite films have slightly higher leakage current compared to the pure Ta2O5 films. Dielectric properties of the devices with different ratios of Ta2O5:PMMA were also investigated. The dielectric constant varied between 3.6 and 5.3 at 100 Hz, depending on the Ta2O5:PMMA ratio.

  5. Super soft silicone elastomers with high dielectric permittivity

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Hvilsted, Søren

    2015-01-01

    Dielectric elastomers (DEs) have many favourable properties. The obstacle of high driving voltages, however, limits the commercial viability of the technology at present. Driving voltage can be lowered by decreasing the Young’s modulus and increasing the dielectric permittivity of silicone...... elastomers. A decrease in Young’s modulus, however, is often accompanied by the loss of mechanical stability and thereby the lifetime of the DE. New soft elastomer matrices with high dielectric permittivity and low Young’s modulus, with no loss of mechanical stability, were prepared by two different...... approaches using chloropropyl-functional silicone polymers. The first approach was based on synthesised chloropropyl-functional copolymers that were cross-linkable and thereby formed the basis of new silicone networks with high dielectric permittivity (e.g. a 43% increase). These networks were soft without...

  6. Synthetic Strategies for High Dielectric Constant Silicone Elastomers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt

    synthetic strategies were developed in this Ph.D. thesis, in order to create silicone elastomers with high dielectric constants and thereby higher energy densities. The work focused on maintaining important properties such as dielectric loss, electrical breakdown strength and elastic modulus....... The methodology therefore involved chemically grafting high dielectric constant chemical groups onto the elastomer network, as this would potentially provide a stable elastomer system upon continued activation of the material. The first synthetic strategy involved the synthesis of a new type of cross...... extender’ that allowed for chemical modifications such as Cu- AAC. This route was promising for one-pot elastomer preparation and as a high dielectric constant additive to commercial silicone systems. The second approach used the borane-catalysed Piers-Rubinsztajn reaction to form spatially well...

  7. Structural and dielectric studies of Co doped MgTiO3 thin films fabricated by RF magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Santhosh Kumar

    2014-06-01

    Full Text Available We report the structural, dielectric and leakage current properties of Co doped MgTiO3 thin films deposited on platinized silicon (Pt/TiO2/SiO2/Si substrates by RF magnetron sputtering. The role of oxygen mixing percentage (OMP on the growth, morphology, electrical and dielectric properties of the thin films has been investigated. A preferred orientation of grains along (110 direction has been observed with increasing the OMP. Such evolution of the textured growth is explained on the basis of the orientation factor analysis followed the Lotgering model. (Mg1-xCoxTiO3 (x = 0.05 thin films exhibits a maximum relative dielectric permittivity of ɛr = 12.20 and low loss (tan δ ∼ 1.2 × 10−3 over a wide range of frequencies for 75% OMP. The role of electric field frequency (f and OMP on the ac-conductivity of (Mg0.95Co0.05TiO3 have been studied. A progressive increase in the activation energy (Ea and relative permittivity ɛr values have been noticed up to 75% of OMP, beyond which the properties starts deteriorate. The I-V characteristics reveals that the leakage current density decreases from 9.93 × 10−9 to 1.14 × 10−9 A/cm2 for OMP 0% to 75%, respectively for an electric field strength of 250 kV/cm. Our experimental results reveal up to that OMP ≥ 50% the leakage current mechanism is driven by the ohmic conduction, below which it is dominated by the schottky emission.

  8. Enhancement of absorption and color contrast in ultra-thin highly absorbing optical coatings

    Science.gov (United States)

    Kats, Mikhail A.; Byrnes, Steven J.; Blanchard, Romain; Kolle, Mathias; Genevet, Patrice; Aizenberg, Joanna; Capasso, Federico

    2013-09-01

    Recently a new class of optical interference coatings was introduced which comprises ultra-thin, highly absorbing dielectric layers on metal substrates. We show that these lossy coatings can be augmented by an additional transparent subwavelength layer. We fabricated a sample comprising a gold substrate, an ultra-thin film of germanium with a thickness gradient, and several alumina films. The experimental reflectivity spectra showed that the additional alumina layer increases the color range that can be obtained, in agreement with calculations. More generally, this transparent layer can be used to enhance optical absorption, protect against erosion, or as a transparent electrode for optoelectronic devices.

  9. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers.

    Science.gov (United States)

    Koslowski, Sebastian; Rosenblatt, Daniel; Kabakchiev, Alexander; Kuhnke, Klaus; Kern, Klaus; Schlickum, Uta

    2017-01-01

    With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111) and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111) results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111), as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal-molecule interaction, which decreases the HOMO-LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS) and their shapes can be resolved by spectroscopic mapping.

  10. Poly(methyl methacrylate) as a self-assembled gate dielectric for graphene field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Sanne, A.; Movva, H. C. P.; Kang, S.; McClellan, C.; Corbet, C. M.; Banerjee, S. K. [Microelectronics Research Center, University of Texas, Austin, Texas 78758 (United States)

    2014-02-24

    We investigate poly(methyl methacrylate) (PMMA) as a low thermal budget organic gate dielectric for graphene field effect-transistors (GFETs) based on a simple process flow. We show that high temperature baking steps above the glass transition temperature (∼130 °C) can leave a self-assembled, thin PMMA film on graphene, where we get a gate dielectric almost for “free” without additional atomic layer deposition type steps. Electrical characterization of GFETs with PMMA as a gate dielectric yields a dielectric constant of k = 3.0. GFETs with thinner PMMA dielectrics have a lower dielectric constant due to decreased polarization arising from neutralization of dipoles and charged carriers as baking temperatures increase. The leakage through PMMA gate dielectric increases with decreasing dielectric thickness and increasing electric field. Unlike conventional high-k gate dielectrics, such low-k organic gate dielectrics are potentially attractive for devices such as the proposed Bilayer pseudoSpin Field-Effect Transistor or flexible high speed graphene electronics.

  11. Investigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory Applications

    Science.gov (United States)

    Jayanti, Srikant

    Due to the increasing demand of non-volatile flash memories in the portable electronics, the device structures need to be scaled down drastically. However, the scalability of traditional floating gate structures beyond 20 nm NAND flash technology node is uncertain. In this regard, the use of metal gates and high-k dielectrics as the gate and interpoly dielectrics respectively, seem to be promising substitutes in order to continue the flash scaling beyond 20nm. Furthermore, research of novel memory structures to overcome the scaling challenges need to be explored. Through this work, the use of high-k dielectrics as IPDs in a memory structure has been studied. For this purpose, IPD process optimization and barrier engineering were explored to determine and improve the memory performance. Specifically, the concept of high-k / low-k barrier engineering was studied in corroboration with simulations. In addition, a novel memory structure comprising a continuous metal floating gate was investigated in combination with high-k blocking oxides. Integration of thin metal FGs and high-k dielectrics into a dual floating gate memory structure to result in both volatile and non-volatile modes of operation has been demonstrated, for plausible application in future unified memory architectures. The electrical characterization was performed on simple MIS/MIM and memory capacitors, fabricated through CMOS compatible processes. Various analytical characterization techniques were done to gain more insight into the material behavior of the layers in the device structure. In the first part of this study, interfacial engineering was investigated by exploring La2O3 as SiO2 scavenging layer. Through the silicate formation, the consumption of low-k SiO2 was controlled and resulted in a significant improvement in dielectric leakage. The performance improvement was also gauged through memory capacitors. In the second part of the study, a novel memory structure consisting of continuous metal FG

  12. Pentacene thin-film transistors and inverters with plasma-enhanced atomic-layer-deposited Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Koo, Jae Bon; Lim, Jung Wook; Kim, Seong Hyun; Yun, Sun Jin; Ku, Chan Hoe; Lim, Sang Chul; Lee, Jung Hun

    2007-01-01

    The performances of pentacene thin-film transistor with plasma-enhanced atomic-layer-deposited (PEALD) 150 nm thick Al 2 O 3 dielectric are reported. Saturation mobility of 0.38 cm 2 /V s, threshold voltage of 1 V, subthreshold swing of 0.6 V/decade, and on/off current ratio of about 10 8 have been obtained. Both depletion and enhancement mode inverter have been realized with the change of treatment method of hexamethyldisilazane on PEALD Al 2 O 3 gate dielectric. Full swing depletion mode inverter has been demonstrated at input voltages ranging from 5 V to - 5 V at supply voltage of - 5 V

  13. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    International Nuclear Information System (INIS)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S.; Noriega, J.R.; Vasselli, J.J.; Chabal, Y.J.; Gnade, B.E.

    2013-01-01

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm −1 and 362 MVm −1 for neat and crosslinked films

  14. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    International Nuclear Information System (INIS)

    Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.

    2016-01-01

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  15. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Besleaga, C.; Stan, G.E.; Pintilie, I. [National Institute of Materials Physics, 405A Atomistilor, 077125 Magurele-Ilfov (Romania); Barquinha, P.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2016-08-30

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  16. Direct Effect of Dielectric Surface Energy on Carrier Transport in Organic Field-Effect Transistors.

    Science.gov (United States)

    Zhou, Shujun; Tang, Qingxin; Tian, Hongkun; Zhao, Xiaoli; Tong, Yanhong; Barlow, Stephen; Marder, Seth R; Liu, Yichun

    2018-05-09

    The understanding of the characteristics of gate dielectric that leads to optimized carrier transport remains controversial, and the conventional studies applied organic semiconductor thin films, which introduces the effect of dielectric on the growth of the deposited semiconductor thin films and hence only can explore the indirect effects. Here, we introduce pregrown organic single crystals to eliminate the indirect effect (semiconductor growth) in the conventional studies and to undertake an investigation of the direct effect of dielectric on carrier transport. It is shown that the matching of the polar and dispersive components of surface energy between semiconductor and dielectric is favorable for higher mobility. This new empirical finding may show the direct relationship between dielectric and carrier transport for the optimized mobility of organic field-effect transistors and hence show a promising potential for the development of next-generation high-performance organic electronic devices.

  17. Mechanical and dielectric characterization of lead zirconate titanate(PZT)/polyurethane(PU) thin film composite for energy harvesting

    Science.gov (United States)

    Aboubakr, S.; Rguiti, M.; Hajjaji, A.; Eddiai, A.; Courtois, C.; d'Astorg, S.

    2014-04-01

    The Lead Zirconate titanate (PZT) ceramic is known by its piezoelectric feature, but also by its stiffness, the use of a composite based on a polyurethane (PU) matrix charged by a piezoelectric material, enable to generate a large deformation of the material, therefore harvesting more energy. This new material will provide a competitive alternative and low cost manufacturing technology of autonomous systems (smart clothes, car seat, boat sail, flag ...). A thin film of the PZT/PU composite was prepared using up to 80 vol. % of ceramic. Due to the dielectric nature of the PZT, inclusions of this one in a PU matrix raises the permittivity of the composite, on other hand this latter seems to decline at high frequencies.

  18. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  19. Dielectric-Lined High-Gradient Accelerator Structure

    Energy Technology Data Exchange (ETDEWEB)

    Jay L. Hirshfield

    2012-04-24

    Rectangular particle accelerator structures with internal planar dielectric elements have been studied, with a view towards devising structures with lower surface fields for a given accelerating field, as compared with structures without dielectrics. Success with this concept is expected to allow operation at higher accelerating gradients than otherwise on account of reduced breakdown probabilities. The project involves studies of RF breakdown on amorphous dielectrics in test cavities that could enable high-gradient structures to be built for a future multi-TeV collider. The aim is to determine what the limits are for RF fields at the surfaces of selected dielectrics, and the resulting acceleration gradient that could be achieved in a working structure. The dielectric of principal interest in this study is artificial CVD diamond, on account of its advertised high breakdown field ({approx}2 GV/m for dc), low loss tangent, and high thermal conductivity. Experimental studies at mm-wavelengths on materials and structures for achieving high acceleration gradient were based on the availability of the 34.3 GHz third-harmonic magnicon amplifier developed by Omega-P, and installed at the Yale University Beam Physics Laboratory. Peak power from the magnicon was measured to be about 20 MW in 0.5 {micro}s pulses, with a gain of 54 dB. Experiments for studying RF high-field effects on CVD diamond samples failed to show any evidence after more than 10{sup 5} RF pulses of RF breakdown up to a tangential surface field strength of 153 MV/m; studies at higher fields were not possible due to a degradation in magnicon performance. A rebuild of the tube is underway at this writing. Computed performance for a dielectric-loaded rectangular accelerator structure (DLA) shows highly competitive properties, as compared with an existing all-metal structure. For example, comparisons were made of a DLA structure having two planar CVD diamond elements with a all-metal CERN structure HDS

  20. Dielectric-Lined High-Gradient Accelerator Structure

    International Nuclear Information System (INIS)

    Hirshfield, Jay L.

    2012-01-01

    Rectangular particle accelerator structures with internal planar dielectric elements have been studied, with a view towards devising structures with lower surface fields for a given accelerating field, as compared with structures without dielectrics. Success with this concept is expected to allow operation at higher accelerating gradients than otherwise on account of reduced breakdown probabilities. The project involves studies of RF breakdown on amorphous dielectrics in test cavities that could enable high-gradient structures to be built for a future multi-TeV collider. The aim is to determine what the limits are for RF fields at the surfaces of selected dielectrics, and the resulting acceleration gradient that could be achieved in a working structure. The dielectric of principal interest in this study is artificial CVD diamond, on account of its advertised high breakdown field (∼2 GV/m for dc), low loss tangent, and high thermal conductivity. Experimental studies at mm-wavelengths on materials and structures for achieving high acceleration gradient were based on the availability of the 34.3 GHz third-harmonic magnicon amplifier developed by Omega-P, and installed at the Yale University Beam Physics Laboratory. Peak power from the magnicon was measured to be about 20 MW in 0.5 (micro)s pulses, with a gain of 54 dB. Experiments for studying RF high-field effects on CVD diamond samples failed to show any evidence after more than 10 5 RF pulses of RF breakdown up to a tangential surface field strength of 153 MV/m; studies at higher fields were not possible due to a degradation in magnicon performance. A rebuild of the tube is underway at this writing. Computed performance for a dielectric-loaded rectangular accelerator structure (DLA) shows highly competitive properties, as compared with an existing all-metal structure. For example, comparisons were made of a DLA structure having two planar CVD diamond elements with a all-metal CERN structure HDS operating at 30

  1. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-06-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard bulk mono-crystalline silicon substrate. A lifetime projection is extracted using statistical analysis of the ramping voltage (Vramp) breakdown and time dependent dielectric breakdown data. The obtained flexible MOSCAPs operational voltages satisfying the 10 years lifetime benchmark are compared to those of the control MOSCAPs, which are not peeled off from the silicon wafer. © 2014 IEEE.

  2. Polaron-electron assisted giant dielectric dispersion in SrZrO{sub 3} high-k dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Borkar, Hitesh; Barvat, Arun; Pal, Prabir; Kumar, Ashok, E-mail: ashok553@nplindia.org [CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-National Physical Laboratory (CSIR-NPL) Campus, Dr. K S Krishnan Marg, New Delhi 110012 (India); Shukla, A. K. [CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Pulikkotil, J. J. [CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-National Physical Laboratory (CSIR-NPL) Campus, Dr. K S Krishnan Marg, New Delhi 110012 (India); Computation and Networking Facility, CSIR-National Physical Laboratory, New Delhi 110012 (India)

    2016-06-07

    The SrZrO{sub 3} is a well known high-k dielectric constant (∼22) and high optical bandgap (∼5.8 eV) material and one of the potential candidates for future generation nanoelectronic logic elements (8 nm node technology) beyond silicon. Its dielectric behavior is fairly robust and frequency independent till 470 K; however, it suffers a strong small-polaron based electronic phase transition (T{sub e}) linking 650 to 750 K. The impedance spectroscopy measurements revealed the presence of conducting grains and grain boundaries at elevated temperature which provide energetic mobile charge carriers with activation energy in the range of 0.7 to 1.2 eV supporting the oxygen ions and proton conduction. X-ray photoemission spectroscopy measurements suggest the presence of weak non-stoichiometric O{sup 2−} anions and hydroxyl species bound to different sites at the surface and bulk. These thermally activated charge carriers at elevated temperature significantly contribute to the polaronic based dielectric anomaly and conductivity. Our dielectric anomaly supports pseudo phase transition due to high degree of change in ZrO{sub 6} octahedral angle in the temperature range of 650–750 K, where electron density and phonon vibration affect the dielectric and conductivity properties.

  3. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers

    Directory of Open Access Journals (Sweden)

    Sebastian Koslowski

    2017-07-01

    Full Text Available With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111 and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111 results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111, as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal–molecule interaction, which decreases the HOMO–LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS and their shapes can be resolved by spectroscopic mapping.

  4. Moderate temperature-dependent surface and volume resistivity and low-frequency dielectric constant measurements of pure and multi-walled carbon nanotube (MWCNT) doped polyvinyl alcohol thin films

    Science.gov (United States)

    Edwards, Matthew; Guggilla, Padmaja; Reedy, Angela; Ijaz, Quratulann; Janen, Afef; Uba, Samuel; Curley, Michael

    2017-08-01

    Previously, we have reported measurements of temperature-dependent surface resistivity of pure and multi-walled carbon nanotube (MWNCT) doped amorphous Polyvinyl Alcohol (PVA) thin films. In the temperature range from 22 °C to 40 °C with humidity-controlled environment, we found the surface resistivity to decrease initially, but to rise steadily as the temperature continued to increase. Moreover, electric surface current density (Js) was measured on the surface of pure and MWCNT doped PVA thin films. In this regard, the surface current density and electric field relationship follow Ohm's law at low electric fields. Unlike Ohmic conduction in metals where free electrons exist, selected captive electrons are freed or provided from impurities and dopants to become conduction electrons from increased thermal vibration of constituent atoms in amorphous thin films. Additionally, a mechanism exists that seemingly decreases the surface resistivity at higher temperatures, suggesting a blocking effect for conducting electrons. Volume resistivity measurements also follow Ohm's law at low voltages (low electric fields), and they continue to decrease as temperatures increase in this temperature range, differing from surface resistivity behavior. Moreover, we report measurements of dielectric constant and dielectric loss as a function of temperature and frequency. Both the dielectric constant and dielectric loss were observed to be highest for MWCNT doped PVA compared to pure PVA and commercial paper, and with frequency and temperature for all samples.

  5. Capacitor Property and Leakage Current Mechanism of ZrO2 Thin Dielectric Films Prepared by Anodic Oxidation

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2003-07-01

    Polycrystalline ZrO2 thin film capacitors were prepared by anodizing sputter-deposited Zr films. Electrical measurements are performed for the parallel-plate anodized capacitors with an Al-ZrO2-Zr (metal-insulator-metal) structure, and a high capacitance density (0.6 μF/cm2) and a low dielectric loss of nearly 1% are obtained for a very thin-oxide capacitor anodized at 10 V. In addition, the leakage current density of this capacitor is about 1.8 × 10-8 A/cm2 at an applied voltage of 5 V. However, the leakage current is somewhat larger than that of a low-loss HfO2 capacitor. The leakage current density (J) of ZrO2 capacitors as a function of applied electric field (E) was investigated for several capacitors with different oxide thicknesses, by plotting \\ln(J) vs E1/2 curves. As a result, it is revealed that the conduction mechanism is due to the Poole-Frenkel effect, irrespective of the oxide thickness.

  6. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    Energy Technology Data Exchange (ETDEWEB)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Noriega, J.R.; Vasselli, J.J. [Electrical Engineering Department, The University of Texas at Tyler, Tyler, TX 75799 (United States); Chabal, Y.J. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Gnade, B.E., E-mail: gnade@utdallas.edu [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States)

    2013-12-02

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm{sup −1} and 362 MVm{sup −1} for neat and crosslinked films.

  7. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    Science.gov (United States)

    Venkata Saravanan, K.; Raju, K. C. James

    2014-03-01

    The surface chemical states of RF-magnetron sputtered Ba0.5Sr0.5TiO3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O2 -, adsorbed oxide ion O- and lattice oxide ion O2-) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP ≦̸ 25%), whereas the films deposited in oxygen rich atmosphere (OMP ≧̸ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ~65% (@280 kV cm-1), with good ɛ r-E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications.

  8. Origin of switching current transients in TIPS-pentacene based organic thin-film transistor with polymer dielectric

    Science.gov (United States)

    Singh, Subhash; Mohapatra, Y. N.

    2017-06-01

    We have investigated switch-on drain-source current transients in fully solution-processed thin film transistors based on 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) using cross-linked poly-4-vinylphenol as a dielectric. We show that the nature of the transient (increasing or decreasing) depends on both the temperature and the amplitude of the switching pulse at the gate. The isothermal transients are analyzed spectroscopically in a time domain to extract the degree of non-exponentiality and its possible origin in trap kinetics. We propose a phenomenological model in which the exchange of electrons between interfacial ions and traps controls the nature of the drain current transients dictated by the Fermi level position. The origin of interfacial ions is attributed to the essential fabrication step of UV-ozone treatment of the dielectric prior to semiconductor deposition.

  9. Optimization of nitridation conditions for high quality inter-polysilicon dielectric layers

    NARCIS (Netherlands)

    Klootwijk, J.H.; Bergveld, H.J.; van Kranenburg, H.; Woerlee, P.H.; Wallinga, Hans

    1996-01-01

    Nitridation of deposited high temperature oxides (HTO) was studied to form high quality inter-polysilicon dielectric layers for embedded non volatile memories. Good quality dielectric layers were obtained earlier by using an optimized deposition of polysilicon and by performing a post-dielectric

  10. In-plane microwave dielectric properties of paraelectric barium strontium titanate thin films with anisotropic epitaxy

    Science.gov (United States)

    Simon, W. K.; Akdogan, E. K.; Safari, A.; Bellotti, J. A.

    2005-08-01

    In-plane dielectric properties of ⟨110⟩ oriented epitaxial (Ba0.60Sr0.40)TiO3 thin films in the thickness range from 25-1200nm have been investigated under the influence of anisotropic epitaxial strains from ⟨100⟩ NdGaO3 substrates. The measured dielectric properties show strong residual strain and in-plane directional dependence. Below 150nm film thickness, there appears to be a phase transition due to the anisotropic nature of the misfit strain relaxation. In-plane relative permittivity is found to vary from as much as 500-150 along [11¯0] and [001] respectively, in 600nm thick films, and from 75 to 500 overall. Tunability was found to vary from as much as 54% to 20% in all films and directions, and in a given film the best tunability is observed along the compressed axis in a mixed strain state, 54% along [11¯0] in the 600nm film for example.

  11. Highly polarized single-c-domain single-crystal Pb(Mn,Nb)O(3)-PZT thin films.

    Science.gov (United States)

    Wasa, Kiyotaka; Adachi, Hideaki; Nishida, Ken; Yamamoto, Takashi; Matsushima, Tomoaki; Kanno, Isaku; Kotera, Hidetoshi

    2012-01-01

    In-plane unstrained single-c-domain/single-crystal thin films of PZT-based ternary ferroelectric perovskite, ξPb(Mn,Nb)O3-(1 - ξ)PZT, were grown on SrRuO(3)/Pt/MgO substrates using magnetron sputtering followed by quenching. The sputtered unstrained thin films exhibit unique ferroelectric properties: high coercive field, Ec > 180 kV/cm, large remanent polarization, P(r) = 100 μC/cm(2), small relative dielectric constants, ε* = 100 to 150, high Curie temperature, Tc = ~600 °C, and bulk-like large transverse piezoelectric constants, e31,f = -12.0 C/m(2) for PZT(48/52) at ξ = 0.06. The unstrained thin films are an ideal structure to extract the bulk ferroelectric properties. Their micro-structures and ferroelectric properties are discussed in relation to the potential applications for piezoelectric MEMS. © 2012 IEEE

  12. Effects of Polymeric Dielectric Morphology on Pentacene Morphology and Organic TFT Characteristics

    Directory of Open Access Journals (Sweden)

    Ye Rongbin

    2016-01-01

    Full Text Available In this paper, we report on the effects of the polymeric dielectric morphology on pentacene morphology and organic thin film transistor (TFT characteristics. The morphology and thickness of cyclo-olefin polymer (COP dielectric could be controlled by selecting a solvent. Higher the solvent’s boiling point is, thinner and smother COP films could be obtained. Using the solvent of trimethylcyclohexane, the spin-coated COP films of ca. 330 nm with the peak-to-valley of 7.35 nm and the roughness of root mean square of 0.58 nm were obtained, and pentacene TFT showed high mobility of 2.0 cm2V-1s-1, which originated from highly ordering of pentacene thin films deposited on the smoother and thinner COP films.

  13. Synthesis and Characterization of High-Dielectric-Constant Nanographite-Polyurethane Composite

    Science.gov (United States)

    Mishra, Praveen; Bhat, Badekai Ramachandra; Bhattacharya, B.; Mehra, R. M.

    2018-05-01

    In the face of ever-growing demand for capacitors and energy storage devices, development of high-dielectric-constant materials is of paramount importance. Among various dielectric materials available, polymer dielectrics are preferred for their good processability. We report herein synthesis and characterization of nanographite-polyurethane composite with high dielectric constant. Nanographite showed good dispersibility in the polyurethane matrix. The thermosetting nature of polyurethane gives the composite the ability to withstand higher temperature without melting. The resultant composite was studied for its dielectric constant (ɛ) as a function of frequency. The composite exhibited logarithmic variation of ɛ from 3000 at 100 Hz to 225 at 60 kHz. The material also exhibited stable dissipation factor (tan δ) across the applied frequencies, suggesting its ability to resist current leakage.

  14. Temperature behavior of electrical properties of high-k lead-magnesium-niobium titanate thin-films

    Energy Technology Data Exchange (ETDEWEB)

    Chen Wenbin, E-mail: cwb0201@163.com [Electromechanical Engineering College, Guilin University of Electronic Technology (China); McCarthy, Kevin G. [Department of Electrical and Electronic Engineering, University College Cork (Ireland); Copuroglu, Mehmet; O' Brien, Shane; Winfield, Richard; Mathewson, Alan [Tyndall National Institute, University College Cork (Ireland)

    2012-05-01

    This paper reports on the temperature dependence of the electrical properties of high-k lead-magnesium-niobium titanate thin films processed with different compositions (with and without nanoparticles) and with different annealing temperatures (450 Degree-Sign C and 750 Degree-Sign C). These characterization results support the ongoing investigation of the material's electrical properties which are necessary before the dielectric can be used in silicon-based IC applications.

  15. Center for dielectric studies

    Science.gov (United States)

    Cross, L. E.; Newnham, R. E.; Biggers, J. V.

    1984-05-01

    This report focuses upon the parts of the Center program which have drawn most extensively upon Navy funds. In the basic study of polarization processes in high K dielectrics, major progress has been made in understanding the mechanisms in relaxor ferroelectric in the perovskite structure families. A new effort is also being mounted to obtain more precise evaluation of the internal stress effects in fine grained barium titanate. Related to reliability, studies of the effects of induced macro-defects are described, and preparation for the evaluation of space charge by internal potential distribution measurements discussed. To develop new processing methods for very thin dielectric layers, a new type of single barrier layer multilayer is discussed, and work on the thermal evaporation of oriented crystalline antimony sulphur iodide describe.

  16. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.; Mejia, I.; Hovarth, J.; Alshareef, Husam N.; Cha, D. K.; Ramirez-Bon, R.; Gnade, B. E.; Quevedo-Lopez, M. A.

    2010-01-01

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  17. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.

    2010-06-29

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  18. Size effects on structural and dielectric properties of PZT thin films at compositions around the morpho tropic phase boundary

    International Nuclear Information System (INIS)

    Lima, Elton Carvalho; Araujo, Eudes Borges; Souza Filho, Antonio Gomes de; Bdikin, Igor

    2011-01-01

    Full text: The demand for portability in consumer electronics has motivated the understanding of size effects on ferroelectric thin films. The actual comprehension of these effects in ferroelectrics is unsatisfactory, since the polarization interacts more strongly than other order parameters such as strain and charge. As a result, extrinsic effects are produced if these variables are uncontrolled and problems such as ferroelectric paraelectric phase transition at nanometers scale remains an unsolved issue. In the present work, the effects of thickness and compositional fractions on the structural and dielectric properties of PbZr 1-x Ti x O 3 (PZT) thin films were studied at a composition around the morphotropic phase boundary (x = 0.50). For this purpose, thin films with different thicknesses and different PbO excess were deposited on Si(100) and Pt=T iO 2 =SiO 2 =Si substrates by a chemical method and crystallized in electric furnace at 700 deg C for 1 hour. The effects of substrate, pyrolysis temperature and excess lead addition in the films are reported. For films with 10 mol% PbO in excess, the pyrolysis in the regime of 300 deg C for 30 minutes was observed to yield PZT pyrochlore free thin films deposited on Pt=T iO 2 =SiO 2 =Si substrate. Out this condition, the transformation from amorphous to the pyrochlore metastable phase is kinetically more favorable that a transformation to the perovskite phase, which is thermodynamically stable. Rietveld refinements based on X-ray diffraction results showed that films present a purely tetragonal phase and that this phase does not change when the film thickness decreases. The dielectric permittivity measurements showed a monoclinic → tetragonal phase transition at 198K. Results showed that the dielectric permittivity (ε) increases continuously from 257 to 463, while the thickness of the PZT films increases from 200 to 710 nm. These results suggests that interface pinning centers can be the responsible mechanism by

  19. Dielectric films for solar and laser-pushed lightsails

    International Nuclear Information System (INIS)

    Landis, Geoffrey A.

    2000-01-01

    This project analyzed the potential use of dielectric thin films for solar and laser sails. Such light-pushed sails allow the possibility of fuel-free propulsion in space. This makes possible missions of extremely high delta-V, potentially as high as 30,000 km/sec (0.1c), which is required for a fly-by mission to a nearby star

  20. High-Mobility 6,13-Bis(triisopropylsilylethynyl) Pentacene Transistors Using Solution-Processed Polysilsesquioxane Gate Dielectric Layers.

    Science.gov (United States)

    Matsuda, Yu; Nakahara, Yoshio; Michiura, Daisuke; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) is a low-temperature curable polymer that is compatible with low-cost plastic substrates. We cured PSQ gate dielectric layers by irradiation with ultraviolet light at ~60 °C, and used them for 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) thin film transistors (TFTs). The fabricated TFTs have shown the maximum and average hole mobility of 1.3 and 0.78 ± 0.3 cm2V-1s-1, which are comparable to those of the previously reported transistors using single-crystalline TIPS-pentacene micro-ribbons for their active layers and thermally oxidized SiO2 for their gate dielectric layers. Itis therefore demonstrated that PSQ is a promising polymer gate dielectric material for low-cost organic TFTs.

  1. High-throughput identification of higher-κ dielectrics from an amorphous N2-doped HfO2–TiO2 library

    International Nuclear Information System (INIS)

    Chang, K.-S.; Lu, W.-C.; Wu, C.-Y.; Feng, H.-C.

    2014-01-01

    Highlights: • Amorphous N 2 -doped HfO 2 –TiO 2 libraries were fabricated using sputtering. • Structure and quality of the dielectric and interfacial layers were investigated. • κ (54), J L < 10 −6 A/cm 2 , and equivalent oxide thickness (1 nm) were identified. - Abstract: High-throughput sputtering was used to fabricate high-quality, amorphous, thin HfO 2 –TiO 2 and N 2 -doped HfO 2 –TiO 2 (HfON–TiON) gate dielectric libraries. Electron probe energy dispersive spectroscopy was used to investigate the structures, compositions, and qualities of the dielectric and interfacial layers of these libraries to determine their electrical properties. A κ value of approximately 54, a leakage current density <10 −6 A/cm 2 , and an equivalent oxide thickness of approximately 1 nm were identified in an HfON–TiON library within a composition range of 68–80 at.% Ti. This library exhibits promise for application in highly advanced metal–oxide–semiconductor (higher-κ) gate stacks

  2. Thickness-dependent piezoelectric behaviour and dielectric properties of lanthanum modified BiFeO3 thin films

    Directory of Open Access Journals (Sweden)

    Glenda Biasotto

    2011-03-01

    Full Text Available Bi0.85La0.15FeO3 (BLFO thin films were deposited on Pt(111/Ti/SiO2 /Si substrates by the soft chemical method. Films with thicknesses ranging from 140 to 280 nm were grown on platinum coated silicon substrates at 500°C for 2 hours. The X-ray diffraction analysis of BLFO films evidenced a hexagonal structure over the entire thickness range investigated. The grain size of the film changes as the number of the layers increases, indicating thickness dependence. It is found that the piezoelectric response is strongly influenced by the film thickness. It is shown that the properties of BiFeO3 thin films, such as lattice parameter, dielectric permittivity, piezoeletric coefficient etc., are functions of misfit strains.

  3. Advanced passivation techniques for Si solar cells with highdielectric materials

    International Nuclear Information System (INIS)

    Geng, Huijuan; Lin, Tingjui; Letha, Ayra Jagadhamma; Hwang, Huey-Liang; Kyznetsov, Fedor A.; Smirnova, Tamara P.; Saraev, Andrey A.; Kaichev, Vasily V.

    2014-01-01

    Electronic recombination losses at the wafer surface significantly reduce the efficiency of Si solar cells. Surface passivation using a suitable thin dielectric layer can minimize the recombination losses. Herein, advanced passivation using simple materials (Al 2 O 3 , HfO 2 ) and their compounds H (Hf) A (Al) O deposited by atomic layer deposition (ALD) was investigated. The chemical composition of Hf and Al oxide films were determined by X-ray photoelectron spectroscopy (XPS). The XPS depth profiles exhibit continuous uniform dense layers. The ALD-Al 2 O 3 film has been found to provide negative fixed charge (−6.4 × 10 11  cm −2 ), whereas HfO 2 film provides positive fixed charge (3.2 × 10 12  cm −2 ). The effective lifetimes can be improved after oxygen gas annealing for 1 min. I-V characteristics of Si solar cells with highdielectric materials as passivation layers indicate that the performance is significantly improved, and ALD-HfO 2 film would provide better passivation properties than that of the ALD-Al 2 O 3 film in this research work.

  4. Silicone elastomers with high dielectric permittivity and high dielectric breakdown strength based on tunable functionalized copolymers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Daugaard, Anders Egede

    2015-01-01

    system, with respect to functionalization, is achieved. It is investigated how the different functionalization variables affect essential DE properties, including dielectric permittivity, dielectric loss, elastic modulus and dielectric breakdown strength, and the optimal degree of chemical......%) was obtained without compromising other vital DE properties such as elastic modulus, gel fraction, dielectric and viscous loss and electrical breakdown strength....

  5. Control of Nanoplane Orientation in voBN for High Thermal Anisotropy in a Dielectric Thin Film: A New Solution for Thermal Hotspot Mitigation in Electronics.

    Science.gov (United States)

    Cometto, Olivier; Samani, Majid K; Liu, Bo; Sun, Shuangxi; Tsang, Siu Hon; Liu, Johan; Zhou, Kun; Teo, Edwin H T

    2017-03-01

    High anisotropic thermal materials, which allow heat to dissipate in a preferential direction, are of interest as a prospective material for electronics as an effective thermal management solution for hot spots. However, due to their preferential heat propagation in the in-plane direction, the heat spreads laterally instead of vertically. This limitation makes these materials ineffective as the density of hot spots increases. Here, we produce a new dielectric thin film material at room temperature, named vertically ordered nanocrystalline h-BN (voBN). It is produced such that its preferential thermally conductive direction is aligned in the vertical axis, which facilitates direct thermal extraction, thereby addressing the increasing challenge of thermal crosstalk. The uniqueness of voBN comes from its h-BN nanocrystals where all their basal planes are aligned in the direction normal to the substrate plane. Using the 3ω method, we show that voBN exhibits high anisotropic thermal conductivity (TC) with a 16-fold difference between through-film TC and in-plane TC (respectively 4.26 and 0.26 W·m -1 ·K -1 ). Molecular dynamics simulations also concurred with the experimental data, showing that the origin of this anisotropic behavior is due to the nature of voBN's plane ordering. While the consistent vertical ordering provides an uninterrupted and preferred propagation path for phonons in the through-film direction, discontinuity in the lateral direction leads to a reduced in-plane TC. In addition, we also use COMSOL to simulate how the dielectric and thermal properties of voBN enable an increase in hot spot density up to 295% compared with SiO 2 , without any temperature increase.

  6. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    International Nuclear Information System (INIS)

    Venkata Saravanan, K; James Raju, K C

    2014-01-01

    The surface chemical states of RF-magnetron sputtered Ba 0.5 Sr 0.5 TiO 3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O 2 − , adsorbed oxide ion O − and lattice oxide ion O 2− ) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP not ≦ 25%), whereas the films deposited in oxygen rich atmosphere (OMP not ≧ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O 2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ∼65% (@280 kV cm −1 ), with good ϵ r -E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications. (papers)

  7. UV protection filters by dielectric multilayer thin films on Glass BK-7 and Infrasil 301

    International Nuclear Information System (INIS)

    Abdel-Aziz, M.M.; Azim, Osama A.; Abdel-Wahab, L.A.; Seddik, Mohamed M.

    2006-01-01

    The increasing use of Ultraviolet (UV) light in medicine, industrial environments, for cosmetic use, and even in consumer products necessitates that greater attention be paid to the potential hazards of this type of electromagnetic radiation. To avoid any adverse effects of exposure to this type of radiation, four suitable protection filters were produced to block three UV bands (UVA, UVB, and UVC). The design structure of the required dielectric multilayer filters was done by optical thin film technology using the absorbing property of UV radiation for the substrates and dielectric materials. The computer analyses of the multilayer filter formulas were prepared using Macleod Software for the production processes. The deposition technique was achieved on optical substrates (Glass BK-7 and Infrasil 301) by dielectric material combinations including Titanium dioxide (Ti 2 O 3 ), Hafnium dioxide (HfO 2 ), and Lima (mixture of oxides SiO 2 /Al 2 O 3 ); deposition being achieved using an electron beam gun. The output results of the theoretical and experimental transmittance values for spectral band from 200 nm to 800 nm were discussed in four processes. To analyze the suitability for use in 'real world' applications, the test pieces were subjected to the durability tests (adhesion, abrasion resistance, and humidity) according to Military Standard MIL-C-675C and MIL-C-48497A

  8. UV protection filters by dielectric multilayer thin films on Glass BK-7 and Infrasil 301

    Science.gov (United States)

    Abdel-Aziz, M. M.; Azim, Osama A.; Abdel-Wahab, L. A.; Seddik, Mohamed M.

    2006-10-01

    The increasing use of Ultraviolet (UV) light in medicine, industrial environments, for cosmetic use, and even in consumer products necessitates that greater attention be paid to the potential hazards of this type of electromagnetic radiation. To avoid any adverse effects of exposure to this type of radiation, four suitable protection filters were produced to block three UV bands (UVA, UVB, and UVC). The design structure of the required dielectric multilayer filters was done by optical thin film technology using the absorbing property of UV radiation for the substrates and dielectric materials. The computer analyses of the multilayer filter formulas were prepared using Macleod Software for the production processes. The deposition technique was achieved on optical substrates (Glass BK-7 and Infrasil 301) by dielectric material combinations including Titanium dioxide (Ti 2O 3), Hafnium dioxide (HfO 2), and Lima (mixture of oxides SiO 2/Al 2O 3); deposition being achieved using an electron beam gun. The output results of the theoretical and experimental transmittance values for spectral band from 200 nm to 800 nm were discussed in four processes. To analyze the suitability for use in 'real world' applications, the test pieces were subjected to the durability tests (adhesion, abrasion resistance, and humidity) according to Military Standard MIL-C-675C and MIL-C-48497A.

  9. Thermal Experimental Analysis for Dielectric Characterization of High Density Polyethylene Nanocomposites

    Directory of Open Access Journals (Sweden)

    Ahmed Thabet Mohamed

    2016-01-01

    Full Text Available The importance of nanoparticles in controlling physical properties of polymeric nanocomposite materials leads us to study effects of these nanoparticles on electric and dielectric properties of polymers in industry In this research, the dielectric behaviour of High-Density Polyethylene (HDPE nanocomposites materials that filled with nanoparticles of clay or fumed silica has been investigated at various frequencies (10 Hz-1 kHz and temperatures (20-60°C. Dielectric spectroscopy has been used to characterize ionic conduction, then, the effects of nanoparticles concentration on the dielectric losses and capacitive charge of the new nanocomposites can be stated. Capacitive charge and loss tangent in high density polyethylene nanocomposites are measured by dielectric spectroscopy. Different dielectric behaviour has been observed depending on type and concentration of nanoparticles under variant thermal conditions.

  10. Impedance spectroscopic and dielectric analysis of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A. [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia); Kahouli, A., E-mail: kahouli.kader@yahoo.fr [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia); Sylvestre, A., E-mail: alain.sylvestre@grenoble.cnrs.fr [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Defaye, E. [CEA-LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 9 (France); Yangui, B. [Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia)

    2012-07-15

    Highlights: Black-Right-Pointing-Pointer The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3}. Black-Right-Pointing-Pointer The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. Black-Right-Pointing-Pointer The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. Black-Right-Pointing-Pointer The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin film with Pt/BST/Pt/TiO{sub 2}/SiO{sub 2} structure was prepared by ion beam sputtering. The film was post annealed at 700 Degree-Sign C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1-10{sup 5} Hz] at different temperatures [175-350 Degree-Sign C]. The Nyquist plots (Z Double-Prime vs . Z Prime ) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z Double-Prime and M Double-Prime are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M{sup Double-Prime }/M{sup Double-Prime }{sub max} shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  11. Electrical characteristics of top contact pentacene organic thin film

    Indian Academy of Sciences (India)

    Organic thin film transistors (OTFTs) were fabricated using pentacene as the active layer with two different gate dielectrics, namely SiO2 and poly(methyl methacrylate) (PMMA), in top contact geometry for comparative studies. OTFTs with SiO2 as dielectric and gold deposited on the rough side of highly doped silicon (n+ -Si) ...

  12. Electrical Properties of Thin-Film Capacitors Fabricated Using High Temperature Sputtered Modified Barium Titanate

    Directory of Open Access Journals (Sweden)

    Robert Mamazza

    2012-04-01

    Full Text Available Simple thin-film capacitor stacks were fabricated from sputter-deposited doped barium titanate dielectric films with sputtered Pt and/or Ni electrodes and characterized electrically. Here, we report small signal, low frequency capacitance and parallel resistance data measured as a function of applied DC bias, polarization versus applied electric field strength and DC load/unload experiments. These capacitors exhibited significant leakage (in the range 8–210 μA/cm2 and dielectric loss. Measured breakdown strength for the sputtered doped barium titanate films was in the range 200 kV/cm −2 MV/cm. For all devices tested, we observed clear evidence for dielectric saturation at applied electric field strengths above 100 kV/cm: saturated polarization was in the range 8–15 μC/cm2. When cycled under DC conditions, the maximum energy density measured for any of the capacitors tested here was ~4.7 × 10−2 W-h/liter based on the volume of the dielectric material only. This corresponds to a specific energy of ~8 × 10−3 W-h/kg, again calculated on a dielectric-only basis. These results are compared to those reported by other authors and a simple theoretical treatment provided that quantifies the maximum energy that can be stored in these and similar devices as a function of dielectric strength and saturation polarization. Finally, a predictive model is developed to provide guidance on how to tailor the relative permittivities of high-k dielectrics in order to optimize their energy storage capacities.

  13. High-efficiency dielectric barrier Xe discharge lamp: theoretical and experimental investigations

    International Nuclear Information System (INIS)

    Beleznai, Sz; Mihajlik, G; Agod, A; Maros, I; Juhasz, R; Nemeth, Zs; Jakab, L; Richter, P

    2006-01-01

    A dielectric barrier Xe discharge lamp producing vacuum-ultraviolet radiation with high efficiency was investigated theoretically and experimentally. The cylindrical glass body of the lamp is equipped with thin strips of metal electrodes applied to diametrically opposite sides of the outer surface. We performed a simulation of discharge plasma properties based on one-dimensional fluid dynamics and also assessed the lamp characteristics experimentally. Simulation and experimental results are analysed and compared in terms of voltage and current characteristics, power input and discharge efficiency. Using the proposed lamp geometry and fast rise-time short square pulses of the driving voltage, an intrinsic discharge efficiency around 56% was predicted by simulation, and more than 60 lm W -1 lamp efficacy (for radiation converted into visible green light by phosphor coating) was demonstrated experimentally

  14. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    Science.gov (United States)

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  15. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  16. Structural and magneto-dielectric property of (1-x)SBT-xLSMO nanocomposite thin films

    International Nuclear Information System (INIS)

    Maity, Sarmistha; Bhattacharya, D.; Dhar, A.; Ray, S.K.

    2009-01-01

    Full text: In recent years, interest in multiferroic materials has been increasing due to their potential applications. As single-phase multiferroic materials have very low room temperature magnetoelectric coefficient, recent studies have been concentrated on the possibility of attaining a coupling between the two order parameters by designing composites with magnetostrictive and piezoelectric phases via stress mediation. Composite thin films with homogenous matrix, composition spread with terminal layers being ferromagnetic and ferroelectric, layer-by-layer growth, superlattices, as well as epitaxial growth of ferromagnetic and ferroelectric layers on suitable substrates are been currently considered. In the present work, a nanostructured composite thin film of strontium bismuth tantalate (SBT) (ferroelectric layer) and lanthanum strontium manganese oxide (LSMO) (ferromagnetic layer) were fabricated using pulsed laser deposition. Phase separated multiferroic thin films with thickness varying from 50nm to 150nm were deposited from composite target (1-x)SBT-xLSMO with x=0.2, 0.5, 0.8. Grazing angle X-ray diffraction study combined with photo electron spectroscopy with depth profiling was carried out to study the phase separation. Interface quality of the thin film on silicon substrate was studied by Rutherford backscattering spectroscopy. Influence of film thickness and composition (x) on the electrical property of film was examined using impedance spectroscopy. The composite films exhibited ferroelectric as well as ferromagnetic characteristics at room temperature. A small kink in the dielectric spectra near the Neel temperature of LSMO confirmed the magneto-electric effect in the nanocomposite films

  17. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    Science.gov (United States)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  18. Structural, dielectric and ferroelectric properties of (Bi,Na)TiO3–BaTiO3 system studied by high throughput screening

    International Nuclear Information System (INIS)

    Hayden, Brian E.; Yakovlev, Sergey

    2016-01-01

    Thin-film materials libraries of the Bi 2 O 3 –Na 2 O–TiO 2 –BaO system in a broad composition range have been deposited in ultra-high vacuum from elemental evaporation sources and an oxygen plasma source. A high throughput approach was used for systematic compositional and structural characterization and the screening of the dielectric and ferroelectric properties. The perovskite (Bi,Na)TiO 3 –BaTiO 3 phase with a Ba concentration near the morphotropic phase boundary (ca. 6 at.%) exhibited a relative dielectric permittivity of 180, a loss tangent of 0.04 and remnant polarization of 19 μC/cm 2 . Compared to published data, observed remnant polarization is close to that known for epitaxially grown films but higher than the values reported for polycrystalline films. The high throughput methodology and systematic nature of the study allowed us to establish the composition boundaries of the phase with optimal dielectric and ferroelectric characteristics. - Highlights: • Bi 2 O 3 –Na 2 O–TiO 2 –BaO high throughput materials library was deposited using PVD method. • Materials were processed from individual molecular beam epitaxy sources of elements. • High throughput approach was used for structural, dielectric and ferroelectric study. • Composition boundaries of perovskite compounds with optimum properties are reported.

  19. Vacuum ultra-violet damage and damage mitigation for plasma processing of highly porous organosilicate glass dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Marneffe, J.-F. de, E-mail: marneffe@imec.be; Lukaszewicz, M.; Porter, S. B.; Vajda, F.; Rutigliani, V.; Verdonck, P.; Baklanov, M. R. [IMEC v.z.w., 3001 Leuven (Belgium); Zhang, L.; Heyne, M.; El Otell, Z.; Krishtab, M. [IMEC v.z.w., 3001 Leuven (Belgium); Department of Chemistry, KULeuven, 3001 Leuven (Belgium); Goodyear, A.; Cooke, M. [Oxford Instruments Plasma Technology, BS49 4AP Bristol (United Kingdom)

    2015-10-07

    Porous organosilicate glass thin films, with k-value 2.0, were exposed to 147 nm vacuum ultra-violet (VUV) photons emitted in a Xenon capacitive coupled plasma discharge. Strong methyl bond depletion was observed, concomitant with a significant increase of the bulk dielectric constant. This indicates that, besides reactive radical diffusion, photons emitted during plasma processing do impede dielectric properties and therefore need to be tackled appropriately during patterning and integration. The detrimental effect of VUV irradiation can be partly suppressed by stuffing the low-k porous matrix with proper sacrificial polymers showing high VUV absorption together with good thermal and VUV stability. In addition, the choice of an appropriate hard-mask, showing high VUV absorption, can minimize VUV damage. Particular processing conditions allow to minimize the fluence of photons to the substrate and lead to negligible VUV damage. For patterned structures, in order to reduce VUV damage in the bulk and on feature sidewalls, the combination of both pore stuffing/material densification and absorbing hard-mask is recommended, and/or the use of low VUV-emitting plasma discharge.

  20. AC electrical conductivity and dielectric relaxation studies on n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC)

    Science.gov (United States)

    Qashou, Saleem I.; Darwish, A. A. A.; Rashad, M.; Khattari, Z.

    2017-11-01

    Both Alternating current (AC) conductivity and dielectric behavior of n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC) have been investigated. Fourier transformation infrared (FTIR) spectroscopy is used for identifying both powder and film bonds which confirm that there are no observed changes in the bonds between the DMPDC powder and evaporated films. The dependence of AC conductivity on the temperature for DMPDC evaporated films was explained by the correlated barrier hopping (CBH) model. The calculated barrier height using CBH model shows a decreasing behavior with increasing temperature. The mechanism of dielectric relaxation was interpreted on the basis of the modulus of the complex dielectric. The calculated activation energy of the relaxation process was found to be 0.055 eV.

  1. High field dielectric properties of anisotropic polymer-ceramic composites

    International Nuclear Information System (INIS)

    Tomer, V.; Randall, C. A.

    2008-01-01

    Using dielectrophoretic assembly, we create anisotropic composites of BaTiO 3 particles in a silicone elastomer thermoset polymer. We study a variety of electrical properties in these composites, i.e., permittivity, dielectric breakdown, and energy density as function of ceramic volume fraction and connectivity. The recoverable energy density of these electric-field-structured composites is found to be highly dependent on the anisotropy present in the system. Our results indicate that x-y-aligned composites exhibit higher breakdown strengths along with large recoverable energy densities when compared to 0-3 composites. This demonstrates that engineered anisotropy can be employed to control dielectric breakdown strengths and nonlinear conduction at high fields in heterogeneous systems. Consequently, manipulation of anisotropy in high-field dielectric properties can be exploited for the development of high energy density polymer-ceramic systems

  2. Behavior of pentacene initial nucleation on various dielectrics and its effect on carrier transport in organic field-effect transistor.

    Science.gov (United States)

    Qi, Qiong; Yu, Aifang; Wang, Liangmin; Jiang, Chao

    2010-11-01

    The influence of dielectric surface energy on the initial nucleation and the growth of pentacene films as well as the electrical properties of the pentacene-based field-effect transistors are investigated. We have examined a range of organic and inorganic dielectrics with different surface energies, such as polycarbonate/SiO2, polystyrene/SiO2, and PMMA/SiO2 bi-layered dielectrics and also the bare SiO2 dielectric. Atomic force microscopy measurements of sub-monolayer and thick pentacene films indicated that the growth of pentacene film was in Stranski-Kranstanow growth mode on all the dielectrics. However, the initial nucleation density and the size of the first-layered pentacene islands deposited on different dielectrics are drastically influenced by the dielectric surface energy. With the increasing of the surface energy, the nucleation density increased and thus the average size of pentacene islands for the first mono-layer deposition decreased. The performance of fabricated pentacene-based thin film transistors was found to be highly related to nucleation density and the island size of deposited Pentacene film, and it had no relationship to the final particle size of the thick pentacene film. The field effect mobility of the thin film transistor could be achieved as high as 1.38 cm2Ns with on/off ratio over 3 x 10(7) on the PS/SiO2 where the lowest surface energy existed among all the dielectrics. For comparison, the values of mobility and on/off ratio were 0.42 cm2Ns and 1 x 10(6) for thin film transistor deposited directly on bare SiO2 having the highest surface energy.

  3. Enhanced polarization and dielectric properties of Pb(Zr1-xTix)O3 thin films

    Science.gov (United States)

    Ortega, N.; Kumar, Ashok; Katiyar, R. S.

    2008-10-01

    We report the fabrication of PbZr0.57Ti0.43O3 (PZT) thin films with preferential growth along (111) and random crystalline orientation on the platinized silicon substrates using pulsed laser deposition technique. X-ray diffraction patterns and surface morphology indicate increase in grain size and nucleation, which support better perovskite matrix with increase in annealing temperature. We observed large dielectric constant (˜4000) and enhanced remanent polarization 70 μC/cm2 at room temperature attributed to grain growth and intermetallic Pt-Pb transient phase. Frequency dependent polarization showed minor reduction in polarization above 10 kHz frequencies. Normalized fatigue characteristic of PZT thin films showed minimal 25% degradation in remanent polarization after 109 cycles, which may be useful for memory devices. ac conductivity spectra illustrated that anomaly near the phase transition temperature with activation energy (Ea˜0.60-0.75 eV) supports the intrinsic nature of ferroelectric phase transition.

  4. Dielectric properties of Li doped Li-Nb-O thin films

    Energy Technology Data Exchange (ETDEWEB)

    Perentzis, G.; Horopanitis, E.E.; Papadimitriou, L. [Aristotle University of Thessaloniki, Department of Physics, 54124 Thessaloniki (Greece); Durman, V.; Saly, V.; Packa, J. [Faculty of Electrical Engineering and Information Technology, Slovak University of Technology, Ilkovicova 3, 81219 Bratislava (Slovakia)

    2007-03-15

    Lithium niobate LiNbO{sub 3} was prepared as a thin film layered structure deposited on stainless steel substrate using e-gun evaporation. The Li doping was provided for by the formation of Li-Nb-O/Li/LiNb-O sandwich structure and annealing at about 250 C. AC impedance spectroscopy measurements were performed on the samples at temperatures from the interval between 28 and 165 C and in a frequency range of 10{sup -3} to 10{sup 6} Hz. Using the values Z' and Z'' at different frequencies, the dielectric parameters - parts of the complex permittivity {epsilon}' and {epsilon}'' and loss tangent tan {delta} were calculated. The results prove validity of the proposed equivalent circuit containing parallel RC elements connected in series where the first RC element represents the bulk of material and the second RC element belongs to the double layer at the metal interface. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Low dielectric constant-based organic field-effect transistors and metal-insulator-semiconductor capacitors

    Science.gov (United States)

    Ukah, Ndubuisi Benjamin

    This thesis describes a study of PFB and pentacene-based organic field-effect transistors (OFET) and metal-insulator-semiconductor (MIS) capacitors with low dielectric constant (k) poly(methyl methacrylate) (PMMA), poly(4-vinyl phenol) (PVP) and cross-linked PVP (c-PVP) gate dielectrics. A physical method -- matrix assisted pulsed laser evaporation (MAPLE) -- of fabricating all-polymer field-effect transistors and MIS capacitors that circumvents inherent polymer dissolution and solvent-selectivity problems, is demonstrated. Pentacene-based OFETs incorporating PMMA and PVP gate dielectrics usually have high operating voltages related to the thickness of the dielectric layer. Reduced PMMA layer thickness (≤ 70 nm) was obtained by dissolving the PMMA in propylene carbonate (PC). The resulting pentacene-based transistors exhibited very low operating voltage (below -3 V), minimal hysteresis in their transfer characteristics, and decent electrical performance. Also low voltage (within -2 V) operation using thin (≤ 80 nm) low-k and hydrophilic PVP and c-PVP dielectric layers obtained via dissolution in high dipole moment and high-k solvents -- PC and dimethyl sulfoxide (DMSO), is demonstrated to be a robust means of achieving improved electrical characteristics and high operational stability in OFETs incorporating PVP and c-PVP dielectrics.

  6. Dielectric properties of Ca(Zr0.05Ti0.95)O3 thin films prepared by chemical solution deposition

    International Nuclear Information System (INIS)

    Cavalcante, L.S.; Simoes, A.Z.; Santos, L.P.S.; Santos, M.R.M.C.; Longo, E.; Varela, J.A.

    2006-01-01

    Ca(Zr 0.05 Ti 0.95 )O 3 (CZT) thin films were grown on Pt(111)/Ti/SiO 2 /Si(100) substrates by the soft chemical method. The films were deposited from spin-coating technique and annealed at 928K for 4h under oxygen atmosphere. CZT films present orthorhombic structure with a crack free and granular microstructure. Atomic force microscopy and field-emission scanning electron microscopy showed that CZT present grains with about 47nm and thickness about 450nm. Dielectric constant and dielectric loss of the films was approximately 210 at 100kHz and 0.032 at 1MHz. The Au/CZT/Pt capacitor shows a hysteresis loop with remnant polarization of 2.5μC/cm 2 , and coercive field of 18kV/cm, at an applied voltage of 6V. The leakage current density was about 4.6x10 -8 A/cm 2 at 3V. Dielectric constant-voltage curve is located at zero bias field suggesting the absence of internal electric fields

  7. Experimental Investigation of an X-Band Tunable Dielectric Accelerating Structure

    CERN Document Server

    Kanareykin, Alex; Karmanenko, Sergei F; Nenasheva, Elisaveta; Power, John G; Schoessow, Paul; Semenov, Alexei

    2005-01-01

    Experimental study of a new scheme to tune the resonant frequency for dielectric based accelerating structure (driven either by the wakefield of a beam or an external rf source) is underway. The structure consists of a single layer of conventional dielectric surrounded by a very thin layer of ferroelectric material situated on the outside. Carefully designed electrodes are attached to a thin layer of ferroelectric material. A DC bias can be applied to the electrodes to change the permittivity of the ferroelectric layer and therefore, the dielectric overall resonant frequency can be tuned. In this paper, we present the test results for an 11.424 GHz rectangular DLA prototype structure that the ferroelectric material's dielectric constant of 500 and show that a frequency tuning range of 2% can be achieved. If successful, this scheme would compensate for structure errors caused by ceramic waveguide machining tolerances and dielectric constant heterogeneity.

  8. SEMICONDUCTOR DEVICES: Structural and electrical characteristics of lanthanum oxide gate dielectric film on GaAs pHEMT technology

    Science.gov (United States)

    Chia-Song, Wu; Hsing-Chung, Liu

    2009-11-01

    This paper investigates the feasibility of using a lanthanum oxide thin film (La2O3) with a high dielectric constant as a gate dielectric on GaAs pHEMTs to reduce gate leakage current and improve the gate to drain breakdown voltage relative to the conventional GaAs pHEMT. An E/D mode pHEMT in a single chip was realized by selecting the appropriate La2O3 thickness. The thin La2O3 film was characterized: its chemical composition and crystalline structure were determined by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. La2O3 exhibited good thermal stability after post-deposition annealing at 200, 400 and 600 °C because of its high binding-energy (835.6 eV). Experimental results clearly demonstrated that the La2O3 thin film was thermally stable. The DC and RF characteristics of Pt/La2O3/Ti/Au gate and conventional Pt/Ti/Au gate pHEMTs were examined. The measurements indicated that the transistor with the Pt/La2O3/Ti/Au gate had a higher breakdown voltage and lower gate leakage current. Accordingly, the La2O3 thin film is a potential high-k material for use as a gate dielectric to improve electrical performance and the thermal effect in high-power applications.

  9. Microwave Characterization of Ba-Substituted PZT and ZnO Thin Films.

    Science.gov (United States)

    Tierno, Davide; Dekkers, Matthijn; Wittendorp, Paul; Sun, Xiao; Bayer, Samuel C; King, Seth T; Van Elshocht, Sven; Heyns, Marc; Radu, Iuliana P; Adelmann, Christoph

    2018-05-01

    The microwave dielectric properties of (Ba 0.1 Pb 0.9 )(Zr 0.52 Ti 0.48 )O 3 (BPZT) and ZnO thin films with thicknesses below were investigated. No significant dielectric relaxation was observed for both BPZT and ZnO up to 30 GHz. The intrinsic dielectric constant of BPZT was as high as 980 at 30 GHz. The absence of strong dielectric dispersion and loss peaks in the studied frequency range can be linked to the small grain diameters in these ultrathin films.

  10. Muscle-like high-stress dielectric elastomer actuators with oil capsules

    International Nuclear Information System (INIS)

    La, Thanh-Giang; Lau, Gih-Keong; Shiau, Li-Lynn; Wei-Yee Tan, Adrian

    2014-01-01

    Despite being capable of generating large strains, dielectric elastomer actuators (DEAs) are short of strength. Often, they cannot produce enough stress or as much work as that achievable by human elbow muscles. Their maximum actuation capacity is limited by the electrical breakdown of dielectric elastomers. Often, failures of these soft actuators are pre-mature and localized at the weakest spot under high field and high stress. Localized breakdowns, such as electrical arcing, thermal runaway and punctures, could spread to ultimately cause rupture if they were not stopped. This work shows that dielectric oil immersion and self-clearable electrodes nibbed the buds of localized breakdowns from DEAs. Dielectric oil encapsulation in soft-membrane capsules was found to help the DEA sustain an ultra-high electrical breakdown field of 835 MVm −1 , which is 46% higher than the electrical breakdown strength of the dry DEA in air at 570 MV m −1 . Because of the increased apparent dielectric strength, this oil-capsuled DEA realizes a higher maximum isotonic work density of up to 31.51Jkg −1 , which is 43.8% higher than that realized by the DEA in air. Meanwhile, it produces higher maximum isometric stress of up to 1.05 MPa, which is 75% higher than that produced by the DEA in air. Such improved actuator performances are comparable to those achieved by human flexor muscles, which can exert up to 1.2 MPa during elbow flexion. This muscle-like, high-stress dielectric elastomeric actuation is very promising to drive future human-like robots. (paper)

  11. Preparation and Characterization of PbO-SrO-Na2O-Nb2O5-SiO2 Glass Ceramics Thin Film for High-Energy Storage Application

    Science.gov (United States)

    Tan, Feihu; Zhang, Qingmeng; Zhao, Hongbin; Wei, Feng; Du, Jun

    2018-03-01

    PbO-SrO-Na2O-Nb2O5-SiO2 (PSNNS) glass ceramic thin films were prepared by pulsed laser deposition technology on heavily doped silicon substrates. The influence of annealing temperatures on microstructures, dielectric properties and energy storage performances of the as-prepared films were investigated in detail. X-ray diffraction studies indicate that Pb2Nb2O7 crystallizes at 800°C and disappears at 900°C, while NaNbO3 and PbNb2O6 are formed at the higher temperature of 900°C. The dielectric properties of the glass ceramics thin films have a strong dependence on the phase assemblages that are developed during heat treatment. The maximum dielectric constant value of 171 was obtained for the film annealed at 800°C, owing to the high electric breakdown field strength, The energy storage densities of the PSNNS films annealed at 800°C were as large as 36.9 J/cm3, These results suggest that PSNNS thin films are promising for energy storage applications.

  12. Stress effects in ferroelectric perovskite thin-films

    Science.gov (United States)

    Zednik, Ricardo Johann

    The exciting class of ferroelectric materials presents the engineer with an array of unique properties that offer promise in a variety of applications; these applications include infra-red detectors ("night-vision imaging", pyroelectricity), micro-electro-mechanical-systems (MEMS, piezoelectricity), and non-volatile memory (NVM, ferroelectricity). Realizing these modern devices often requires perovskite-based ferroelectric films thinner than 100 nm. Two such technologically important material systems are (Ba,Sr)TiO3 (BST), for tunable dielectric devices employed in wireless communications, and Pb(Zr,Ti)O3 (PZT), for ferroelectric non-volatile memory (FeRAM). In general, the material behavior is strongly influenced by the mechanical boundary conditions imposed by the substrate and surrounding layers and may vary considerably from the known bulk behavior. A better mechanistic understanding of these effects is essential for harnessing the full potential of ferroelectric thin-films and further optimizing existing devices. Both materials share a common crystal structure and similar properties, but face unique challenges due to the design parameters of these different applications. Tunable devices often require very low dielectric loss as well as large dielectric tunability. Present results show that the dielectric response of BST thin-films can either resemble a dipole-relaxor or follow the accepted empirical Universal Relaxation Law (Curie-von Schweidler), depending on temperature. These behaviors in a single ferroelectric thin-film system are often thought to be mutually exclusive. In state-of-the-art high density FeRAM, the ferroelectric polarization is at least as important as the dielectric response. It was found that these properties are significantly affected by moderate biaxial tensile and compressive stresses which reversibly alter the ferroelastic domain populations of PZT at room temperature. The 90-degree domain wall motion observed by high resolution

  13. High Gain and High Directive of Antenna Arrays Utilizing Dielectric Layer on Bismuth Titanate Ceramics

    Directory of Open Access Journals (Sweden)

    F. H. Wee

    2012-01-01

    Full Text Available A high gain and high directive microstrip patch array antenna formed from dielectric layer stacked on bismuth titanate (BiT ceramics have been investigated, fabricated, and measured. The antennas are designed and constructed with a combination of two-, four-, and six-BiT elements in an array form application on microwave substrate. For gain and directivity enhancement, a layer of dielectric was stacked on the BiT antenna array. We measured the gain and directivity of BiT array antennas with and without the dielectric layer and found that the gain of BiT array antenna with the dielectric layer was enhanced by about 1.4 dBi of directivity and 1.3 dB of gain over the one without the dielectric layer at 2.3 GHz. The impedance bandwidth of the BiT array antenna both with and without the dielectric layer is about 500 MHz and 350 MHz, respectively, which is suitable for the application of the WiMAX 2.3 GHz system. The utilization of BiT ceramics that covers about 90% of antenna led to high radiation efficiency, and small-size antennas were produced. In order to validate the proposed design, theoretical and measured results are provided and discussed.

  14. High performance top-gated indium–zinc–oxide thin film transistors with in-situ formed HfO{sub 2} gate insulator

    Energy Technology Data Exchange (ETDEWEB)

    Song, Yang, E-mail: yang_song@brown.edu [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); Zaslavsky, A. [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States); Paine, D.C. [School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States)

    2016-09-01

    We report on top-gated indium–zinc–oxide (IZO) thin film transistors (TFTs) with an in-situ formed HfO{sub 2} gate dielectric insulator. Building on our previous demonstration of high-performance IZO TFTs with Al{sub 2}O{sub 3}/HfO{sub 2} gate dielectric, we now report on a one-step process, in which Hf is evaporated onto the 20 nm thick IZO channel, forming a partially oxidized HfO{sub x} layer, without any additional insulator in-between. After annealing in air at 300 °C, the in-situ reaction between partially oxidized Hf and IZO forms a high quality HfO{sub 2} gate insulator with a low interface trapped charge density N{sub TC} ~ 2.3 × 10{sup 11} cm{sup −2} and acceptably low gate leakage < 3 × 10{sup −7} A/cm{sup 2} at gate voltage V{sub G} = 1 V. The annealed TFTs with gate length L{sub G} = 50 μm have high mobility ~ 95 cm{sup 2}/V ∙ s (determined via the Y-function technique), high on/off ratio ~ 10{sup 7}, near-zero threshold voltage V{sub T} = − 0.02 V, and a subthreshold swing of 0.062 V/decade, near the theoretical limit. The on-current of our proof-of-concept TFTs is relatively low, but can be improved by reducing L{sub G}, indicating that high-performance top-gated HfO{sub 2}-isolated IZO TFTs can be fabricated using a single-step in-situ dielectric formation approach. - Highlights: • High-performance indium–zinc–oxide (IZO) thin film transistors (TFTs). • Single-step in-situ dielectric formation approach simplifies fabrication process. • During anneal, reaction between HfO{sub x} and IZO channel forms a high quality HfO{sub 2} layer. • Gate insulator HfO{sub 2} shows low interface trapped charge and small gate leakage. • TFTs have high mobility, near-zero threshold voltage, and a low subthreshold swing.

  15. Atmospheric Pressure Plasma Jet-Assisted Synthesis of Zeolite-Based Low-k Thin Films.

    Science.gov (United States)

    Huang, Kai-Yu; Chi, Heng-Yu; Kao, Peng-Kai; Huang, Fei-Hung; Jian, Qi-Ming; Cheng, I-Chun; Lee, Wen-Ya; Hsu, Cheng-Che; Kang, Dun-Yen

    2018-01-10

    Zeolites are ideal low-dielectric constant (low-k) materials. This paper reports on a novel plasma-assisted approach to the synthesis of low-k thin films comprising pure-silica zeolite MFI. The proposed method involves treating the aged solution using an atmospheric pressure plasma jet (APPJ). The high reactivity of the resulting nitrogen plasma helps to produce zeolite crystals with high crystallinity and uniform crystal size distribution. The APPJ treatment also remarkably reduces the time for hydrothermal reaction. The zeolite MFI suspensions synthesized with the APPJ treatment are used for the wet deposition to form thin films. The deposited zeolite thin films possessed dense morphology and high crystallinity, which overcome the trade-off between crystallinity and film quality. Zeolite thin films synthesized using the proposed APPJ treatment achieve low leakage current (on the order of 10 -8 A/cm 2 ) and high Young's modulus (12 GPa), outperforming the control sample synthesized without plasma treatment. The dielectric constant of our zeolite thin films was as low as 1.41. The overall performance of the low-k thin films synthesized with the APPJ treatment far exceed existing low-k films comprising pure-silica MFI.

  16. Dielectric response of capacitor structures based on PZT annealed at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Kamenshchikov, Mikhail V., E-mail: Mikhailkamenshchikov@yandex.ru [Tver State University, 170002, Tver (Russian Federation); Solnyshkin, Alexander V. [Tver State University, 170002, Tver (Russian Federation); Pronin, Igor P. [Ioffe Institute, 194021, St. Petersburg (Russian Federation)

    2016-12-09

    Highlights: • Correlation of the microstructure of PZT films and dielectric response was found. • Difference of dielectric responses under low and high bias is caused by domains. • Internal fields is discussed on the basis of the space charges. • Dependences of PZT films characteristics on synthesis temperature are extremal. - Abstract: Dielectric response of thin-film capacitor structures of Pt/PZT/Pt deposited by the RF magnetron sputtering method and annealed at temperatures of 540–570 °C was investigated. It was found that dielectric properties of these structures depend on the synthesis temperature. Stability of a polarized state is considered on the basis of the analysis of hysteresis loops and capacitance–voltage (C–V) characteristics. The contribution of the domain mechanism in the dielectric response of the capacitor structure comprising a ferroelectric is discussed. Extreme dependences of electrophysical characteristics of PZT films on their synthesis temperature were observed. Correlation of dielectric properties with microstructure of these films is found out.

  17. Epitaxial growth and dielectric properties of Bi sub 2 VO sub 5 sub . sub 5 thin films on TiN/Si substrates with SrTiO sub 3 buffer layers

    CERN Document Server

    Lee, H Y; Choi, B C; Jeong, J H; Joseph, M; Tabata, H; Kawai, T

    2000-01-01

    Bi sub 2 VO sub 5 sub . sub 5 (BVO) thin films were epitaxially grown on SrTiO sub 3 /TiN/Si substrates by using pulsed laser ablation. A TiN thin film was prepared at 700 .deg. C as a bottom electrode. The TiN film exhibited a high alpha axis orientation and a very smooth morphology. Before the preparation of the BVO thin film, a crystallized SrTiO sub 3 thin film was deposited as a buffer layer on TiN/Si. The BVO thin film grown at a substrate temperature at 700 .deg. C and an oxygen pressure of 50 mTorr was found to be epitaxial along the c-axis. Also, BVO films were observed to have flat surfaces and the step-flow modes. The dielectric constant of the BVO film on STO/TiN/Si was constant at about 8 approx 4 in the applied frequency range between 10 sup 2 and 10 sup 6 Hz.

  18. Growth and physical properties of highly oriented La-doped (K,Na)NbO3 ferroelectric thin films

    International Nuclear Information System (INIS)

    Vendrell, X.; Raymond, O.; Ochoa, D.A.; García, J.E.; Mestres, L.

    2015-01-01

    Lead-free (K,Na)NbO 3 (KNN) and La doped (K,Na)NbO 3 (KNN-La) thin films are grown on SrTiO 3 substrates using the chemical solution deposition method. The effect of adding different amounts of Na and K excess (0–20 mol%) is investigated. The results confirm the necessity of adding 20 mol% excess amounts of Na and K precursor solutions in order to avoid the formation of the secondary phase, K 4 Nb 6 O 17 , as confirmed by X-ray diffraction and Raman spectroscopy. Moreover, when adding a 20 mol% of alkaline metal excess, the thin films are highly textured with out-of-plane preferential orientation in the [100] direction of the [100] orientation of the substrate. Doping with lanthanum results in a decrease of the leakage current density at low electric field, and an increase in the dielectric permittivity across the whole temperature range (80–380 K). Although the (100)-oriented KNN and KNN-La films exhibited rounded hysteresis loops, at low temperatures the films show the typical ferroelectric hysteresis loops. - Highlights: • (K 0.5 Na 0.5 )NbO 3 and [(K 0.5 Na 0.5 ) 0.985 La 0.005 ]NbO 3 thin films have been prepared. • The obtained thin films show an excellent (100) preferred orientation. • Doping with lanthanum results in a decrease of the leakage current density. • The dielectric properties are enhanced when doping with lanthanum

  19. A tunable Fabry-Perot filter (λ/18) based on all-dielectric metamaterials

    Science.gov (United States)

    Ao, Tianhong; Xu, Xiangdong; Gu, Yu; Jiang, Yadong; Li, Xinrong; Lian, Yuxiang; Wang, Fu

    2018-05-01

    A tunable Fabry-Perot filter composed of two separated all-dielectric metamaterials is proposed and numerically investigated. Different from metallic metamaterials reflectors, the all-dielectric metamaterials are constructed by high-permittivity TiO2 cylinder arrays and exhibit high reflection in a broadband of 2.49-3.08 THz. The high reflection is attributed to the first and second Mie resonances, by which the all-dielectric metamaterials can serve as reflectors in the Fabry-Perot filter. Both the results from phase analysis method and CST simulations reveal that the resonant frequency of the as-proposed filter appears at 2.78 THz, responding to a cavity with λ/18 wavelength thickness. Particularly, the resonant frequency can be adjusted by changing the cavity thickness. This work provides a feasible approach to design low-loss terahertz filters with a thin air cavity.

  20. Silver Nanowire/MnO2 Nanowire Hybrid Polymer Nanocomposites: Materials with High Dielectric Permittivity and Low Dielectric Loss.

    Science.gov (United States)

    Zeraati, Ali Shayesteh; Arjmand, Mohammad; Sundararaj, Uttandaraman

    2017-04-26

    This study reports the fabrication of hybrid nanocomposites based on silver nanowire/manganese dioxide nanowire/poly(methyl methacrylate) (AgNW/MnO 2 NW/PMMA), using a solution casting technique, with outstanding dielectric permittivity and low dielectric loss. AgNW was synthesized using the hard-template technique, and MnO 2 NW was synthesized employing a hydrothermal method. The prepared AgNW:MnO 2 NW (2.0:1.0 vol %) hybrid nanocomposite showed a high dielectric permittivity (64 at 8.2 GHz) and low dielectric loss (0.31 at 8.2 GHz), which are among the best reported values in the literature in the X-band frequency range (8.2-12.4 GHz). The superior dielectric properties of the hybrid nanocomposites were attributed to (i) dimensionality match between the nanofillers, which increased their synergy, (ii) better dispersion state of AgNW in the presence of MnO 2 NW, (iii) positioning of ferroelectric MnO 2 NW in between AgNWs, which increased the dielectric permittivity of nanodielectrics, thereby increasing dielectric permittivity of the hybrid nanocomposites, (iv) barrier role of MnO 2 NW, i.e., cutting off the contact spots of AgNWs and leading to lower dielectric loss, and (v) AgNW aligned structure, which increased the effective surface area of AgNWs, as nanoelectrodes. Comparison of the dielectric properties of the developed hybrid nanocomposites with the literature highlights their great potential for flexible capacitors.

  1. High-resolution well-log derived dielectric properties of gas-hydrate-bearing sediments, Mount Elbert Gas Hydrate Stratigraphic Test Well, Alaska North Slope

    Science.gov (United States)

    Sun, Y.; Goldberg, D.; Collett, T.; Hunter, R.

    2011-01-01

    A dielectric logging tool, electromagnetic propagation tool (EPT), was deployed in 2007 in the BPXA-DOE-USGS Mount Elbert Gas Hydrate Stratigraphic Test Well (Mount Elbert Well), North Slope, Alaska. The measured dielectric properties in the Mount Elbert well, combined with density log measurements, result in a vertical high-resolution (cm-scale) estimate of gas hydrate saturation. Two hydrate-bearing sand reservoirs about 20 m thick were identified using the EPT log and exhibited gas-hydrate saturation estimates ranging from 45% to 85%. In hydrate-bearing zones where variation of hole size and oil-based mud invasion are minimal, EPT-based gas hydrate saturation estimates on average agree well with lower vertical resolution estimates from the nuclear magnetic resonance logs; however, saturation and porosity estimates based on EPT logs are not reliable in intervals with substantial variations in borehole diameter and oil-based invasion.EPT log interpretation reveals many thin-bedded layers at various depths, both above and below the thick continuous hydrate occurrences, which range from 30-cm to about 1-m thick. Such thin layers are not indicated in other well logs, or from the visual observation of core, with the exception of the image log recorded by the oil-base microimager. We also observe that EPT dielectric measurements can be used to accurately detect fine-scale changes in lithology and pore fluid properties of hydrate-bearing sediments where variation of hole size is minimal. EPT measurements may thus provide high-resolution in-situ hydrate saturation estimates for comparison and calibration with laboratory analysis. ?? 2010 Elsevier Ltd.

  2. Development of High-Gradient Dielectric Laser-Driven Particle Accelerator Structures

    Energy Technology Data Exchange (ETDEWEB)

    Byer, Robert L. [Stanford Univ., CA (United States). Edward L. Ginzton Lab.

    2013-11-07

    The thrust of Stanford's program is to conduct research on high-gradient dielectric accelerator structures driven with high repetition-rate, tabletop infrared lasers. The close collaboration between Stanford and SLAC (Stanford Linear Accelerator Center) is critical to the success of this project, because it provides a unique environment where prototype dielectric accelerator structures can be rapidly fabricated and tested with a relativistic electron beam.

  3. Mechanical property changes in porous low-k dielectric thin films during processing

    Energy Technology Data Exchange (ETDEWEB)

    Stan, G., E-mail: gheorghe.stan@nist.gov; Gates, R. S. [Material Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Kavuri, P. [Physical Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Torres, J.; Michalak, D.; Ege, C.; Bielefeld, J.; King, S. W. [Logic Technology Development, Intel Corporation, Hillsboro, Oregon 97124 (United States)

    2014-10-13

    The design of future generations of Cu-low-k dielectric interconnects with reduced electronic crosstalk often requires engineering materials with an optimal trade off between their dielectric constant and elastic modulus. This is because the benefits associated with the reduction of the dielectric constant by increasing the porosity of materials, for example, can adversely affect their mechanical integrity during processing. By using load-dependent contact-resonance atomic force microscopy, the changes in the elastic modulus of low-k dielectric materials due to processing were accurately measured. These changes were linked to alterations sustained by the structure of low-k dielectric films during processing. A two-phase model was used for quantitative assessments of the elastic modulus changes undergone by the organosilicate skeleton of the structure of porous and pore-filled dielectrics.

  4. Magnetoelectric coupling effect in transition metal modified polycrystalline BiFeO3 thin films

    International Nuclear Information System (INIS)

    Sreenivas Puli, Venkata; Kumar Pradhan, Dhiren; Gollapudi, Sreenivasulu; Coondoo, Indrani; Panwar, Neeraj; Adireddy, Shiva; Chrisey, Douglas B.; Katiyar, Ram S.

    2014-01-01

    Rare-earth (Sm) and transition metal (Co) modified polycrystalline BiFeO 3 (BFO) thin films have been deposited on Pt/TiO 2 /SiO 2 /Si substrate successfully through pulsed laser deposition (PLD) technique. Piezoelectric, leakage current and temperature dependent dielectric and magnetic behaviour were investigated for the films. Typical “butterfly-shaped” loop were observed in BSFCO films with an effective piezoelectric constant (d 33 ) ∼94 pm/V at 0.6 MV/cm. High dielectric constant ∼900 and low dielectric loss ∼0.25 were observed at room temperature. M–H loops have shown relatively high saturation magnetization ∼35 emu/cm 3 at a maximum field of H ∼20 kOe. Enhanced magnetoelectric coupling response is observed under applied magnetic field. The multiferroic, piezoelectric, leakage current behaviours were explored. Such studies should be helpful in designing multiferroic materials based on BSFCO films. - Highlights: • Transition metal modified polycrystalline BiFeO 3 thin films prepared using PLD. • High ME-coupling response was observed in co-substituted BiFeO 3 thin films. • High magnetization ∼35 emu/cm 3 at a maximum field of H ∼20 kOe. • Low leakage current might be due to co-substitution in BiFeO 3 thin films. • A notable piezoelectric constant d 33 ∼94 pm/V was found in BiFeO 3 thin films

  5. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  6. Dielectric oil-based polymer actuator for improved thickness strain and breakdown voltage

    International Nuclear Information System (INIS)

    Cho, Min Sung; Yamamoto, Akio

    2016-01-01

    Dielectric elastomer actuators (DEAs) have been increasingly investigated as alternative actuators to conventional ones. However, DEAs suffer from high rates of premature failure. Therefore, this study proposes a dielectric oil-based polymer actuator, also called a Dielectric liquid actuator (DLA), to compensate for the drawbacks of DEAs. DLA was experimentally compared with conventional DEAs. Results showed that DLA successfully prevented thermal runaway at defects in the electrode and excessive thinning of the film, resulting in increased breakdown voltage. Consequently, premature failure was inhibited, and the performance was improved. The breakdown voltages of DLA and DEA were 6000 and 2000 V, respectively, and their maximum thickness strains were 49.5% and 37.5%, respectively

  7. Dielectric oil-based polymer actuator for improved thickness strain and breakdown voltage

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Min Sung; Yamamoto, Akio [Dept. of Precision Engineering, School of Engineering, The University of Tokyo, Tokyo (Japan)

    2016-09-15

    Dielectric elastomer actuators (DEAs) have been increasingly investigated as alternative actuators to conventional ones. However, DEAs suffer from high rates of premature failure. Therefore, this study proposes a dielectric oil-based polymer actuator, also called a Dielectric liquid actuator (DLA), to compensate for the drawbacks of DEAs. DLA was experimentally compared with conventional DEAs. Results showed that DLA successfully prevented thermal runaway at defects in the electrode and excessive thinning of the film, resulting in increased breakdown voltage. Consequently, premature failure was inhibited, and the performance was improved. The breakdown voltages of DLA and DEA were 6000 and 2000 V, respectively, and their maximum thickness strains were 49.5% and 37.5%, respectively.

  8. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A; Borderon, C; Tacon, S Le; Averty, D; Gundel, H W

    2008-01-01

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi 3.25 La 0.75 Ti 3 O 12 (BLT 0,75 ), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi 3.25 La 0.75 Ti 3 O 12 . After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO 2 /SiO 2 /Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed

  9. Significant performance enhancement in AlGaN/GaN high electron mobility transistor by high-κ organic dielectric

    International Nuclear Information System (INIS)

    Ze-Gao, Wang; Yuan-Fu, Chen; Cao, Chen; Ben-Lang, Tian; Fu-Tong, Chu; Xing-Zhao, Liu; Yan-Rong, Li

    2010-01-01

    The electrical properties of AlGaN/GaN high electron mobility transistor (HEMT) with and without high-κ organic dielectrics are investigated. The maximum drain current I D max and the maximum transconductance g m max of the organic dielectric/AlGaN/GaN structure can be enhanced by 74.5%, and 73.7% compared with those of the bare AlGaN/GaN HEMT, respectively. Both the threshold voltage V T and g m max of the dielectric/AlGaN/GaN HEMT are strongly dielectric-constant-dependent. Our results suggest that it is promising to significantly improve the performance of the AlGaN/GaN HEMT by introducing the high-κ organic dielectric. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  10. High-pressure cell for simultaneous dielectric and neutron spectroscopy

    DEFF Research Database (Denmark)

    Sanz, Alejandro; Hansen, Henriette Wase; Jakobsen, Bo

    2018-01-01

    In this article, we report on the design, manufacture, and testing of a high-pressure cell for simultaneous dielectric and neutron spectroscopy. This cell is a unique tool for studying dynamics on different time scales, from kilo- to picoseconds, covering universal features such as the α relaxation......, a cylindrical capacitor is positioned within the bore of the high-pressure container. The capacitor consists of two concentric electrodes separated by insulating spacers. The performance of this setup has been successfully verified by collecting simultaneous dielectric and neutron spectroscopy data...

  11. Modeling of leakage currents in high-k dielectrics

    International Nuclear Information System (INIS)

    Jegert, Gunther Christian

    2012-01-01

    Leakage currents are one of the major bottlenecks impeding the downscaling efforts of the semiconductor industry. Two core devices of integrated circuits, the transistor and, especially, the DRAM storage capacitor, suffer from the increasing loss currents. In this perspective a fundamental understanding of the physical origin of these leakage currents is highly desirable. However, the complexity of the involved transport phenomena so far has prevented the development of microscopic models. Instead, the analysis of transport through the ultra-thin layers of high-permittivity (high-k) dielectrics, which are employed as insulating layers, was carried out at an empirical level using simple compact models. Unfortunately, these offer only limited insight into the physics involved on the microscale. In this context the present work was initialized in order to establish a framework of microscopic physical models that allow a fundamental description of the transport processes relevant in high-k thin films. A simulation tool that makes use of kinetic Monte Carlo techniques was developed for this purpose embedding the above models in an environment that allows qualitative and quantitative analyses of the electronic transport in such films. Existing continuum approaches, which tend to conceal the important physics behind phenomenological fitting parameters, were replaced by three-dimensional transport simulations at the level of single charge carriers. Spatially localized phenomena, such as percolation of charge carriers across pointlike defects, being subject to structural relaxation processes, or electrode roughness effects, could be investigated in this simulation scheme. Stepwise a self-consistent, closed transport model for the TiN/ZrO 2 material system, which is of outmost importance for the semiconductor industry, was developed. Based on this model viable strategies for the optimization of TiN/ZrO 2 /TiN capacitor structures were suggested and problem areas that may

  12. Modeling of leakage currents in high-k dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Jegert, Gunther Christian

    2012-03-15

    Leakage currents are one of the major bottlenecks impeding the downscaling efforts of the semiconductor industry. Two core devices of integrated circuits, the transistor and, especially, the DRAM storage capacitor, suffer from the increasing loss currents. In this perspective a fundamental understanding of the physical origin of these leakage currents is highly desirable. However, the complexity of the involved transport phenomena so far has prevented the development of microscopic models. Instead, the analysis of transport through the ultra-thin layers of high-permittivity (high-k) dielectrics, which are employed as insulating layers, was carried out at an empirical level using simple compact models. Unfortunately, these offer only limited insight into the physics involved on the microscale. In this context the present work was initialized in order to establish a framework of microscopic physical models that allow a fundamental description of the transport processes relevant in high-k thin films. A simulation tool that makes use of kinetic Monte Carlo techniques was developed for this purpose embedding the above models in an environment that allows qualitative and quantitative analyses of the electronic transport in such films. Existing continuum approaches, which tend to conceal the important physics behind phenomenological fitting parameters, were replaced by three-dimensional transport simulations at the level of single charge carriers. Spatially localized phenomena, such as percolation of charge carriers across pointlike defects, being subject to structural relaxation processes, or electrode roughness effects, could be investigated in this simulation scheme. Stepwise a self-consistent, closed transport model for the TiN/ZrO{sub 2} material system, which is of outmost importance for the semiconductor industry, was developed. Based on this model viable strategies for the optimization of TiN/ZrO{sub 2}/TiN capacitor structures were suggested and problem areas

  13. Kinetically controlled glass transition measurement of organic aerosol thin films using broadband dielectric spectroscopy

    Directory of Open Access Journals (Sweden)

    Y. Zhang

    2018-06-01

    Full Text Available Glass transitions from liquid to semi-solid and solid phase states have important implications for reactivity, growth, and cloud-forming (cloud condensation nuclei and ice nucleation capabilities of secondary organic aerosols (SOAs. The small size and relatively low mass concentration of SOAs in the atmosphere make it difficult to measure atmospheric SOA glass transitions using conventional methods. To circumvent these difficulties, we have adapted a new technique for measuring glass-forming properties of atmospherically relevant organic aerosols. Aerosol particles to be studied are deposited in the form of a thin film onto an interdigitated electrode (IDE using electrostatic precipitation. Dielectric spectroscopy provides dipole relaxation rates for organic aerosols as a function of temperature (373 to 233 K that are used to calculate the glass transition temperatures for several cooling or heating rates. IDE-enabled broadband dielectric spectroscopy (BDS was successfully used to measure the kinetically controlled glass transition temperatures of aerosols consisting of glycerol and four other compounds with selected cooling and heating rates. The glass transition results agree well with available literature data for these five compounds. The results indicate that the IDE-BDS method can provide accurate glass transition data for organic aerosols under atmospheric conditions. The BDS data obtained with the IDE-BDS technique can be used to characterize glass transitions for both simulated and ambient organic aerosols and to model their climate effects.

  14. Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high- k metal gate NMOSFET with kMC TDDB simulations

    International Nuclear Information System (INIS)

    Xu Hao; Yang Hong; Luo Wei-Chun; Xu Ye-Feng; Wang Yan-Rong; Tang Bo; Wang Wen-Wu; Qi Lu-Wei; Li Jun-Feng; Yan Jiang; Zhu Hui-Long; Zhao Chao; Chen Da-Peng; Ye Tian-Chun

    2016-01-01

    The thickness effect of the TiN capping layer on the time dependent dielectric breakdown (TDDB) characteristic of ultra-thin EOT high- k metal gate NMOSFET is investigated in this paper. Based on experimental results, it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer. From the charge pumping measurement and secondary ion mass spectroscopy (SIMS) analysis, it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density. In addition, the influences of interface and bulk trap density ratio N it / N ot are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo (kMC) method. The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. (paper)

  15. Magnetoelectric coupling effect in transition metal modified polycrystalline BiFeO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sreenivas Puli, Venkata, E-mail: pvsri123@gmail.com [Department of Physics and Engineering Physics, Tulane University, New Orleans, LA 70118 (United States); Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States); Kumar Pradhan, Dhiren [Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States); Gollapudi, Sreenivasulu [Department of Physics, Oakland University, Rochester, MI 48309-4401 (United States); Coondoo, Indrani [Department of Materials and Ceramic and CICECO, University of Aveiro, 3810-193 Aveiro (Portugal); Panwar, Neeraj [Department of Physics, Central University of Rajasthan, Bandar Sindri, Kishangarh 305801, Rajasthan (India); Adireddy, Shiva; Chrisey, Douglas B. [Department of Physics and Engineering Physics, Tulane University, New Orleans, LA 70118 (United States); Katiyar, Ram S. [Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States)

    2014-11-15

    Rare-earth (Sm) and transition metal (Co) modified polycrystalline BiFeO{sub 3} (BFO) thin films have been deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si substrate successfully through pulsed laser deposition (PLD) technique. Piezoelectric, leakage current and temperature dependent dielectric and magnetic behaviour were investigated for the films. Typical “butterfly-shaped” loop were observed in BSFCO films with an effective piezoelectric constant (d{sub 33}) ∼94 pm/V at 0.6 MV/cm. High dielectric constant ∼900 and low dielectric loss ∼0.25 were observed at room temperature. M–H loops have shown relatively high saturation magnetization ∼35 emu/cm{sup 3} at a maximum field of H ∼20 kOe. Enhanced magnetoelectric coupling response is observed under applied magnetic field. The multiferroic, piezoelectric, leakage current behaviours were explored. Such studies should be helpful in designing multiferroic materials based on BSFCO films. - Highlights: • Transition metal modified polycrystalline BiFeO{sub 3} thin films prepared using PLD. • High ME-coupling response was observed in co-substituted BiFeO{sub 3} thin films. • High magnetization ∼35 emu/cm{sup 3} at a maximum field of H ∼20 kOe. • Low leakage current might be due to co-substitution in BiFeO{sub 3} thin films. • A notable piezoelectric constant d{sub 33} ∼94 pm/V was found in BiFeO{sub 3} thin films.

  16. Structural, dielectric and ferroelectric properties of (Bi,Na)TiO{sub 3}–BaTiO{sub 3} system studied by high throughput screening

    Energy Technology Data Exchange (ETDEWEB)

    Hayden, Brian E. [Ilika Technologies Plc., Kenneth Dibben House, Enterprise Road, University of Southampton Science Park, Chilworth, Southampton SO16 7NS (United Kingdom); Department of Chemistry, University of Southampton, Highfield, Southampton SO17 1BJ (United Kingdom); Yakovlev, Sergey, E-mail: sergey.yakovlev@ilika.com [Ilika Technologies Plc., Kenneth Dibben House, Enterprise Road, University of Southampton Science Park, Chilworth, Southampton SO16 7NS (United Kingdom)

    2016-03-31

    Thin-film materials libraries of the Bi{sub 2}O{sub 3}–Na{sub 2}O–TiO{sub 2}–BaO system in a broad composition range have been deposited in ultra-high vacuum from elemental evaporation sources and an oxygen plasma source. A high throughput approach was used for systematic compositional and structural characterization and the screening of the dielectric and ferroelectric properties. The perovskite (Bi,Na)TiO{sub 3}–BaTiO{sub 3} phase with a Ba concentration near the morphotropic phase boundary (ca. 6 at.%) exhibited a relative dielectric permittivity of 180, a loss tangent of 0.04 and remnant polarization of 19 μC/cm{sup 2}. Compared to published data, observed remnant polarization is close to that known for epitaxially grown films but higher than the values reported for polycrystalline films. The high throughput methodology and systematic nature of the study allowed us to establish the composition boundaries of the phase with optimal dielectric and ferroelectric characteristics. - Highlights: • Bi{sub 2}O{sub 3}–Na{sub 2}O–TiO{sub 2}–BaO high throughput materials library was deposited using PVD method. • Materials were processed from individual molecular beam epitaxy sources of elements. • High throughput approach was used for structural, dielectric and ferroelectric study. • Composition boundaries of perovskite compounds with optimum properties are reported.

  17. Coaxial two-channel high-gradient dielectric wakefield accelerator

    Directory of Open Access Journals (Sweden)

    G. V. Sotnikov

    2009-06-01

    Full Text Available A new scheme for a dielectric wakefield accelerator is proposed that employs a cylindrical multizone dielectric structure configured as two concentric dielectric tubes with outer and inner vacuum channels for drive and accelerated bunches. Analytical and numerical studies have been carried out for such coaxial dielectric-loaded structures (CDS for high-gradient acceleration. An analytical theory of wakefield excitation by particle bunches in a multizone CDS has been formulated. Numerical calculations are presented for an example of a CDS using dielectric tubes with dielectric permittivity 5.7, having external diameters of 2.121 and 0.179 mm with inner diameters of 2.095 and 0.1 mm. An annular 5 GeV, 6 nC electron bunch with rms length of 0.035 mm energizes a wakefield on the structure axis having an accelerating gradient of ∼600  MeV/m with a transformer ratio ∼8∶1. The period of the accelerating field is ∼0.33  mm. If the width of the drive bunch channel is decreased, it is possible to obtain an accelerating gradient of >1  GeV/m while keeping the transformer ratio approximately the same. Full numerical simulations using a particle-in-cell code have confirmed results of the linear theory and furthermore have shown the important influence of the quenching wave that restricts the region of the wakefield to within several periods following the drive bunch. Numerical simulations for another example have shown nearly stable transport of drive and accelerated bunches through the CDS, using a short train of drive bunches.

  18. Possible Lead Free Nanocomposite Dielectrics for High Energy Storage Applications

    Directory of Open Access Journals (Sweden)

    Srinivas Kurpati

    2017-03-01

    Full Text Available There is an increasing demand to improve the energy density of dielectric capacitors for satisfying the next generation material systems. One effective approach is to embed high dielectric constant inclusions such as lead zirconia titanate in polymer matrix. However, with the increasing concerns on environmental safety and biocompatibility, the need to expel lead (Pb from modern electronics has been receiving more attention. Using high aspect ratio dielectric inclusions such as nanowires could lead to further enhancement of energy density. Therefore, the present brief review work focuses on the feasibility of development of a lead-free nanowire reinforced polymer matrix capacitor for energy storage application. It is expected that Lead-free sodium Niobate nanowires (NaNbO3 and Boron nitride will be a future candidate to be synthesized using simple hydrothermal method, followed by mixing them with polyvinylidene fluoride (PVDF/ divinyl tetramethyl disiloxanebis (benzocyclobutene matrix using a solution-casting method for Nanocomposites fabrication. The energy density of NaNbO3 and BN based composites are also be compared with that of lead-containing (PbTiO3/PVDF Nano composites to show the feasibility of replacing lead-containing materials from high-energy density dielectric capacitors. Further, this paper explores the feasibility of these materials for space applications because of high energy storage capacity, more flexibility and high operating temperatures. This paper is very much useful researchers who would like to work on polymer nanocomposites for high energy storage applications.

  19. Growth of large-size-two-dimensional crystalline pentacene grains for high performance organic thin film transistors

    Directory of Open Access Journals (Sweden)

    Chuan Du

    2012-06-01

    Full Text Available New approach is presented for growth of pentacene crystalline thin film with large grain size. Modification of dielectric surfaces using a monolayer of small molecule results in the formation of pentacene thin films with well ordered large crystalline domain structures. This suggests that pentacene molecules may have significantly large diffusion constant on the modified surface. An average hole mobility about 1.52 cm2/Vs of pentacene based organic thin film transistors (OTFTs is achieved with good reproducibility.

  20. High temperature annealing effects on chromel (Ni90Cr10) thin films and interdiffusion study for sensing applications

    International Nuclear Information System (INIS)

    Datta, Arindom; Cheng Xudong; Miller, Michael A.; Li Xiaochun

    2008-01-01

    Metal embedded thin film thermocouples are very attractive for various applications in harsh environments. One promising technique to embed thin films micro sensors is diffusion bonding, which requires high temperatures and pressures typically in a vacuum. In this study, high temperature annealing effects on chromel (Ni90Cr10) thin film, an important sensor material as one of the components in type K thermocouple, were investigated in a diffusion bonding environment. Annealing was carried out at 800 deg. C for one hour in a diffusion bonder under vacuum without applying pressure. Under such conditions; surface, interface and interdiffusion phenomena were investigated using different characterization techniques including X-ray Diffraction, X-ray Photoelectron Spectroscopy, Scanning Electron Microscopy, and Energy Dispersive Spectroscopy. Results indicate that the present combination of dielectrics is quite reliable and Ni90Cr10 films of 500 nm thickness can be used for applications at least up to 800 deg. C due to a protective thin chromium oxide layer formation on top of the sensor film during annealing

  1. Solution-Processed Dielectrics Based on Thickness-Sorted Two-Dimensional Hexagonal Boron Nitride Nanosheets

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Jian; Kang, Joohoon; Kang, Junmo; Jariwala, Deep; Wood, Joshua D.; Seo, Jung-Woo T.; Chen, Kan-Sheng; Marks, Tobin J.; Hersam, Mark C.

    2015-10-14

    Gate dielectrics directly affect the mobility, hysteresis, power consumption, and other critical device metrics in high-performance nanoelectronics. With atomically flat and dangling bond-free surfaces, hexagonal boron nitride (h-BN) has emerged as an ideal dielectric for graphene and related two-dimensional semiconductors. While high-quality, atomically thin h-BN has been realized via micromechanical cleavage and chemical vapor deposition, existing liquid exfoliation methods lack sufficient control over h-BN thickness and large-area film quality, thus limiting its use in solution-processed electronics. Here, we employ isopycnic density gradient ultracentrifugation for the preparation of monodisperse, thickness-sorted h-BN inks, which are subsequently layer-by-layer assembled into ultrathin dielectrics with low leakage currents of 3 × 10–9 A/cm2 at 2 MV/cm and high capacitances of 245 nF/cm2. The resulting solution-processed h-BN dielectric films enable the fabrication of graphene field-effect transistors with negligible hysteresis and high mobilities up to 7100 cm2 V–1 s–1 at room temperature. These h-BN inks can also be used as coatings on conventional dielectrics to minimize the effects of underlying traps, resulting in improvements in overall device performance. Overall, this approach for producing and assembling h-BN dielectric inks holds significant promise for translating the superlative performance of two-dimensional heterostructure devices to large-area, solution-processed nanoelectronics.

  2. Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Lim, Taekyung; Kim, Dongchool; Ju, Sanghyun

    2013-01-01

    Deposition of high-quality dielectric on a graphene channel is an essential technology to overcome structural constraints for the development of nano-electronic devices. In this study, we investigated a method for directly depositing aluminum oxide (Al 2 O 3 ) on a graphene channel through nitrogen plasma treatment. The deposited Al 2 O 3 thin film on graphene demonstrated excellent dielectric properties with negligible charge trapping and de-trapping in the gate insulator. A top-gate-structural graphene transistor was fabricated using Al 2 O 3 as the gate dielectric with nitrogen plasma treatment on graphene channel region, and exhibited p-type transistor characteristics

  3. Atomic scale engineering of HfO2-based dielectrics for future DRAM applications

    International Nuclear Information System (INIS)

    Dudek, Piotr

    2011-01-01

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO 2 thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO 2 (BaHfO 3 ) films and Ti-added BaHfO 3 (BaHf 0.5 Ti 0.5 O 3 ) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO 3 (k∝38) and BaHf 0.5 Ti 0.5 O 3 (k∝90) with respect to monoclinic HfO 2 (k∝19). Meanwhile the CET values scaled down to 1 nm for BaHfO 3 and ∝0.8 nm for BaHf 0.5 Ti 0.5 O 3 with respect to HfO 2 (CET=1.5 nm). The Hf 4+ ions substitution in BaHfO 3 by Ti 4+ ions led to a significant decrease of thermal budget from 900 C for BaHfO 3 to 700 C for BaHf 0.5 Ti 0.5 O 3 . Future studies need to focus on the use of appropriate metal electrodes (high work function) and on film deposition process (homogeneity) for better current leakage control. (orig.)

  4. Oblique surface waves at an interface between a metal-dielectric superlattice and an isotropic dielectric

    International Nuclear Information System (INIS)

    Vuković, Slobodan M; Miret, Juan J; Zapata-Rodriguez, Carlos J; Jakšić, Zoran

    2012-01-01

    We investigate the existence and dispersion characteristics of surface waves that propagate at an interface between a metal-dielectric superlattice and an isotropic dielectric. Within the long-wavelength limit, when the effective-medium (EM) approximation is valid, the superlattice behaves like a uniaxial plasmonic crystal with the main optical axes perpendicular to the metal-dielectric interfaces. We demonstrate that if such a semi-infinite plasmonic crystal is cut normally to the layer interfaces and brought into contact with a semi-infinite dielectric, a new type of surface mode can appear. Such modes can propagate obliquely to the optical axes if favorable conditions regarding the thickness of the layers and the dielectric permittivities of the constituent materials are met. We show that losses within the metallic layers can be substantially reduced by making the layers sufficiently thin. At the same time, a dramatic enlargement of the range of angles for oblique propagation of the new surface modes is observed. This can lead, however, to field non-locality and consequently to failure of the EM approximation.

  5. Dielectric characterization of high-performance spaceflight materials

    Science.gov (United States)

    Kleppe, Nathan; Nurge, Mark A.; Bowler, Nicola

    2015-03-01

    As commercial space travel increases, the need for reliable structural health monitoring to predict possible weaknesses or failures of structural materials also increases. Monitoring of these materials can be done through the use of dielectric spectroscopy by comparing permittivity or conductivity measurements performed on a sample in use to that of a pristine sample from 100 μHz to 3 GHz. Fluctuations in these measured values or of the relaxation frequencies, if present, can indicate chemical or physical changes occurring within the material and the possible need for maintenance/replacement. In this work, we establish indicative trends that occur due to changes in dielectric spectra during accelerated aging of various high-performance polymeric materials: ethylene vinyl alcohol (EVOH), Poly (ether ether ketone) (PEEK), polyphenylene sulfide (PPS), and ultra-high molecular weight polyethylene (UHMWPE). Uses for these materials range from electrical insulation and protective coatings to windows and air- or space-craft parts that may be subject to environmental damage over long-term operation. Samples were prepared by thermal exposure and, separately, by ultraviolet/water-spray cyclic aging. The aged samples showed statistically-significant trends of either increasing or decreasing real or imaginary permittivity values, relaxation frequencies, conduction or the appearance of new relaxation modes. These results suggest that dielectric testing offers the possibility of nondestructive evaluation of the extent of age-related degradation in these materials.

  6. High-κ gate dielectrics: Current status and materials properties considerations

    Science.gov (United States)

    Wilk, G. D.; Wallace, R. M.; Anthony, J. M.

    2001-05-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  7. Nonlinear optical parameters of nanocrystalline AZO thin film measured at different substrate temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Jilani, Asim, E-mail: asim.jilane@gmail.com [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Abdel-wahab, M.Sh [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Materials Science and Nanotechnology Department, Faculty of Postgraduate Studies for Advanced Sciences, Beni -Suef University, Beni-Suef (Egypt); Al-ghamdi, Attieh A. [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Dahlan, Ammar sadik [Department of architecture, faculty of environmental design, King Abdulaziz University, Jeddah (Saudi Arabia); Yahia, I.S. [Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Nano-Science & Semiconductor Labs, Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt)

    2016-01-15

    The 2.2 wt% of aluminum (Al)-doped zinc oxide (AZO) transparent and preferential c-axis oriented thin films were prepared by using radio frequency (DC/RF) magnetron sputtering at different substrate temperature ranging from room temperature to 200 °C. For structural analysis, X-ray Diffraction (XRD) and Atomic Force Electron Microscope (AFM) was used for morphological studies. The optical parameters such as, optical energy gap, refractive index, extinction coefficient, dielectric loss, tangent loss, first and third order nonlinear optical properties of transparent films were investigated. High transmittance above 90% and highly homogeneous surface were observed in all samples. The substrate temperature plays an important role to get the best transparent conductive oxide thin films. The substrate temperature at 150 °C showed the growth of highly transparent AZO thin film. Energy gap increased with the increased in substrate temperature of Al doped thin films. Dielectric constant and loss were found to be photon energy dependent with substrate temperature. The change in substrate temperature of Al doped thin films also affect the non-liner optical properties of thin films. The value of χ{sup (3)} was found to be changed with the grain size of the thin films that directly affected by the substrate temperature of the pure and Al doped ZnO thin films.

  8. Estimation of optical constants of a bio-thin layer (onion epidermis), using SPR spectroscopy

    International Nuclear Information System (INIS)

    Rehman, Saif-ur-; Hayashi, Shinji; Sekkat, Zouheir; Mumtaz, Huma; Shaukat, S F

    2014-01-01

    We estimate the optical constants of a biological thin layer (Allium cepa) by surface plasmon resonance (SPR) spectroscopy. For this study, the fresh inner thin epidermis of an onion bulb was used and stacked directly on gold (Au) and silver (Ag) film surfaces in order to identify the shift in SPR mode of each metal film at an operating wavelength of 632.8 nm. The thickness and dielectric constants of the biological thin layer were determined by matching the experimental SPR curves to theoretical ones. The thickness and roughness of bare Au and Ag thin films were also measured by atomic force microscopy (AFM); the results of which are in good agreement with those obtained through experiment. Due to the high surface roughness of the natural onion epidermis layer, AFM could not measure the exact thickness of an onion epidermis. It is estimated that the value of the real part of the dielectric constant of an onion epidermis is between the dielectric constants of water and air. (paper)

  9. Tunable dielectric properties of Barium Magnesium Niobate (BMN) doped Barium Strontium Titanate (BST) thin films by magnetron sputtering

    Science.gov (United States)

    Alema, Fikadu; Reinholz, Aaron; Pokhodnya, Konstantin

    2013-03-01

    We report on the tunable dielectric properties of Mg and Nb co-doped Ba0.45Sr0.55TiO3 (BST) thin film prepared by the magnetron sputtering using BST target (pure and doped with BaMg0.33Nb0.67O3 (BMN)) on Pt/TiO2/SiO2/Al2O3 4'' wafers at 700 °C under oxygen atmosphere. The electrical measurements are conducted on 2432 metal-ferroelectric-metal capacitors using Pt as the top and bottom electrode. The crystalline structure, microstructure, and surface morphology of the films are analyzed and correlated to the films dielectric properties. The BMN doped and undoped BST films have shown tunabilities of 48% and 52%; and leakage current densities of 2.2x10-6 A/cm2 and 3.7x10-5 A/cm2, respectively at 0.5 MV/cm bias field. The results indicate that the BMN doped film exhibits a lower leakage current with no significant decrease in tunability. Due to similar electronegativity and ionic radii, it was suggested that both Mg2+ (accepter-type) and Nb5+ (donor-type) dopants substitutTi4+ ion in BST. The improvement in the film dielectric losses and leakage current with insignificant loss of tunability is attributed to the adversary effects of Mg2+ and Nb5+ in BST.

  10. Approaching conversion limit with all-dielectric solar cell reflectors.

    Science.gov (United States)

    Fu, Sze Ming; Lai, Yi-Chun; Tseng, Chi Wei; Yan, Sheng Lun; Zhong, Yan Kai; Shen, Chang-Hong; Shieh, Jia-Min; Li, Yu-Ren; Cheng, Huang-Chung; Chi, Gou-chung; Yu, Peichen; Lin, Albert

    2015-02-09

    Metallic back reflectors has been used for thin-film and wafer-based solar cells for very long time. Nonetheless, the metallic mirrors might not be the best choices for photovoltaics. In this work, we show that solar cells with all-dielectric reflectors can surpass the best-configured metal-backed devices. Theoretical and experimental results all show that superior large-angle light scattering capability can be achieved by the diffuse medium reflectors, and the solar cell J-V enhancement is higher for solar cells using all-dielectric reflectors. Specifically, the measured diffused scattering efficiency (D.S.E.) of a diffuse medium reflector is >0.8 for the light trapping spectral range (600nm-1000nm), and the measured reflectance of a diffuse medium can be as high as silver if the geometry of embedded titanium oxide(TiO(2)) nanoparticles is optimized. Moreover, the diffuse medium reflectors have the additional advantage of room-temperature processing, low cost, and very high throughput. We believe that using all-dielectric solar cell reflectors is a way to approach the thermodynamic conversion limit by completely excluding metallic dissipation.

  11. Polymorphous GdScO3 as high permittivity dielectric

    International Nuclear Information System (INIS)

    Schäfer, A.; Rahmanizadeh, K.; Bihlmayer, G.; Luysberg, M.; Wendt, F.; Besmehn, A.; Fox, A.

    2015-01-01

    Four different polymorphs of GdScO 3 are assessed theoretically and experimentally with respect to their suitability as a dielectric. The calculations carried out by density functional theory reveal lattice constants, band gaps and the energies of formation of three crystal phases. Experimentally all three crystal phases and the amorphous phase can be realized as thin films by pulsed laser deposition using various growth templates. Their respective crystal structures are confirmed by X-ray diffraction and transmission electron microscopy reflecting the calculated lattice constants. X-ray photoelectron spectroscopy unveils the band gaps of the different polymorphs of GdScO 3 which are above 5 eV for all films demonstrating good insulating properties. From capacitance voltage measurements, high permittivities of up to 27 for hexagonal GdScO 3 are deduced. - Highlights: • Different epitaxial polymorph phases of GdScO 3 were grown by pulsed laser deposition. • The cubic phase of GdScO 3 is reported for the first time. • All phases are proven to be useful for the use in silicon based and III–V based microelectronic devices.

  12. High-k dielectrics as bioelectronic interface for field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Borstlap, D

    2007-03-15

    Ion-sensitive field-effect transistors (ISFETs) are employed as bioelectronic sensors for the cell-transistor coupling and for the detection of DNA sequences. For these applications, thermally grown SiO{sub 2} films are used as standard gate dielectric. In the first part of this dissertation, the suitability of high-k dielectrics was studied to increase the gate capacitance and hence the signal-to-noise ratio of bioelectronic ISFETs: Upon culturing primary rat neurons on the corresponding high-k dielectrics, Al{sub 2}O{sub 3}, yttria stabilised zirkonia (YSZ), DyScO{sub 3}, CeO{sub 2}, LaAlO{sub 3}, GdScO{sub 3} and LaScO{sub 3} proved to be biocompatible substrates. Comprehensive electrical and electrochemical current-voltage measurements and capacitance-voltage measurements were performed for the determination of the dielectric properties of the high-k dielectrics. In the second part of the dissertation, standard SiO{sub 2} ISFETs with lower input capacitance and high-k dielectric Al{sub 2}O{sub 3}, YSZ und DyScO{sub 3} ISFETs were comprehensively characterised and compared with each other regarding their signal-to-noise ratio, their ion sensitivity and their drift behaviour. The ion sensitivity measurements showed that the YSZ ISFETs were considerably more sensitive to K{sup +} and Na{sup +} ions than the SiO{sub 2}, Al{sub 2}O{sub 3} und DyScO{sub 3} ISFETs. In the final third part of the dissertation, bioelectronic experiments were performed with the high-k ISFETs. The shape of the signals, which were measured from HL-1 cells with YSZ ISFETs, differed considerably from the corresponding measurements with SiO{sub 2} and DyScO{sub 3} ISFETs: After the onset of the K{sup +} current, the action potentials measured with YSZ ISFETs showed a strong drift in the direction opposite to the K{sup +} current signal. First coupling experiments between HEK 293 cells, which were transfected with a K{sup +} ion channel, and YSZ ISFETs affirmed the assumption from the HL-1

  13. High temperature dielectric studies of indium-substituted NiCuZn nanoferrites

    Science.gov (United States)

    Hashim, Mohd.; Raghasudha, M.; Shah, Jyoti; Shirsath, Sagar E.; Ravinder, D.; Kumar, Shalendra; Meena, Sher Singh; Bhatt, Pramod; Alimuddin; Kumar, Ravi; Kotnala, R. K.

    2018-01-01

    In this study, indium (In3+)-substituted NiCuZn nanostructured ceramic ferrites with a chemical composition of Ni0.5Cu0.25Zn0.25Fe2-xInxO4 (0.0 ≤ x ≤ 0.5) were prepared by chemical synthesis involving sol-gel chemistry. Single phased cubic spinel structure materials were prepared successfully according to X-ray diffraction and transmission electron microscopy analyses. The dielectric properties of the prepared ferrites were measured using an LCR HiTester at temperatures ranging from room temperature to 300 °C at different frequencies from 102 Hz to 5 × 106 Hz. The variations in the dielectric parameters ε‧ and (tanδ) with temperature demonstrated the frequency- and temperature-dependent characteristics due to electron hopping between the ions. The materials had low dielectric loss values in the high frequency range at all temperatures, which makes them suitable for high frequency microwave applications. A qualitative explanation is provided for the dependences of the dielectric constant and dielectric loss tangent on the frequency, temperature, and composition. Mӧssbauer spectroscopy was employed at room temperature to characterize the magnetic behavior.

  14. Dielectric materials for use in thin-film capacitors

    Science.gov (United States)

    Carr, H. E.; Foster, W. D.; Fromhold, A. T., Jr.; Harbuck, T. A.

    1969-01-01

    Investigation report presents details of dielectric properties of various metals measured at 300 degrees K for thermally evaporated oxides from 300 to 6000 A in thickness. It is relevant to the medium of integrated circuitry.

  15. Development of optical thin film technology for lasers and synchrotron radiation

    International Nuclear Information System (INIS)

    Apparao, K.V.S.R.; Bagchi, T.C.; Sahoo, N.K.

    1985-01-01

    Dielectric multilayer optical thin film devices play an important role not only in the working of lasers but also in different front line research activities using high power lasers and high intensity synchrotron radiation sources. Facilities are set up recently in the Spectroscopy Division to develop the optical thin film design and fabrication technologies indigeneously. Using the facilities thin film devices for different laser applications working in the wavelength range from 300 nm to 1064 nm were developed. Different technical aspects involved in the technology development are briefly described. (author)

  16. Investigation of terbium scandate as an alternative gate dielectric in fully depleted transistors

    OpenAIRE

    Roeckerath, M.; Lopes, J. M. J.; Durgun Özben, E.; Urban, C.; Schubert, J.; Mantl, S.; Jia, Y.; Schlom, D.G.

    2010-01-01

    Terbium scandate thin films were deposited by e-gun evaporation on (100) silicon substrates. Rutherford backscattering spectrometry and x-ray diffraction studies revealed homogeneous chemical compositions of the films. A dielectric constant of 26 and CV-curves with small hystereses were measured as well as low leakage current densities of < 1 nA/cm(2). Fully depleted n-type field-effect transistors on thin silicon-on-insulator substrates with terbium scandate gate dielectrics were fabricated ...

  17. Solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a polymer dielectric on a flexible substrate

    International Nuclear Information System (INIS)

    Shin, Sang-Il; Kwon, Jae-Hong; Ju, Byeong-Kwon; Kang, Hochul

    2008-01-01

    The authors report the fabrication of solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a cross-linked poly-4-vinylphenol (PVP) dielectric on a polyethersulphone (PES) substrate. The device exhibited useful electrical characteristics, including a saturation field effect mobility of 2.08 × 10 −2 cm 2 V −1 s −1 , a current on/off ratio of 10 5 , a threshold voltage of −2 V and an excellent subthreshold slope of 0.86 V/dec. It was demonstrated that the significant improvement in the subthreshold slope of TIPS-pentacene TFTs could be attributed to a decreased carrier trap density at the PVP/TIPS-pentacene film interface. Furthermore, a 1,2,3,4-tetrahydronaphthalene (Tetralin) solvent used in this study had a high boiling point, which had a positive effect on the morphology and the molecular ordering of the TIPS-pentacene film

  18. Effect of dielectric layers on device stability of pentacene-based field-effect transistors.

    Science.gov (United States)

    Di, Chong-an; Yu, Gui; Liu, Yunqi; Guo, Yunlong; Sun, Xiangnan; Zheng, Jian; Wen, Yugeng; Wang, Ying; Wu, Weiping; Zhu, Daoben

    2009-09-07

    We report stable organic field-effect transistors (OFETs) based on pentacene. It was found that device stability strongly depends on the dielectric layer. Pentacene thin-film transistors based on the bare or polystyrene-modified SiO(2) gate dielectrics exhibit excellent electrical stabilities. In contrast, the devices with the octadecyltrichlorosilane (OTS)-treated SiO(2) dielectric layer showed the worst stabilities. The effects of the different dielectrics on the device stabilities were investigated. We found that the surface energy of the gate dielectric plays a crucial role in determining the stability of the pentacene thin film, device performance and degradation of electrical properties. Pentacene aggregation, phase transfer and film morphology are also important factors that influence the device stability of pentacene devices. As a result of the surface energy mismatch between the dielectric layer and organic semiconductor, the electronic performance was degraded. Moreover, when pentacene was deposited on the OTS-treated SiO(2) dielectric layer with very low surface energy, pentacene aggregation occurred and resulted in a dramatic decrease of device performance. These results demonstrated that the stable OFETs could be obtained by using pentacene as a semiconductor layer.

  19. Nanopolar reorientation in ferroelectric thin films

    International Nuclear Information System (INIS)

    Hubert, C.; Levy, J.; Rivkin, T. V.; Carlson, C.; Parilla, P. A.; Perkins, J. D.; Ginley, D. S.

    2001-01-01

    The influence of varying oxygen pressure P(O 2 ) during the growth of Ba 0.4 Sr 0.6 TiO 3 thin films is investigated using dielectric and local optical probes. A transition from in-plane to out-of-plane ferroelectricity is observed with increasing P(O 2 ). Signatures of in-plane and out-of-plane ferroelectricity are identified using dielectric response and time-resolved confocal scanning optical microscopy (TRCSOM). At the crossover pressure between in-plane and out-of-plane polarization (P c =85 mTorr), TRCSOM measurements reveal a soft, highly dispersive out-of-plane polarization that reorients in plane under modest applied electric fields. At higher deposition pressures, the out-of-plane polarization is hardened and is less dispersive at microwave frequencies, and the dielectric tuning is suppressed. Nanopolar reorientation is believed to be responsible for the marked increase in dielectric tuning at P(O 2 )=P c

  20. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A [Universite de Nantes - Institut des Materiaux Jean Rouxel, UMR CNRS 6502, 2, rue de la Houssiniere BP32229, 44322 Nantes Cedex (France); Borderon, C; Tacon, S Le; Averty, D; Gundel, H W [Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique, UPRES-EA 1770, IREENA, Universite de Nantes, 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex (France)], E-mail: Marie-Paule.Besland@cnrs-imn.fr

    2008-01-15

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}(BLT{sub 0,75}), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}. After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed.

  1. Improved Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Lewis, Carol R.; Cygan, Peter J.; Jow, T. Richard

    1994-01-01

    Dielectric films made from blends of some commercially available high-dielectric-constant cyanoresins with each other and with cellulose triacetate (CTA) have both high dielectric constants and high breakdown strengths. Dielectric constants as high as 16.2. Films used to produce high-energy-density capacitors.

  2. Nanocomposites of TiO2/cyanoethylated cellulose with ultra high dielectric constants

    International Nuclear Information System (INIS)

    Madusanka, Nadeesh; Shivareddy, Sai G; Hiralal, Pritesh; Choi, Youngjin; Amaratunga, Gehan A J; Eddleston, Mark D; Oliver, Rachel A

    2016-01-01

    A novel dielectric nanocomposite containing a high permittivity polymer, cyanoethylated cellulose (CRS) and TiO 2 nanoparticles was successfully prepared with different weight percentages (10%, 20% and 30%) of TiO 2 . The intermolecular interactions and morphology within the polymer nanocomposites were analysed. TiO 2 /CRS nanofilms on SiO 2 /Si wafers were used to form metal–insulator–metal type capacitors. Capacitances and loss factors in the frequency range of 1 kHz–1 MHz were measured. At 1 kHz CRS-TiO 2 nanocomposites exhibited ultra high dielectric constants of 118, 176 and 207 for nanocomposites with 10%, 20% and 30% weight of TiO 2 respectively, significantly higher than reported values of pure CRS (21), TiO 2 (41) and other dielectric polymer-TiO 2 nanocomposite films. Furthermore, all three CRS-TiO 2 nanocomposites show a loss factor <0.3 at 1 kHz and low leakage current densities (10 −6 –10 −7 A cm −2 ). Leakage was studied using conductive atomic force microscopy and it was observed that the leakage is associated with TiO 2 nanoparticles embedded in the CRS polymer matrix. A new class of ultra high dielectric constant hybrids using nanoscale inorganic dielectrics dispersed in a high permittivity polymer suitable for energy management applications is reported. (paper)

  3. Frequency and Temperature Dependent Dielectric Properties of Free-standing Strontium Titanate Thin Films.

    Science.gov (United States)

    Dalberth, Mark J.; Stauber, Renaud E.; Anderson, Britt; Price, John C.; Rogers, Charles T.

    1998-03-01

    We will report on the frequency and temperature dependence of the complex dielectric function of free-standing strontium titanate (STO) films. STO is an incipient ferroelectric with electric-field tunable dielectric properties of utility in microwave electronics. The films are grown epitaxially via pulsed laser deposition on a variety of substrates, including lanthanum aluminate (LAO), neodymium gallate (NGO), and STO. An initial film of yttrium barium cuprate (YBCO) is grown on the substrate, followed by deposition of the STO layer. Following deposition, the sacrificial YBCO layer is chemically etched away in dilute nitric acid, leaving the substrate and a released, free-standing STO film. Coplanar capacitor structures fabricated on the released films allow us to measure the dielectric response. We observe a peak dielectric function in excess of 5000 at 35K, change in dielectric constant of over a factor of 8 for 10Volt/micron electric fields, and temperature dependence above 50K that is very similar to bulk material. The dielectric loss shows two peaks, each with a thermally activated behavior, apparently arising from two types of polar defects. We will discuss the correlation between dielectric properties, growth conditions, and strain in the free-standing STO films.

  4. Thin films for precision optics

    International Nuclear Information System (INIS)

    Araujo, J.F.; Maurici, N.; Castro, J.C. de

    1983-01-01

    The technology of producing dielectric and/or metallic thin films for high precision optical components is discussed. Computer programs were developed in order to calculate and register, graphically, reflectance and transmittance spectra of multi-layer films. The technology of vacuum evaporation of several materials was implemented in our thin-films laboratory; various films for optics were then developed. The possibility of first calculate film characteristics and then produce the film is of great advantage since it reduces the time required to produce a new type of film and also reduces the cost of the project. (C.L.B.) [pt

  5. High-Efficiency Dielectric Metasurfaces for Polarization-Dependent Terahertz Wavefront Manipulation

    KAUST Repository

    Zhang, Huifang

    2017-11-30

    Recently, metasurfaces made up of dielectric structures have drawn enormous attentions in the optical and infrared regimes due to their high efficiency and designing freedom in manipulating light propagation. Such advantages can also be introduced to terahertz frequencies where efficient functional devices are still lacking. Here, polarization-dependent all-silicon terahertz dielectric metasurfaces are proposed and experimentally demonstrated. The metasurfaces are composed of anisotropic rectangular-shaped silicon pillars on silicon substrate. Each metasurface holds dual different functions depending on the incident polarizations. Furthermore, to suppress the reflection loss and multireflection effect in practical applications, a high-performance polarization-independent antireflection silicon pillar array is also proposed, which can be patterned at the other side of the silicon substrate. Such all-silicon dielectric metasurfaces are easy to fabricate and can be very promising in developing next-generation efficient, compact, and low-cost terahertz functional devices.

  6. Future directions of positron annihilation spectroscopy in low-k dielectric films

    International Nuclear Information System (INIS)

    Gidley, D.W.; Vallery, R.S.; Liu, M.; Peng, H.G.

    2007-01-01

    Positronium Annihilation Lifetime Spectroscopy (PALS) has become recognized in the microelectronics industry as one of only several methods capable of quantitatively characterizing engineered nanopores in next-generation (k < 2.2) interlayer dielectric (ILD) thin films. Successes and shortcomings of PALS to date will be assessed and compared with other methods of porosimetry such as ellipsometric and X-ray porosimetries (EP and XRP). A major theme in future low-k research focuses on the ability to integrate porous ILD's into chip fabrication; the vulnerability of porous dielectrics to etching, ashing, and chemical-mechanical polishing in process integration is delaying the introduction of ultra-low-k films. As device size approaches 45 nm the need to probe very small (sub-nanometer), semi-isolated pores beneath thin diffusion barriers is even more challenging. Depth-profiled PALS with its ability to determine a quantitative pore interconnection length and easily resolve 0.3 nm pores beneath diffusion barriers or in trench-patterned dielectrics should have a bright future in porous ILD research. The ability of PALS (and PAS in general) to deduce evolution and growth of pores with porosity should find broad applicability in the emerging field of high performance materials with strategically engineered nanopores. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Organic dielectrics in high voltage cables

    Energy Technology Data Exchange (ETDEWEB)

    Vermeer, J

    1962-03-01

    It appears that the limit has been reached in the applicability of oil-impregnated paper as the dielectric for ehv cables, as with rising voltages the prevention of conductor losses becomes increasingly difficult, while the dielectric losses of the insulation, increasing as the square of the voltage, contribute to a greater extent to the temperature rise of the conductor. The power transmitting capacity of ehv cables reaches a maximum at 500 to 600 kV for these reasons. Apart from artificial cooling, a substantial improvement can be obtained only with the use of insulating materials with much lower dielectric losses; these can moreover be applied with a smaller wall thickness, but this means higher field strengths. Synthetic polymer materials meet these requirements but can be used successfully only in the form of lapped film tapes impregnated with suitable liquids. The electrical properties of these heterogeneous dielectrics, in particular, their impulse breakdown strengths are studied in detail.

  8. Influence of Chemical Composition and Structure in Silicon Dielectric Materials on Passivation of Thin Crystalline Silicon on Glass.

    Science.gov (United States)

    Calnan, Sonya; Gabriel, Onno; Rothert, Inga; Werth, Matteo; Ring, Sven; Stannowski, Bernd; Schlatmann, Rutger

    2015-09-02

    In this study, various silicon dielectric films, namely, a-SiOx:H, a-SiNx:H, and a-SiOxNy:H, grown by plasma enhanced chemical vapor deposition (PECVD) were evaluated for use as interlayers (ILs) between crystalline silicon and glass. Chemical bonding analysis using Fourier transform infrared spectroscopy showed that high values of oxidant gases (CO2 and/or N2), added to SiH4 during PECVD, reduced the Si-H and N-H bond density in the silicon dielectrics. Various three layer stacks combining the silicon dielectric materials were designed to minimize optical losses between silicon and glass in rear side contacted heterojunction pn test cells. The PECVD grown silicon dielectrics retained their functionality despite being subjected to harsh subsequent processing such as crystallization of the silicon at 1414 °C or above. High values of short circuit current density (Jsc; without additional hydrogen passivation) required a high density of Si-H bonds and for the nitrogen containing films, additionally, a high N-H bond density. Concurrently high values of both Jsc and open circuit voltage Voc were only observed when [Si-H] was equal to or exceeded [N-H]. Generally, Voc correlated with a high density of [Si-H] bonds in the silicon dielectric; otherwise, additional hydrogen passivation using an active plasma process was required. The highest Voc ∼ 560 mV, for a silicon acceptor concentration of about 10(16) cm(-3), was observed for stacks where an a-SiOxNy:H film was adjacent to the silicon. Regardless of the cell absorber thickness, field effect passivation of the buried silicon surface by the silicon dielectric was mandatory for efficient collection of carriers generated from short wavelength light (in the vicinity of the glass-Si interface). However, additional hydrogen passivation was obligatory for an increased diffusion length of the photogenerated carriers and thus Jsc in solar cells with thicker absorbers.

  9. Disclosed dielectric and electromechanical properties of hydrogenated nitrile–butadiene dielectric elastomer

    International Nuclear Information System (INIS)

    Yang, Dan; Tian, Ming; Dong, Yingchao; Liu, Haoliang; Yu, Yingchun; Zhang, Liqun

    2012-01-01

    This paper presents a comprehensive study of the effects of acrylonitrile content, crosslink density and plasticization on the dielectric and electromechanical performances of hydrogenated nitrile–butadiene dielectric elastomer. It was found that by increasing the acrylonitrile content of hydrogenated nitrile–butadiene dielectric elastomer, the dielectric constant will be improved accompanied with a sharp decrease of electrical breakdown strength leading to a small actuated strain. At a fixed electric field, a high crosslink density increased the elastic modulus of dielectric elastomer, but it also enhanced the electrical breakdown strength leading to a high actuated strain. Adding a plasticizer into the dielectric elastomer decreased the dielectric constant and electrical breakdown strength slightly, but reduced the elastic modulus sharply, which was beneficial for obtaining a large strain at low electric field from the dielectric elastomer. The largest actuated strain of 22% at an electric field of 30 kV mm −1 without any prestrain was obtained. Moreover, the hydrogenated nitrile–butadiene dielectric actuator showed good history dependence. This proposed material has great potential to be an excellent dielectric elastomer. (paper)

  10. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  11. Evaluation of unencapsulated ceramic monolithic and MOS thin-film capacitors (25 to 3000C)

    International Nuclear Information System (INIS)

    Nance, W.R.

    1982-01-01

    Several commercial monolithic ceramic and thin-film MOS chip capacitors were evaluated for use in high temperature (300 0 C) geothermal instrumentation. Characteristics of the commonly used dielectric materials (NPO, X7R, BX) and temperature dependence of the insulation resistance are briefly discussed. Some ceramic capacitors with NPO dielectric materials had insulation resistances above 10 megohms at 300 0 C and less than 2% change in capacitance from 25 0 C to 300 0 C, while the X7R and BX dielectric materials exhibited insulation resistances below 10 megohm and changes in capacitance greater then 50%. The thin-film capacitors showed good stability at 300 0 C. However, during aging, bonds and bond pads presented a problem causing intermittently open circuits for some of the devices

  12. High dielectric permittivity elastomers from well-dispersed expanded graphite in low concentrations

    DEFF Research Database (Denmark)

    Daugaard, Anders Egede; Hassouneh, Suzan Sager; Kostrzewska, Malgorzata

    2013-01-01

    The development of elastomer materials with a high dielectric permittivity has attracted increased interest over the last years due to their use in for example dielectric electroactive polymers. For this particular use, both the electrically insulating properties - as well as the mechanical...

  13. Thin film silicon on silicon nitride for radiation hardened dielectrically isolated MISFET's

    International Nuclear Information System (INIS)

    Neamen, D.; Shedd, W.; Buchanan, B.

    1975-01-01

    The permanent ionizing radiation effects resulting from charge trapping in a silicon nitride isolation dielectric have been determined for a total ionizing dose up to 10 7 rads (Si). Junction FET's, whose active channel region is directly adjacent to the silicon-silicon nitride interface, were used to measure the effects of the radiation induced charge trapping in the Si 3 N 4 isolation dielectric. The JFET saturation current and channel conductance versus junction gate voltage and substrate voltage were characterized as a function of the total ionizing radiation dose. The experimental results on the Si 3 N 4 are compared to results on similar devices with SiO 2 dielectric isolation. The ramifications of using the silicon nitride for fabricating radiation hardened dielectrically isolated MIS devices are discussed

  14. New theory of effective work functions at metal/high-k dielectric interfaces : application to metal/high-k HfO2 and la2O 3 dielectric interfaces

    OpenAIRE

    Shiraishi, Kenji; Nakayama, Takashi; Akasaka, Yasushi; Miyazaki, Seiichi; Nakaoka, Takashi; Ohmori, Kenji; Ahmet, Parhat; Torii, Kazuyoshi; Watanabe, Heiji; Chikyow, Toyohiro; Nara, Yasuo; Iwai, Hiroshi; Yamada, Keisaku

    2006-01-01

    We have constructed a universal theory of the work functions at metal/high-k HfO2 and La2O3 dielectric interfaces by introducing a new concept of generalized charge neutrality levels. Our theory systematically reproduces the experimentally observed work functions of various gate metals on Hf-based high-k dielectrics, including the hitherto unpredictable behaviors of the work functions of p-metals. Our new concept provides effective guiding principles to achieving near-bandedge work functions ...

  15. High-Order Dielectric Metasurfaces for High-Efficiency Polarization Beam Splitters and Optical Vortex Generators

    Science.gov (United States)

    Guo, Zhongyi; Zhu, Lie; Guo, Kai; Shen, Fei; Yin, Zhiping

    2017-08-01

    In this paper, a high-order dielectric metasurface based on silicon nanobrick array is proposed and investigated. By controlling the length and width of the nanobricks, the metasurfaces could supply two different incremental transmission phases for the X-linear-polarized (XLP) and Y-linear-polarized (YLP) light with extremely high efficiency over 88%. Based on the designed metasurface, two polarization beam splitters working in high-order diffraction modes have been designed successfully, which demonstrated a high transmitted efficiency. In addition, we have also designed two vortex-beam generators working in high-order diffraction modes to create vortex beams with the topological charges of 2 and 3. The employment of dielectric metasurfaces operating in high-order diffraction modes could pave the way for a variety of new ultra-efficient optical devices.

  16. Quantitative nanometer-scale mapping of dielectric tunability

    Energy Technology Data Exchange (ETDEWEB)

    Tselev, Alexander [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Klein, Andreas [Technische Univ. Darmstadt (Germany); Gassmann, Juergen [Technische Univ. Darmstadt (Germany); Jesse, Stephen [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Li, Qian [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Kalinin, Sergei V. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Wisinger, Nina Balke [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2015-08-21

    Two scanning probe microscopy techniques—near-field scanning microwave microscopy (SMM) and piezoresponse force microscopy (PFM)—are used to characterize and image tunability in a thin (Ba,Sr)TiO3 film with nanometer scale spatial resolution. While sMIM allows direct probing of tunability by measurement of the change in the dielectric constant, in PFM, tunability can be extracted via electrostrictive response. The near-field microwave imaging and PFM provide similar information about dielectric tunability with PFM capable to deliver quantitative information on tunability with a higher spatial resolution close to 15 nm. This is the first time that information about the dielectric tunability is available on such length scales.

  17. New perovskite-related oxides having high dielectric constant ...

    Indian Academy of Sciences (India)

    Unknown

    static and dynamic random access memories, the static dielectric constant of the material. ¶Dedicated to .... 1100°C. It is also observed from the SEM pictures that the materials are highly dense .... Both these oxides merit attention for their.

  18. High resolution imaging of dielectric surfaces with an evanescent field optical microscope

    NARCIS (Netherlands)

    van Hulst, N.F.; Segerink, Franciscus B.; Bölger, B.

    1992-01-01

    An evanescent field optical microscope (EFOM) is presented which employs frustrated total internal reflection o­n a localized scale by scanning a dielectric tip in close proximity to a sample surface. High resolution images of dielectric gratings and spheres containing both topographic and

  19. Interface engineering in high-performance low-voltage organic thin-film transistors based on 2,7-dialkyl-[1]benzothieno[3,2-b][1]benzothiophenes.

    Science.gov (United States)

    Amin, Atefeh Y; Reuter, Knud; Meyer-Friedrichsen, Timo; Halik, Marcus

    2011-12-20

    We investigated two different (2,7-dialkyl-[1]benzothieno[3,2-b][1]benzothiophenes; C(n)-BTBT-C(n), where n = 12 or 13) semiconductors in low-voltage operating thin-film transistors. By choosing functional molecules in nanoscaled hybrid dielectric layers, we were able to tune the surface energy and improve device characteristics, such as leakage current and hysteresis. The dipolar nature of the self-assembled molecules led to a shift in the threshold voltage. All devices exhibited high charge carrier mobilities of 0.6-7.0 cm(2) V(-1) s(-1). The thin-film morphology of BTBT was studied by means of atomic force microscopy (AFM), presented a dependency upon the surface energy of the self-assembled monolayer (SAM) hybrid dielectrics but not upon the device performance. The use of C(13)-BTBT-C(13) on hybrid dielectrics of AlO(x) and a F(15)C(18)-phosphonic acid monolayer led to devices with a hole mobility of 1.9 cm(2) V(-1) s(-1) at 3 V, on/off ratio of 10(5), small device-device variation of mobility, and a threshold voltage of only -0.9 V, thus providing excellent characteristics for further integration. © 2011 American Chemical Society

  20. Analysis of transmittance properties in 1D hybrid dielectric photonic crystal containing superconducting thin films

    Science.gov (United States)

    Soltani, Osswa; Zaghdoudi, Jihene; Kanzari, Mounir

    2018-06-01

    By means of two fluid model and transfer matrix method (TMM), we investigate theoretically the transmittance properties of a defective hybrid dielectric-dielectric photonic crystal that contains a superconducting material as a defect layer. The considered hybrid photonic structure is: H(LH) 7(HLSLH) P H(LH) 7 , where H is the high refractive index dielectric, L is the low refractive index dielectric, S is the superconducting material and P is the repetitive number. The results show that the variation of the number and the positions of the transmissions modes depend strongly on the repetitive number P, the temperature T and the thickness of the layer S. An improvement of the spectral response is obtained with the exponential gradation of layer thicknesses dj =d0 + βejα , where d0 is the initial thickness of the layer j, α and β are two particular constants for each material. In addition, the effect of the incident angle for both transverse electric (TE) and transverse magnetic (TM) polarizations on the transmittance spectrum is discussed. As a result, we propose a tunable narrow stop-band polychromatic filter that covers the visible wavelength.

  1. Evolutionary search for new high-k dielectric materials: methodology and applications to hafnia-based oxides.

    Science.gov (United States)

    Zeng, Qingfeng; Oganov, Artem R; Lyakhov, Andriy O; Xie, Congwei; Zhang, Xiaodong; Zhang, Jin; Zhu, Qiang; Wei, Bingqing; Grigorenko, Ilya; Zhang, Litong; Cheng, Laifei

    2014-02-01

    High-k dielectric materials are important as gate oxides in microelectronics and as potential dielectrics for capacitors. In order to enable computational discovery of novel high-k dielectric materials, we propose a fitness model (energy storage density) that includes the dielectric constant, bandgap, and intrinsic breakdown field. This model, used as a fitness function in conjunction with first-principles calculations and the global optimization evolutionary algorithm USPEX, efficiently leads to practically important results. We found a number of high-fitness structures of SiO2 and HfO2, some of which correspond to known phases and some of which are new. The results allow us to propose characteristics (genes) common to high-fitness structures--these are the coordination polyhedra and their degree of distortion. Our variable-composition searches in the HfO2-SiO2 system uncovered several high-fitness states. This hybrid algorithm opens up a new avenue for discovering novel high-k dielectrics with both fixed and variable compositions, and will speed up the process of materials discovery.

  2. Development of a metrology method for composition and thickness of barium strontium titanate thin films

    International Nuclear Information System (INIS)

    Remmel, Thomas; Werho, Dennis; Liu, Ran; Chu, Peir

    1998-01-01

    Thin films of barium strontium titanate (BST) are being investigated as the charge storage dielectric in advanced memory devices, due to their promise for high dielectric constant. Since the capacitance of BST films is a function of both stoichiometry and thickness, implementation into manufacturing requires precise metrology methods to monitor both of these properties. This is no small challenge, considering the BST film thicknesses are 60 nm or less. A metrology method was developed based on X-ray Fluorescence and applied to the measurement of stoichiometry and thickness of BST thin films in a variety of applications

  3. High temperature measurements of the microwave dielectric properties of ceramics

    International Nuclear Information System (INIS)

    Baeraky, T.A.

    1999-06-01

    Equipment has been developed for the measurement of dielectric properties at high temperature from 25 to 1700 deg. C in the microwave frequency range 614.97 to 3620.66 MHz using the cavity perturbation technique, to measure the permittivity of a range of ceramic materials. The complex permittivities of the standard materials, water and methanol, were measured at low temperature and compared with the other published data. A statistical analysis was made for the permittivity measurements of water and methanol using sample holders of different diameter. Also the measurements of these materials were used to compare the simple perturbation equation with its modifications and alternation correction methods for sample shape and the holes at the two endplates of the cavity. The dielectric properties of solid materials were investigated from the permittivity measurements on powder materials, shown in table 4.7, using the dielectric mixture equations. Two kinds of ceramics, oxide and nitrides, were selected for the high temperature dielectric measurements in microwave frequency ranges. Pure zirconia, yttria-stabilised zirconia, and Magnesia-stabilised zirconia are the oxide ceramics while aluminium nitride and silicon nitride are the nitride ceramics. A phase transformation from monoclinic to tetragonal was observed in pure zirconia in terms of the complex permittivity measurements, and the conduction mechanism in three regions of temperature was suggested to be ionic in the first region and a mixture of ionic and electronic in the second. The phase transition disappeared with yttria-stabilised zirconia but it was observed with magnesia-stabilised zirconia. Yttria doped zirconia was fully stabilised while magnesia stabilised was partially stabilised zirconia. The dielectric property measurements of aluminium nitride indicated that there is a transition from AIN to AlON, which suggested that the external layer of the AIN which was exposed to the air, contains alumina. It was

  4. Tunability, dielectric, and piezoelectric properties of Ba{sub (1−x)}Ca{sub x}Ti{sub (1−y)}Zr{sub y}O{sub 3} ferroelectric thin films

    Energy Technology Data Exchange (ETDEWEB)

    Daumont, C. J. M., E-mail: christophe.daumont@univ-tours.fr; Le Mouellic, E.; Negulescu, B.; Wolfman, J. [Laboratoire GREMAN, UMR7347 CNRS, Faculté de Sciences et Techniques, Université François Rabelais, 37200 Tours (France); Simon, Q.; Payan, S.; Maglione, M. [Institute of Condensed Matter Chemistry of Bordeaux, ICMCB-CNRS, Université de Bordeaux, 33608 Pessac Cedex (France); Gardes, P.; Poveda, P. [STMicroelectronics, 10 rue Thalès de Milet, 37071 Tours Cedex (France)

    2016-03-07

    Tunable ferroelectric capacitors, which exhibit a decrease of the dielectric permittivity (ϵ) under electric field, are widely used in electronics for RF tunable applications (e.g., antenna impedance matching). Current devices use barium strontium titanate as the tunable dielectric, and the need for performance enhancement of the tunable element is the key for device improvement. We report here on libraries of Ba{sub 0.97}Ca{sub 0.03}Ti{sub 1−x}Zr{sub x}O{sub 3} thin films (0 ≤ x ≤ 27%) with a thickness of about 130 nm deposited on IrO{sub 2}/SiO{sub 2}/Si substrates using combinatorial pulsed laser deposition allowing for gradients of composition on one sample. A total of 600 capacitors on a single sample were characterized in order to statistically investigate the dielectric properties. We show that the tunabilty is maximum at intermediate compositions, reaching values up to 60% for an electric field of about 400 kV cm{sup −1}. We attribute the high tunability in the intermediate compositions to the paraelectric-ferroelectric phase transition, which is brought down to room temperature by the addition of Zr. In addition, the piezoelectric coefficient is found to be decreasing with increasing Zr content.

  5. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene-graphene composite layers for flexible thin film transistors with a polymer gate dielectric.

    Science.gov (United States)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-02-28

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene-graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene-graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm(2) V(-1) s(-1) and a threshold voltage of -0.7 V at V(gs) = -40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm(2) V(-1) s(-1) and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies.

  6. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    International Nuclear Information System (INIS)

    Wang, Xianghua; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen; Zhang, Guobing; Lu, Hongbo; Yuan, Miao; Qin, Mengzhi

    2015-01-01

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods

  7. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xianghua, E-mail: xhwang@hfut.edu.cn; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen, E-mail: lzhqiu@hfut.edu.cn; Zhang, Guobing; Lu, Hongbo [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Yuan, Miao; Qin, Mengzhi [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei 230009 (China)

    2015-01-14

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods.

  8. Electroactive and High Dielectric Folic Acid/PVDF Composite Film Rooted Simplistic Organic Photovoltaic Self-Charging Energy Storage Cell with Superior Energy Density and Storage Capability.

    Science.gov (United States)

    Roy, Swagata; Thakur, Pradip; Hoque, Nur Amin; Bagchi, Biswajoy; Sepay, Nayim; Khatun, Farha; Kool, Arpan; Das, Sukhen

    2017-07-19

    Herein we report a simplistic prototype approach to develop an organic photovoltaic self-charging energy storage cell (OPSESC) rooted with biopolymer folic acid (FA) modified high dielectric and electroactive β crystal enriched poly(vinylidene fluoride) (PVDF) composite (PFA) thin film. Comprehensive and exhaustive characterizations of the synthesized PFA composite films validate the proper formation of β-polymorphs in PVDF. Significant improvements of both β-phase crystallization (F(β) ≈ 71.4%) and dielectric constant (ε ≈ 218 at 20 Hz for PFA of 7.5 mass %) are the twosome realizations of our current study. Enhancement of β-phase nucleation in the composites can be thought as a contribution of the strong interaction of the FA particles with the PVDF chains. Maxwell-Wagner-Sillars (MWS) interfacial polarization approves the establishment of thermally stable high dielectric values measured over a wide temperature spectrum. The optimized high dielectric and electroactive films are further employed as an active energy storage material in designing our device named as OPSESC. Self-charging under visible light irradiation without an external biasing electrical field and simultaneous remarkable self-storage of photogenerated electrical energy are the two foremost aptitudes and the spotlight of our present investigation. Our as fabricated device delivers an impressively high energy density of 7.84 mWh/g and an excellent specific capacitance of 61 F/g which is superior relative to the other photon induced two electrode organic self-charging energy storage devices reported so far. Our device also proves the realistic utility with good recycling capability by facilitating commercially available light emitting diode.

  9. Soft Functional Silicone Elastomers with High Dielectric Permittivty: Simple Additives vs. Cross-Linked Synthesized Copolymers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Skov, Anne Ladegaard

    Though dielectric elastomers (DEs) have many favorable properties, the issue of high driving voltages limits the commercial viability of the technology. Improved actuation at lower voltages can be obtained by decreasing the Young’s modulus and/or decreasing the dielectric permittivity of the elas......Though dielectric elastomers (DEs) have many favorable properties, the issue of high driving voltages limits the commercial viability of the technology. Improved actuation at lower voltages can be obtained by decreasing the Young’s modulus and/or decreasing the dielectric permittivity...... of the elastomer. A decrease in Young’s modulus, however, is often accompanied by the loss of mechanical stability and thereby the lifetime of the DE whereas addition of high permittivity fillers such as metal oxides often increases Young’s modulus such that improved actuation is not accomplished. New soft...... silicone elastomers with high dielectric permittivity were prepared through the use of chloropropyl-functional silicones. One method was through the synthesis of modular cross-linkable chloropropyl-functional copolymers that allow for a high degree of chemical freedom such that a tuneable silicone...

  10. A dielectric approach to high temperature superconductivity

    International Nuclear Information System (INIS)

    Mahanty, J.; Das, M.P.

    1989-01-01

    The dielectric response of an electron-ion system to the presence of a pair of charges is investigated. From the nature of the dielectric function, it is shown that a strong attractive pair formation is possible depending on the dispersion of the ion branches. The latter brings a reduction to the sound velocity which is used as a criterion for the superconductivity. By solving the BCS equation with the above dielectric function, we obtain a reasonable value of T/sub c/. 17 refs., 1 fig

  11. Optical spectroscopy, optical conductivity, dielectric properties and new methods for determining the gap states of CuSe thin films

    International Nuclear Information System (INIS)

    Sakr, G.B.; Yahia, I.S.; Fadel, M.; Fouad, S.S.; Romcevic, N.

    2010-01-01

    Research highlights: → The structural, optical dispersion parameters and the Raman spectroscopy have been studied for CuSe thin films. → X-ray diffraction results indicate the amorphous nature of the thermally evaporated CuSe thin films. → The refractive index shows an anomalous dispersion at the lower wavelength (absorption region) and a normal dispersion at the higher wavelengths (transparent region). → The refractive index dispersion obeys the single oscillator model proposed by Wemple and DiDomenico WDD model and the single oscillator parameters were determined. → The band gap of CuSe thin films was determined by three novel methods i.e. (relaxation time, real and imaginary dielectric constant and real and imaginary optical conductivity) which in a good agreement with the Tauc band gap value. - Abstract: The paper describes the structural and optical properties of CuSe thin films. X-ray diffraction pattern indicates that CuSe thin film has an amorphous structure. Transmittance T(λ) and reflectance R(λ) measurements in the wavelength range (300-1700 nm) were used to calculate the refractive index n(λ), the absorption index and the optical dispersion parameters according to Wemple and Didomenico WDD model. The dispersion curve of the refractive index shows an anomalous dispersion in the absorption region and a normal dispersion in the transparent region. The optical bandgap has been estimated and confirmed by four different methods. The value for the direct bandgap for the as-deposited CuSe thin film approximately equals 2.7 eV. The Raman spectroscopy was used to identify and quantify the individual phases presented in the CuSe films.

  12. Direct Synthesis of Co-doped Graphene on Dielectric Substrates Using Solid Carbon Sources

    Institute of Scientific and Technical Information of China (English)

    Qi Wang; Pingping Zhang; Qiqi Zhuo; Xiaoxin Lv; Jiwei Wang; Xuhui Sun

    2015-01-01

    Direct synthesis of high-quality doped graphene on dielectric substrates without transfer is highly desired for simplified device processing in electronic applications.However,graphene synthesis directly on substrates suitable for device applications,though highly demanded,remains unattainable and challenging.Here,a simple and transfer-free synthesis of high-quality doped graphene on the dielectric substrate has been developed using a thin Cu layer as the top catalyst and polycyclic aromatic hydrocarbons as both carbon precursors and doping sources.N-doped and N,F-co-doped graphene have been achieved using TPB and F16Cu Pc as solid carbon sources,respectively.The growth conditions were systematically optimized and the as-grown doped graphene were well characterized.The growth strategy provides a controllable transfer-free route for high-quality doped graphene synthesis,which will facilitate the practical applications of graphene.

  13. Atomic scale engineering of HfO{sub 2}-based dielectrics for future DRAM applications

    Energy Technology Data Exchange (ETDEWEB)

    Dudek, Piotr

    2011-02-14

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO{sub 2} thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO{sub 2} (BaHfO{sub 3}) films and Ti-added BaHfO{sub 3} (BaHf{sub 0.5}Ti{sub 0.5}O{sub 3}) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO{sub 3} (k{proportional_to}38) and BaHf{sub 0.5}Ti{sub 0.5}O{sub 3} (k{proportional_to}90) with respect to monoclinic HfO{sub 2} (k{proportional_to}19). Meanwhile the CET values scaled down to 1 nm for BaHfO{sub 3} and {proportional_to}0.8 nm for BaHf{sub 0.5}Ti{sub 0.5}O{sub 3} with respect to HfO{sub 2} (CET=1.5 nm). The Hf{sup 4+} ions substitution in BaHfO{sub 3} by Ti{sup 4+} ions led to a significant decrease of thermal budget from 900 C for BaHfO{sub 3} to 700 C for BaHf{sub 0.5}Ti{sub 0.5}O{sub 3}. Future studies need to focus

  14. Core-satellite Ag@BaTiO3 nanoassemblies for fabrication of polymer nanocomposites with high discharged energy density, high breakdown strength and low dielectric loss.

    Science.gov (United States)

    Xie, Liyuan; Huang, Xingyi; Li, Bao-Wen; Zhi, Chunyi; Tanaka, Toshikatsu; Jiang, Pingkai

    2013-10-28

    Dielectric polymer nanocomposites with high dielectric constant have wide applications in high energy density electronic devices. The introduction of high dielectric constant ceramic nanoparticles into a polymer represents an important route to fabricate nanocomposites with high dielectric constant. However, the nanocomposites prepared by this method generally suffer from relatively low breakdown strength and high dielectric loss, which limit the further increase of energy density and energy efficiency of the nanocomposites. In this contribution, by using core-satellite structured ultra-small silver (Ag) decorated barium titanate (BT) nanoassemblies, we successfully fabricated high dielectric constant polymer nanocomposites with enhanced breakdown strength and lower dielectric loss in comparison with conventional polymer-ceramic particulate nanocomposites. The discharged energy density and energy efficiency are derived from the dielectric displacement-electric field loops of the polymer nanocomposites. It is found that, by using the core-satellite structured Ag@BT nanoassemblies as fillers, the polymer nanocomposites can not only have higher discharged energy density but also have high energy efficiency. The mechanism behind the improved electrical properties was attributed to the Coulomb blockade effect and the quantum confinement effect of the introduced ultra-small Ag nanoparticles. This study could serve as an inspiration to enhance the energy storage densities of dielectric polymer nanocomposites.

  15. Uncorrelated multiple conductive filament nucleation and rupture in ultra-thin highdielectric based resistive random access memory

    KAUST Repository

    Wu, Xing

    2011-08-29

    Resistive switching in transition metal oxides could form the basis for next-generation non-volatile memory (NVM). It has been reported that the current in the high-conductivity state of several technologically relevant oxide materials flows through localized filaments, but these filaments have been characterized only individually, limiting our understanding of the possibility of multiple conductive filaments nucleation and rupture and the correlation kinetics of their evolution. In this study, direct visualization of uncorrelated multiple conductive filaments in ultra-thin HfO2-based high-κ dielectricresistive random access memory (RRAM) device has been achieved by high-resolution transmission electron microscopy (HRTEM), along with electron energy loss spectroscopy(EELS), for nanoscale chemical analysis. The locations of these multiple filaments are found to be spatially uncorrelated. The evolution of these microstructural changes and chemical properties of these filaments will provide a fundamental understanding of the switching mechanism for RRAM in thin oxide films and pave way for the investigation into improving the stability and scalability of switching memory devices.

  16. AlGaN/GaN MISHEMTs with AlN gate dielectric grown by thermal ALD technique.

    Science.gov (United States)

    Liu, Xiao-Yong; Zhao, Sheng-Xun; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Zhang, Chun-Min; Lu, Hong-Liang; Wang, Peng-Fei; Zhang, David Wei

    2015-01-01

    Recently, AlN plasma-enhanced atomic layer deposition (ALD) passivation technique had been proposed and investigated for suppressing the dynamic on-resistance degradation behavior of high-electron-mobility transistors (HEMTs). In this paper, a novel gate dielectric and passivation technique for GaN-on-Si AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MISHEMTs) is presented. This technique features the AlN thin film grown by thermal ALD at 400°C without plasma enhancement. A 10.6-nm AlN thin film was grown upon the surface of the HEMT serving as the gate dielectric under the gate electrode and as the passivation layer in the access region at the same time. The MISHEMTs with thermal ALD AlN exhibit enhanced on/off ratio, reduced channel sheet resistance, reduction of gate leakage by three orders of magnitude at a bias of 4 V, reduced threshold voltage hysteresis of 60 mV, and suppressed current collapse degradation.

  17. A new soft dielectric silicone elastomer matrix with high mechanical integrity and low losses

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Daugaard, Anders Egede

    2015-01-01

    Though dielectric elastomers (DEs) have many favourable properties, the issue of high driving voltages limits the commercial viability of the technology. Driving voltage can be lowered by decreasing the Young's modulus and increasing the dielectric permittivity of silicone elastomers. A decrease...... in Young's modulus, however, is often accompanied by the loss of mechanical stability and thereby the lifetime of the DE. A new soft elastomer matrix, with no loss of mechanical stability and high dielectric permittivity, was prepared through the use of alkyl chloride-functional siloxane copolymers...

  18. Dielectric spectroscopy studies of low-disorder and low-dimensional materials

    OpenAIRE

    Tripathi, Pragya

    2016-01-01

    In this thesis we employ dielectric spectroscopy (in different implementations) to study the dielectric properties of different materials ranging from completely disordered supercooled liquids to low-disorder solids with only ratcheting reorientational motions, to low-dimensional systems such as thin films or needle-like crystals. The probed material properties include the electrical conductivity, the space-charge processes due to sample heterogeneities, molecular dynamics, hydrogen-bond dyna...

  19. (Ba+Sr)/Ti ratio dependence of the dielectric properties for (Ba0.5Sr0.5)TiO3 thin films prepared by ion beam sputtering

    Science.gov (United States)

    Yamamichi, Shintaro; Yabuta, Hisato; Sakuma, Toshiyuki; Miyasaka, Yoichi

    1994-03-01

    (Ba0.5Sr0.5)TiO3 thin films were prepared by ion beam sputtering from powder targets with (Ba+Sr)/Ti ratios ranging from 0.80 to 1.50. All of the perovskite (Ba,Sr)TiO3 films were single phase except for the film with a (Ba+Sr)/Ti ratio of 1.41. The dielectric constant values notably depended on the (Ba+Sr)/Ti ratio for films thicker than 70 nm. The highest dielectric constant of 580 was achieved for the 5% (Ba+Sr) rich film. This (Ba+Sr)/Ti ratio dependence was diminished by the thickness dependence for thinner films. The grain sizes for the 9% (Ba+Sr) rich film and for the 6% (Ba+Sr) poor film ranged from 70 to 100 nm and from 30 to 60 nm, respectively. This grain size difference could explain why slightly A-site rich (Ba,Sr)TiO3 films have a larger dielectric constant than A-site poor films.

  20. Investigation of the correlation between dielectric function, thickness and morphology of nano-granular ZnO very thin films

    Energy Technology Data Exchange (ETDEWEB)

    Gilliot, Mickaël, E-mail: mickael.gilliot@univ-reims.fr [Laboratoire d' Ingénierie et Sciences des Matériaux, Université de Reims Champagne-Ardenne (France); Hadjadj, Aomar [Laboratoire d' Ingénierie et Sciences des Matériaux, Université de Reims Champagne-Ardenne (France); Martin, Jérôme [Laboratoire de Nanotechnologie et d' Instrumentation Optique, Université de Technologie de Troyes (France)

    2015-12-31

    Thin nano-granular ZnO layers were prepared using a sol–gel synthesis and spin-coating deposition process with a thickness ranging between 20 and 120 nm. The complex dielectric function (ϵ) of the ZnO film was determined from spectroscopic ellipsometry measurements. Up to a critical thickness close to 60 nm, the magnitude of both the real and the imaginary parts of ϵ rapidly increases and then slowly tends to values closer to the bulk ZnO material. This trend suggests a drastic change in the film porosity at both sides of this critical thickness, due to the pre-heating and post-crystallization processes, as confirmed by additional characterization of the structure and the morphology of the ZnO films. - Highlights: • c-Axis oriented ZnO thin films were grown with different morphological states. • The morphology and structures are controlled by controlling the thickness. • The optical properties are correlated to morphological evolution. • Two growth behaviors and property evolutions are identified around a critical thickness.

  1. Electron-beam-induced conduction in dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Acris, F C; Davies, P M; Lewis, T J [University Coll. of North Wales, Bangor (UK). School of Electronic Engineering Science

    1976-03-14

    A model for the enhanced conduction induced in dielectric films under electron bombardment while electrically stressed is discussed. It is assumed that the beam produces a virtual electrode at the end of its range in the dielectric and, as a consequence, the induced conduction is shown to depend on the properties of that part of the dielectric beyond the range of the beam. This model has also been discussed recently by Nunes de Oliviera and Gross. In the present treatment, it is shown how the model permits investigation of beam scattering and carrier generation and recombination processes. Experiments on electron-bombardment-induced conduction of thin (72 to 360 nm) films of anodic tantalum oxide are reported and it is shown that the theoretical model provides a very satisfactory explanation of all features of the results including the apparent threshold energy for enhanced conduction.

  2. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  3. Nano-Photonic Structures for Light Trapping in Ultra-Thin Crystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Prathap Pathi

    2017-01-01

    Full Text Available Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a dense mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm and is slightly lower (by ~5% at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm silicon and just 1%–2% for thicker (>100 μm cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. This architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.

  4. High performance solution-deposited amorphous indium gallium zinc oxide thin film transistors by oxygen plasma treatment

    KAUST Repository

    Nayak, Pradipta K.

    2012-05-16

    Solution-deposited amorphous indium gallium zinc oxide (a-IGZO) thin film transistors(TFTs) with high performance were fabricated using O2-plasma treatment of the films prior to high temperature annealing. The O2-plasma treatment resulted in a decrease in oxygen vacancy and residual hydrocarbon concentration in the a-IGZO films, as well as an improvement in the dielectric/channel interfacial roughness. As a result, the TFTs with O2-plasma treated a-IGZO channel layers showed three times higher linear field-effect mobility compared to the untreated a-IGZO over a range of processing temperatures. The O2-plasma treatment effectively reduces the required processing temperature of solution-deposited a-IGZO films to achieve the required performance.

  5. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO₂ Gate Dielectrics by CF₄ Plasma Treatment.

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-05-17

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO₂ gate insulator and CF₄ plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO₂ gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm²/V∙s (without treatment) to 54.6 cm²/V∙s (with CF₄ plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO₂ gate dielectric has also been improved by the CF₄ plasma treatment. By applying the CF₄ plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device's immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF₄ plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO₂ gate dielectric, but also enhances the device's reliability.

  6. On the formation mechanisms of the diffuse atmospheric pressure dielectric barrier discharge in CVD processes of thin silica-like films

    International Nuclear Information System (INIS)

    Starostin, S A; Premkumar, P Antony; Creatore, M; Van Veldhuizen, E M; Van de Sanden, M C M; De Vries, H; Paffen, R M J

    2009-01-01

    Pathways of formation and temporal evolution of the diffuse dielectric barrier discharge at atmospheric pressure were experimentally studied in this work by means of optical (fast imaging camera) and electrical diagnostics. The chosen model system is relevant for applications of plasma-enhanced chemical vapor deposition of thin silica-like film on the polymeric substrate, from cost-efficient gas mixtures of Ar/N 2 /O 2 /hexamethyldisiloxane. It was found that the discharge can gradually experience the phases of homogeneous low current Townsend-like mode, local Townsend to glow transition and expanding high current density (∼0.7 A cm -2 ) glow-like mode. While the glow-like current spot occupies momentarily only a small part of the electrode area, its expanding behavior provides uniform treatment of the whole substrate surface. Alternatively, it was observed that a visually uniform discharge can be formed by the numerous microdischarges overlapping over the large electrode area.

  7. On the formation mechanisms of the diffuse atmospheric pressure dielectric barrier discharge in CVD processes of thin silica-like films

    Energy Technology Data Exchange (ETDEWEB)

    Starostin, S A; Premkumar, P Antony [Materials Innovation Institute (M2i), Mekelweg 2, 2600 GA Delft, The Netherland (Netherlands); Creatore, M; Van Veldhuizen, E M; Van de Sanden, M C M [Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven (Netherlands); De Vries, H; Paffen, R M J [FUJIFILM Manufacturing Europe B.V, PO Box 90156, Tilburg (Netherlands)

    2009-11-15

    Pathways of formation and temporal evolution of the diffuse dielectric barrier discharge at atmospheric pressure were experimentally studied in this work by means of optical (fast imaging camera) and electrical diagnostics. The chosen model system is relevant for applications of plasma-enhanced chemical vapor deposition of thin silica-like film on the polymeric substrate, from cost-efficient gas mixtures of Ar/N{sub 2}/O{sub 2}/hexamethyldisiloxane. It was found that the discharge can gradually experience the phases of homogeneous low current Townsend-like mode, local Townsend to glow transition and expanding high current density ({approx}0.7 A cm{sup -2}) glow-like mode. While the glow-like current spot occupies momentarily only a small part of the electrode area, its expanding behavior provides uniform treatment of the whole substrate surface. Alternatively, it was observed that a visually uniform discharge can be formed by the numerous microdischarges overlapping over the large electrode area.

  8. Structural and dielectric properties of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films grown by PLD

    Energy Technology Data Exchange (ETDEWEB)

    James, K. K.; Satish, B.; Jayaraj, M. K. [Nanophotonic and Optoelectronic Devices Laboratory, Department of Physics, Cochin University of Science and Technology, Kerala (India)

    2014-01-28

    Ferroelectric thin films of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} (BST) were deposited on Si/SiO{sub 2}/TiO{sub 2}/Pt (PtSi) substrate by pulsed laser deposition (PLD). Crystalline films with perovskite structure were obtained without post-deposition annealing. Phase purity of the deposited films was confirmed by x-ray diffraction. The lowest value of FWHM obtained for the film deposited at oxygen pressure 5.4×10{sup −4} mbar and substrate temperature 600°C, indicates the high crystallinity of the film. The room temperature dielectric constant at 100 kHz was 85. Butterfly loop, which is the characteristic of ferroelectric materials, was obtained in the regime of −4 to +4V. The leakage current density was nearly 9×10{sup −13} Acm{sup −2}.

  9. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO3 thin films

    Directory of Open Access Journals (Sweden)

    Huaping Wu

    2016-01-01

    Full Text Available The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO3 thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110 orientation leads to a lower symmetry and more complicated phase transition than the (111 orientation in BaTiO3 films. The increase of compressive strain will dramatically enhance the Curie temperature TC of (110-oriented BaTiO3 films, which matches well with previous experimental data. The polarization components experience a great change across the boundaries of different phases at room temperature in both (110- and (111-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.

  10. Broadband dielectric characterization of sapphire/TiOx/Ba₀.₃Sr₀.₇TiO₃ (111)-oriented thin films for the realization of a tunable interdigitated capacitor.

    Science.gov (United States)

    Ghalem, Areski; Ponchel, Freddy; Remiens, Denis; Legier, Jean-Francois; Lasri, Tuami

    2013-05-01

    A complete microwave characterization up to 67 GHz using specific coplanar waveguides was performed to determine the dielectric properties (permittivity, losses, and tunability) of sapphire/TiOx/Ba0.3Sr0.7TiO3 (BST) (111)-oriented thin films. To that end, BaxSr1-xTiO3 thin films were deposited by RF magnetron sputtering on sapphire (0001) substrate. To control the preferred (111) orientation, a TiOx buffer layer was deposited on sapphire. According to the detailed knowledge of the material properties, it has been possible to conceive, fabricate, and test interdigitated capacitors, the basic element for future microwave tunable applications. Retention of capacitive behavior up to 67 GHz and a tunability of 32% at 67 GHz at an applied voltage of 30 V (150 kV/cm) were observed. The Q-factor remains greater than 30 over the entire frequency band. The possibility of a complete characterization of the material for the realization of high-performance interdigitated capacitors opens the door to microwave device fabrication.

  11. Properties of electropolymerised polypyrrole thin film on silver

    Science.gov (United States)

    Jamadade, Shivaji A.; Puri, Vijaya

    2009-07-01

    This paper reports the properties of electropolymerised polypyrrole thin film on silver. The transmission, reflection, conductivity and dielectric behavior of polypyrrole coated silver has been studied in the 8-12 GHz frequency range of the electromagnetic spectrum. The polypyrrole thin film makes silver a better conductor for microwaves. The microwave conductivity is larger than the DC conductivity by many orders of magnitude. The real and imaginary part of dielectric constant increases in magnitude with increasing doping level and also it decreases in magnitude with increasing frequency.

  12. Highly absorbing Cu-In-O thin films for photovoltaic applications

    International Nuclear Information System (INIS)

    Khemiri, N.; Chaffar Akkari, F.; Kanzari, M.; Rezig, B.

    2008-01-01

    We report in this paper on the preparation and characterization of improved quality Cu-In-O films for use as a high-efficiency solar cell absorber. Samples were prepared via sequential thermal vacuum deposition of Cu and In or In and Cu (at 10 -5 mbar) on glass substrates heated at 150 deg. C. After what, the obtained binary systems (Cu/In or In/Cu) were annealed in air at 400 deg. C for 3h. These films were characterized for their structural, electrical and optical properties by using X-ray diffraction (XRD), electrical resistivity and optical (transmittance and reflectance) measurement techniques. The X-ray diffraction (XRD) patterns revealed the presence of CuO and In 2 O 3 phases. The absorption coefficient of Cu-In-O thin films (4.10 5 cm -1 ) is larger than 10 5 cm -1 for the In/Cu case and in the range of 10 4 -10 5 cm -1 for the Cu/In case in the visible spectral range. Direct optical band gaps of 1.40 and 1.52eV were found for the In/Cu and Cu/In cases, respectively. The complex dielectric constants of the Cu-In-O films have been calculated. It was found that the refractive index dispersion data obeyed the Wemple-Di Domenico single oscillator model, from which the dispersion parameters and the high-frequency dielectric constant were determined. The electric free carrier susceptibility and the ratio of the carrier concentration to the effective mass were estimated according to the model of Spitzer and Fan. The electrical measurements show a conversion from a metallic phase to the semiconductor phase by a switching in the electrical resistivity values at an annealing temperature of 275 deg. C. In both cases the samples were highly compensated

  13. Optical properties of WO3 thin films using surface plasmon resonance technique

    International Nuclear Information System (INIS)

    Paliwal, Ayushi; Sharma, Anjali; Gupta, Vinay; Tomar, Monika

    2014-01-01

    Indigenously assembled surface plasmon resonance (SPR) technique has been exploited to study the thickness dependent dielectric properties of WO 3 thin films. WO 3 thin films (80 nm to 200 nm) have been deposited onto gold (Au) coated glass prism by sputtering technique. The structural, optical properties and surface morphology of the deposited WO 3 thin films were studied using X-ray diffraction, UV-visible spectrophotometer, Raman spectroscopy, and Scanning electron microscopy (SEM). XRD analysis shows that all the deposited WO 3 thin films are exhibiting preferred (020) orientation and Raman data indicates that the films possess single phase monoclinic structure. SEM images reveal the variation in grain size with increase in thickness. The SPR reflectance curves of the WO 3 /Au/prism structure were utilized to estimate the dielectric properties of WO 3 thin films at optical frequency (λ = 633 nm). As the thickness of WO 3 thin film increases from 80 nm to 200 nm, the dielectric constant is seen to be decreasing from 5.76 to 3.42, while the dielectric loss reduces from 0.098 to 0.01. The estimated value of refractive index of WO 3 film is in agreement to that obtained from UV-visible spectroscopy studies. The strong dispersion in refractive index is observed with wavelength of incident laser light

  14. High performance solution processed zirconium oxide gate dielectric appropriate for low temperature device application

    Energy Technology Data Exchange (ETDEWEB)

    Hasan, Musarrat; Nguyen, Manh-Cuong; Kim, Hyojin; You, Seung-Won; Jeon, Yoon-Seok; Tong, Duc-Tai; Lee, Dong-Hwi; Jeong, Jae Kyeong; Choi, Rino, E-mail: rino.choi@inha.ac.kr

    2015-08-31

    This paper reports a solution processed electrical device with zirconium oxide gate dielectric that was fabricated at a low enough temperature appropriate for flexible electronics. Both inorganic dielectric and channel materials were synthesized in the same organic solvent. The dielectric constant achieved was 13 at 250 °C with a reasonably low leakage current. The bottom gate transistor devices showed the highest mobility of 75 cm{sup 2}/V s. The device is operated at low voltage with high-k dielectric with excellent transconductance and low threshold voltage. Overall, the results highlight the potential of low temperature solution based deposition in fabricating more complicated circuits for a range of applications. - Highlights: • We develop a low temperature inorganic dielectric deposition process. • We fabricate oxide semiconductor channel devices using all-solution processes. • Same solvent is used for dielectric and oxide semiconductor deposition.

  15. Inkjet-printed p-type nickel oxide thin-film transistor

    Science.gov (United States)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  16. Effects of Bi doping on dielectric and ferroelectric properties

    Indian Academy of Sciences (India)

    [Pb0.95(La1−Bi)0.05][Zr0.53Ti0.47]O3 (PLBZT) ferroelectric thin films have been synthesized on indium tin oxide (ITO)-coated glass by sol–gel processing. PLBZT thin films were annealed at a relatively low temperature of 550 °C in oxygen ambient. Effects of Bi doping on structure, dielectric and ferroelectric properties of ...

  17. Magnetically tunable dielectric, impedance and magnetoelectric response in MnFe{sub 2}O{sub 4}/(Pb{sub 1−x}Sr{sub x})TiO{sub 3} composites thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bala, Kanchan, E-mail: bala.kanchan1987@gmail.com [Department of Physics, Himachal Pradesh University, Shimla 171005 (India); Kotnala, R.K. [CSIR, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi 110012 (India); Negi, N.S., E-mail: nsn_phy_hpu@yahoo.com [Department of Physics, Himachal Pradesh University, Shimla 171005 (India)

    2017-02-15

    We have synthesized piezomagnetic–piezoelectric composites thin films MnFe{sub 2}O{sub 4}/(Pb{sub 1−x}Sr{sub x})TiO{sub 3}, where x=0.1, 0.2, and 0.3, using the metalorganic deposition (MOD) reaction method. The structural and microstructural analysis using the X-ray diffraction (XRD), AFM, and SEM reveals the presence of homogenous growth of both pervoskite and spinel phases in the composite films. Our results show that all the composites films exhibit good multiferroic as well as considerable magnetoelectric coupling. The impedance (Z′ and Z″) and electrical modulus (M′ and M″) Nyquist plots show distinct electrical responses with the magnetic field. Our analyses suggest that this electrical response arises due to the coexistence of the high resistive phase and the comparatively conductive phase in the MFO/PST composite films. The maximum magnetoelectric coefficient (α) is found to be 4.29 V Oe{sup −1} cm{sup −1} and 2.82 V Oe{sup −1} cm{sup −1} for compositions x=0.1 and 0.2. These values are substantially larger than those reported for bilayer composites thin films in literature and make them interesting for room temperature device applications. - Highlights: • Influence of Sr doping on multiferroic and magnetoelectric properties composites thin films of MnFe{sub 2}O{sub 4} and (Pb, Sr)TiO{sub 3}. • Dielectric constant and dielectric loss with application of magnetic field. • Magnetically tunable AC electrical properties. • Magnetoelectric coupling in MnFe{sub 2}O{sub 4}/(Pb, Sr)TiO{sub 3} composite films by passive method.

  18. Interface Engineering and Gate Dielectric Engineering for High Performance Ge MOSFETs

    Directory of Open Access Journals (Sweden)

    Jiabao Sun

    2015-01-01

    Full Text Available In recent years, germanium has attracted intensive interests for its promising applications in the microelectronics industry. However, to achieve high performance Ge channel devices, several critical issues still have to be addressed. Amongst them, a high quality gate stack, that is, a low defect interface layer and a dielectric layer, is of crucial importance. In this work, we first review the existing methods of interface engineering and gate dielectric engineering and then in more detail we discuss and compare three promising approaches (i.e., plasma postoxidation, high pressure oxidation, and ozone postoxidation. It has been confirmed that these approaches all can significantly improve the overall performance of the metal-oxide-semiconductor field effect transistor (MOSFET device.

  19. Enhanced performance in capacitive force sensors using carbon nanotube/polydimethylsiloxane nanocomposites with high dielectric properties

    Science.gov (United States)

    Jang, Hyeyoung; Yoon, Hyungsuk; Ko, Youngpyo; Choi, Jaeyoo; Lee, Sang-Soo; Jeon, Insu; Kim, Jong-Ho; Kim, Heesuk

    2016-03-01

    Force sensors have attracted tremendous attention owing to their applications in various fields such as touch screens, robots, smart scales, and wearable devices. The force sensors reported so far have been mainly focused on high sensitivity based on delicate microstructured materials, resulting in low reproducibility and high fabrication cost that are limitations for wide applications. As an alternative, we demonstrate a novel capacitive-type force sensor with enhanced performance owing to the increased dielectric properties of elastomers and simple sensor structure. We rationally design dielectric elastomers based on alkylamine modified-multi-walled carbon nanotube (MWCNT)/polydimethylsiloxane (PDMS) composites, which have a higher dielectric constant than pure PDMS. The alkylamine-MWCNTs show excellent dispersion in a PDMS matrix, thus leading to enhanced and reliable dielectric properties of the composites. A force sensor array fabricated with alkylamine-MWCNT/PDMS composites presents an enhanced response due to the higher dielectric constant of the composites than that of pure PDMS. This study is the first to report enhanced performance of capacitive force sensors by modulating the dielectric properties of elastomers. We believe that the disclosed strategy to improve the sensor performance by increasing the dielectric properties of elastomers has great potential in the development of capacitive force sensor arrays that respond to various input forces.Force sensors have attracted tremendous attention owing to their applications in various fields such as touch screens, robots, smart scales, and wearable devices. The force sensors reported so far have been mainly focused on high sensitivity based on delicate microstructured materials, resulting in low reproducibility and high fabrication cost that are limitations for wide applications. As an alternative, we demonstrate a novel capacitive-type force sensor with enhanced performance owing to the increased

  20. Chemical mechanical polishing of BTO thin film for vertical sidewall patterning of high-density memory capacitor

    International Nuclear Information System (INIS)

    Kim, Nam-Hoon; Ko, Pil-Ju; Seo, Yong-Jin; Lee, Woo-Sun

    2006-01-01

    Most high-k materials cannot to be etched easily. Problems such as low etch rate, poor sidewall angle, plasma damage, and process complexity have emerged in high-density DRAM fabrication. Chemical mechanical polishing (CMP) by the damascene process has been used to pattern high-k materials for high-density capacitor. Barium titanate (BTO) thin film, a typical high-k material, was polished with three types of silica slurry having different pH values. Sufficient removal rate with adequate selectivity to realize the pattern mask of tetra-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle was obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. Planarization was also achieved for the subsequent multilevel processes. Our new CMP approach will provide a guideline for effective patterning of high-k materials by CMP

  1. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  2. The Effects of Postprocessing on Physical and Solution Deposition of Complex Oxide Thin Films for Tunable Applications

    Science.gov (United States)

    2016-02-01

    BST film capacitor devices were fabricated using physical and chemical solution deposition techniques. The typical dielectric constant of the...electrode loss, and the parallel resistor- capacitor circuit represents the capacitance and the dielectric loss, assuming lead inductance is...Thin barium strontium titanate (BST) films are being developed as dielectric film for use in tunable radio frequency (RF)/microwave applications. Thin

  3. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene–graphene composite layers for flexible thin film transistors with a polymer gate dielectric

    International Nuclear Information System (INIS)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-01-01

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene–graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene–graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm 2  V −1  s −1 and a threshold voltage of −0.7 V at V gs = −40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm 2  V −1  s −1 and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies. (paper)

  4. Do dielectric nanostructures turn metallic in high-electric dc fields?

    Science.gov (United States)

    Silaeva, E P; Arnoldi, L; Karahka, M L; Deconihout, B; Menand, A; Kreuzer, H J; Vella, A

    2014-11-12

    Three-dimensional dielectric nanostructures have been analyzed using field ion microscopy (FIM) to study the electric dc field penetration inside these structures. The field is proved to be screened within a few nanometers as theoretically calculated taking into account the high-field impact ionization process. Moreover, the strong dc field of the order of 0.1 V/Å at the surface inside a dielectric nanostructure modifies its band structure leading to a strong band gap shrinkage and thus to a strong metal-like optical absorption near the surface. This metal-like behavior was theoretically predicted using first-principle calculations and experimentally proved using laser-assisted atom probe tomography (APT). This work opens up interesting perspectives for the study of the performance of all field-effect nanodevices, such as nanotransistor or super capacitor, and for the understanding of the physical mechanisms of field evaporation of dielectric nanotips in APT.

  5. Zirconium titanate thin film prepared by surface sol-gel process and effects of thickness on dielectric property

    CERN Document Server

    Kim, C H

    2002-01-01

    Single phase of multicomponent oxide ZrTiO sub 4 film could be prepared through surface sol-gel route simply by coating the mixture of 100mM zirconium butoxide and titanium butoxide on Pt/Ti/SiO sub 2 /Si(100) substrate, following pyrolysis at 450 .deg. C, and annealing it at 770 .deg. C. The dielectric constant of the film was reduced as the film thickness decreased due to of the interfacial effects caused by layer/electrode and a few voids inside the multilayer. However, the dielectric property was independent of applied dc bias sweeps voltage (-2 to +2 V). The dielectric constant of bulk film, 31.9, estimated using series-connected capacitor model was independent of film thickness and frequency in the measurement range, but theoretical interfacial thickness, t sub i , was dependent on the frequency. It reached a saturated t sub i value, 6.9 A, at high frequency by extraction of some capacitance component formed at low frequency range. The dielectric constant of bulk ZrTiO sub 4 pellet-shaped material was 3...

  6. Lattices of dielectric resonators

    CERN Document Server

    Trubin, Alexander

    2016-01-01

    This book provides the analytical theory of complex systems composed of a large number of high-Q dielectric resonators. Spherical and cylindrical dielectric resonators with inferior and also whispering gallery oscillations allocated in various lattices are considered. A new approach to S-matrix parameter calculations based on perturbation theory of Maxwell equations, developed for a number of high-Q dielectric bodies, is introduced. All physical relationships are obtained in analytical form and are suitable for further computations. Essential attention is given to a new unified formalism of the description of scattering processes. The general scattering task for coupled eigen oscillations of the whole system of dielectric resonators is described. The equations for the  expansion coefficients are explained in an applicable way. The temporal Green functions for the dielectric resonator are presented. The scattering process of short pulses in dielectric filter structures, dielectric antennas  and lattices of d...

  7. Terahertz polarization converter based on all-dielectric high birefringence metamaterial with elliptical air holes

    KAUST Repository

    Zi, Jianchen

    2018-02-15

    Metamaterials have been widely applied in the polarization conversion of terahertz (THz) waves. However, common plasmonic metamaterials usually work as reflective devices and have low transmissions. All-dielectric metamaterials can overcome these shortcomings. An all-dielectric metamaterial based on silicon with elliptical air holes is reported to achieve high artificial birefringence at THz frequencies. Simulations show that with appropriate structural parameters the birefringence of the dielectric metamaterial can remain flat and is above 0.7 within a broad band. Moreover, the metamaterial can be designed as a broadband quarter wave plate. A sample metamaterial was fabricated and tested to prove the validity of the simulations, and the sample could work as a quarter wave plate at 1.76 THz. The all-dielectric metamaterial that we proposed is of great significance for high performance THz polarization converters.

  8. Effect of an Interfacial Layer on Electron Tunneling through Atomically Thin Al2O3 Tunnel Barriers.

    Science.gov (United States)

    Wilt, Jamie; Sakidja, Ridwan; Goul, Ryan; Wu, Judy Z

    2017-10-25

    Electron tunneling through high-quality, atomically thin dielectric films can provide a critical enabling technology for future microelectronics, bringing enhanced quantum coherent transport, fast speed, small size, and high energy efficiency. A fundamental challenge is in controlling the interface between the dielectric and device electrodes. An interfacial layer (IL) will contain defects and introduce defects in the dielectric film grown atop, preventing electron tunneling through the formation of shorts. In this work, we present the first systematic investigation of the IL in Al 2 O 3 dielectric films of 1-6 Å's in thickness on an Al electrode. We integrated several advanced approaches: molecular dynamics to simulate IL formation, in situ high vacuum sputtering atomic layer deposition (ALD) to synthesize Al 2 O 3 on Al films, and in situ ultrahigh vacuum scanning tunneling spectroscopy to probe the electron tunneling through the Al 2 O 3 . The IL had a profound effect on electron tunneling. We observed a reduced tunnel barrier height and soft-type dielectric breakdown which indicate that defects are present in both the IL and in the Al 2 O 3 . The IL forms primarily due to exposure of the Al to trace O 2 and/or H 2 O during the pre-ALD heating step of fabrication. As the IL was systematically reduced, by controlling the pre-ALD sample heating, we observed an increase of the ALD Al 2 O 3 barrier height from 0.9 to 1.5 eV along with a transition from soft to hard dielectric breakdown. This work represents a key step toward the realization of high-quality, atomically thin dielectrics with electron tunneling for the next generation of microelectronics.

  9. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  10. Pulsed laser deposition of oxide gate dielectrics for pentacene organic field-effect transistors

    International Nuclear Information System (INIS)

    Yaginuma, S.; Yamaguchi, J.; Itaka, K.; Koinuma, H.

    2005-01-01

    We have fabricated Al 2 O 3 , LaAlO 3 (LAO), CaHfO 3 (CHO) and CaZrO 3 (CZO) thin films for the dielectric layers of field-effect transistors (FETs) by pulsed laser deposition (PLD). The films exhibited very smooth surfaces with root-mean-squares (rms) roughnesses of ∼1.3 A as evaluated by using atomic force microscopy (AFM). The breakdown electric fields of Al 2 O 3 , LAO, CHO and CZO films were 7, 6, 10 and 2 MV/cm, respectively. The magnitude of the leak current in each film was low enough to operate FET. We performed a comparative study of pentacene FET fabricated using these oxide dielectrics as gate insulators. High field-effect mobility of 1.4 cm 2 /V s and on/off current ratio of 10 7 were obtained in the pentacene FET using LAO gate insulating film. Use of the LAO films as gate dielectrics has been found to suppress the hysteresis of pentacene FET operations. The LAO films are relevant to the dielectric layer of organic FETs

  11. High voltage capacitor design and the determination of solid dielectric voltage breakdown

    International Nuclear Information System (INIS)

    Hutapea, S.

    1976-01-01

    The value of the external field intensity serves as an electrical insulating material and is a physical characteristic of the substance. Capacitor discharge in the dielectric medium are experimentally investigated. The high voltage power supply and other instrument needed are briefly discussed. Capacitors with working voltage of 30.000 volt and the plastic being used for dielectrics in the capacitors are also discussed. (author)

  12. Optical properties of WO{sub 3} thin films using surface plasmon resonance technique

    Energy Technology Data Exchange (ETDEWEB)

    Paliwal, Ayushi; Sharma, Anjali; Gupta, Vinay, E-mail: drguptavinay@gmail.com, E-mail: vgupta@physics.du.ac.in [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Tomar, Monika [Department of Physics, Miranda House, University of Delhi, Delhi 110007 (India)

    2014-01-28

    Indigenously assembled surface plasmon resonance (SPR) technique has been exploited to study the thickness dependent dielectric properties of WO{sub 3} thin films. WO{sub 3} thin films (80 nm to 200 nm) have been deposited onto gold (Au) coated glass prism by sputtering technique. The structural, optical properties and surface morphology of the deposited WO{sub 3} thin films were studied using X-ray diffraction, UV-visible spectrophotometer, Raman spectroscopy, and Scanning electron microscopy (SEM). XRD analysis shows that all the deposited WO{sub 3} thin films are exhibiting preferred (020) orientation and Raman data indicates that the films possess single phase monoclinic structure. SEM images reveal the variation in grain size with increase in thickness. The SPR reflectance curves of the WO{sub 3}/Au/prism structure were utilized to estimate the dielectric properties of WO{sub 3} thin films at optical frequency (λ = 633 nm). As the thickness of WO{sub 3} thin film increases from 80 nm to 200 nm, the dielectric constant is seen to be decreasing from 5.76 to 3.42, while the dielectric loss reduces from 0.098 to 0.01. The estimated value of refractive index of WO{sub 3} film is in agreement to that obtained from UV-visible spectroscopy studies. The strong dispersion in refractive index is observed with wavelength of incident laser light.

  13. Dielectric characteristics of PZT 95/5 ferroelectric ceramics at high pressures

    International Nuclear Information System (INIS)

    Spears, R.K.

    1978-01-01

    The room temperature dielectric properties of a ferroelectric ceramic having a nominal composition of 95 atomic percent lead zirconate and 5 atomic percent lead titanate (designated as PZT 95/5) with a niobium dopant were examined at high hydrostatic pressures using a tetrahedral anvil apparatus. This ceramic has practical applications as a power source in which large quantities of charge are released by dynamic (shock wave) depolarization. Numerous mathematical models of this process have been proposed; however, the use of models has been limited because of the lack of high pressure electrical properties. This study attempted to provide these data on PZT 95/5 by determining the small signal and high electric field dielectric properties at pressures over 4 GPa

  14. HIGH-GRADIENT, HIGH-TRANSFORMER-RATIO, DIELECTRIC WAKE FIELD ACCELERATOR

    Energy Technology Data Exchange (ETDEWEB)

    Hirshfield, Jay L

    2012-04-12

    The Phase I work reported here responds to DoE'ss stated need "...to develop improved accelerator designs that can provide very high gradient (>200 MV/m for electrons...) acceleration of intense bunches of particles." Omega-P's approach to this goal is through use of a ramped train of annular electron bunches to drive a coaxial dielectric wakefield accelerator (CDWA) structure. This approach is a direct extension of the CDWA concept from acceleration in wake fields caused by a single drive bunch, to the more efficient acceleration that we predict can be realized from a tailored (or ramped) train of several drive bunches. This is possible because of a much higher transformer ratio for the latter. The CDWA structure itself has a number of unique features, including: a high accelerating gradient G, potentially with G > 1 GeV/m; continuous energy coupling from drive to test bunches without transfer structures; inherent transverse focusing forces for particles in the accelerated bunch; highly stable motion of high charge annular drive bunches; acceptable alignment tolerances for a multi-section system. What is new in the present approach is that the coaxial dielectric structure is now to be energized by-not one-but by a short train of ramped annular-shaped drive bunches moving in the outer coaxial channel of the structure. We have shown that this allows acceleration of an electron bunch traveling along the axis in the inner channel with a markedly higher transformer ratio T than for a single drive bunch. As described in this report, the structure will be a GHz-scale prototype with cm-scale transverse dimensions that is expected to confirm principles that can be applied to the design of a future THz-scale high gradient (> 500 MV/m) accelerator with mm-scale transverse dimensions. We show here a new means to significantly increase the transformer ratio T of the device, and thereby to significantly improve its suitability as a flexible and effective component in

  15. Dielectric elastomers, with very high dielectric permittivity, based on silicone and ionic interpenetrating networks

    DEFF Research Database (Denmark)

    Yu, Liyun; Madsen, Frederikke Bahrt; Hvilsted, Søren

    2015-01-01

    permittivity and the Young's modulus of the elastomer. One system that potentially achieves this involves interpenetrating polymer networks (IPNs), based on commercial silicone elastomers and ionic networks from amino- and carboxylic acid-functional silicones. The applicability of these materials as DEs...... are obtained while dielectric breakdown strength and Young's modulus are not compromised. These good overall properties stem from the softening effect and very high permittivity of ionic networks – as high as ε′ = 7500 at 0.1 Hz – while the silicone elastomer part of the IPN provides mechanical integrity...

  16. Building a Better Capacitor with Thin-Film Atomic Layer Deposition Processing

    Energy Technology Data Exchange (ETDEWEB)

    Pike, Christopher [North Seattle College, WA (United States)

    2015-08-28

    The goal of this research is to determine procedures for creating ultra-high capacity supercapacitors by using nanofabrication techniques and high k-value dielectrics. One way to potentially solve the problem of climate change is to switch the source of energy to a source that doesn’t release many tons of greenhouse gases, gases which cause global warming, into the Earth’s atmosphere. These trap in more heat from the Sun’s solar energy and cause global temperatures to rise. Atomic layer deposition will be used to create a uniform thin-film of dielectric to greatly enhance the abilities of our capacitors and will build them on the nanoscale.

  17. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Huaping, E-mail: wuhuaping@gmail.com, E-mail: hpwu@zjut.edu.cn [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); State Key Laboratory of Structural Analysis for Industrial Equipment, Dalian University of Technology, Dalian 116024 (China); Ma, Xuefu; Zhang, Zheng; Zeng, Jun; Chai, Guozhong [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); Wang, Jie [Department of Engineering Mechanics, School of Aeronautics and Astronautics, Zhejiang University, Hangzhou 310027 (China)

    2016-01-15

    The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110) orientation leads to a lower symmetry and more complicated phase transition than the (111) orientation in BaTiO{sub 3} films. The increase of compressive strain will dramatically enhance the Curie temperature T{sub C} of (110)-oriented BaTiO{sub 3} films, which matches well with previous experimental data. The polarization components experience a great change across the boundaries of different phases at room temperature in both (110)- and (111)-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.

  18. High-pressure cell for simultaneous dielectric and neutron spectroscopy

    Science.gov (United States)

    Sanz, Alejandro; Hansen, Henriette Wase; Jakobsen, Bo; Pedersen, Ib H.; Capaccioli, Simone; Adrjanowicz, Karolina; Paluch, Marian; Gonthier, Julien; Frick, Bernhard; Lelièvre-Berna, Eddy; Peters, Judith; Niss, Kristine

    2018-02-01

    In this article, we report on the design, manufacture, and testing of a high-pressure cell for simultaneous dielectric and neutron spectroscopy. This cell is a unique tool for studying dynamics on different time scales, from kilo- to picoseconds, covering universal features such as the α relaxation and fast vibrations at the same time. The cell, constructed in cylindrical geometry, is made of a high-strength aluminum alloy and operates up to 500 MPa in a temperature range between roughly 2 and 320 K. In order to measure the scattered neutron intensity and the sample capacitance simultaneously, a cylindrical capacitor is positioned within the bore of the high-pressure container. The capacitor consists of two concentric electrodes separated by insulating spacers. The performance of this setup has been successfully verified by collecting simultaneous dielectric and neutron spectroscopy data on dipropylene glycol, using both backscattering and time-of-flight instruments. We have carried out the experiments at different combinations of temperature and pressure in both the supercooled liquid and glassy state.

  19. High-k 3D-barium titanate foam/phenolphthalein poly(ether sulfone)/cyanate ester composites with frequency-stable dielectric properties and extremely low dielectric loss under reduced concentration of ceramics

    Science.gov (United States)

    Zheng, Longhui; Yuan, Li; Guan, Qingbao; Liang, Guozheng; Gu, Aijuan

    2018-01-01

    Higher dielectric constant, lower dielectric loss and better frequency stability have been the developing trends for high dielectric constant (high-k) materials. Herein, new composites have been developed through building unique structure by using hyperbranched polysiloxane modified 3D-barium titanate foam (BTF) (BTF@HSi) as the functional fillers and phenolphthalein poly(ether sulfone) (cPES)/cyanate ester (CE) blend as the resin matrix. For BTF@HSi/cPES/CE composite with 34.1 vol% BTF, its dielectric constant at 100 Hz is as high as 162 and dielectric loss is only 0.007; moreover, the dielectric properties of BTF@HSi/cPES/CE composites exhibit excellent frequency stability. To reveal the mechanism behind these attractive performances of BTF@HSi/cPES/CE composites, three kinds of composites (BTF/CE, BTF/cPES/CE, BTF@HSi/CE) were prepared, their structure and integrated performances were intensively investigated and compared with those of BTF@HSi/cPES/CE composites. Results show that the surface modification of BTF is good for preparing composites with improved thermal stability; while introducing flexible cPES to CE is beneficial to fabricate composites with good quality through effectively blocking cracks caused by the stress concentration, and then endowing the composites with good dielectric properties at reduced concentration of ceramics.

  20. Solid thin film materials for use in thin film charge-coupled devices

    International Nuclear Information System (INIS)

    Lynch, S.J.

    1983-01-01

    Solid thin films deposited by vacuum deposition were evaluated to ascertain their effectiveness for use in the manufacturing of charge-coupled devices (CCDs). Optical and electrical characteristics of tellurium and Bi 2 Te 3 solid thin films were obtained in order to design and to simulate successfully the operation of thin film (TF) CCDs. In this article some of the material differences between single-crystal material and the island-structured thin film used in TFCCDs are discussed. The electrical parameters were obtained and tabulated, e.g. the mobility, conductivity, dielectric constants, permittivity, lifetime of holes and electrons in the thin films and drift diffusion constants. The optical parameters were also measured and analyzed. After the design was complete, experimental TFCCDs were manufactured and were successfully operated utilizing the aforementioned solid thin films. (Auth.)

  1. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  2. PREFACE: Dielectrics 2009: Measurement Analysis and Applications

    Science.gov (United States)

    Vaughan, Alun; Williams, Graham

    2009-07-01

    2001 the Annual Meetings focused on numerous topics, including relaxation and conduction processes in liquids, solids, liquid crystals, synthetic polymers and biopolymers, piezoelectric materials, electrets and ferroelectrets, interfacial phenomena, high field conduction and breakdown phenomena in solids, liquids and gases and, importantly, the remarkable developments in dielectric instrumentation during this period. These activities reflected the need, and willingness, to move dielectrics researches with the times. As examples of the variety and diversity of these meetings we may refer briefly to the 1981, 1989 and 1996 Meetings. The 1981 Oxford Meeting on High Field Phenomena in Dielectrics included strong themes on fundamental and practical effects of high E-fields on the dielectric and conduction behaviour of liquids and solids, electrical treeing and dielectric breakdown, non-linear dielectric effects, electrets, thin-film devices and electro-rheology. The late 1980's had seen large initiatives in the UK and globally in the general area of Molecular Electronics so, in timely fashion, this was the subject of the 1989 Meeting in Bangor. The 1996 Smart Dielectrics Meeting at Canterbury reported subsequent advances in designer materials having electro-responsive and electro-optical properties. The programme concerned electro- and photo-active materials, mainly organic, in the form of polar dielectrics, polyelectrolytes, organic semi- and photo-conductors, photo-refractive polymer films, organic ferroelectric films, liquid crystalline polymer films, piezo- and pyro-electric polymer films, electroluminescent polymers, electro-rheological fluids and non-linear optical polymer films as described by leading international scientists. The physico-chemical functions of the materials were demonstrated and interpreted in terms of fundamental molecular properties. An Archive, containing full details of all the Meetings of the DDG and the Dielectrics Society, has been placed on

  3. High Dielectric Low Loss Transparent Glass Material Based Dielectric Resonator Antenna with Wide Bandwidth Operation

    Science.gov (United States)

    Mehmood, Arshad; Zheng, Yuliang; Braun, Hubertus; Hovhannisyan, Martun; Letz, Martin; Jakoby, Rolf

    2015-01-01

    This paper presents the application of new high permittivity and low loss glass material for antennas. This glass material is transparent. A very simple rectangular dielectric resonator antenna is designed first with a simple microstrip feeding line. In order to widen the bandwidth, the feed of the design is modified by forming a T-shaped feeding. This new design enhanced the bandwidth range to cover the WLAN 5 GHz band completely. The dielectric resonator antenna cut into precise dimensions is placed on the modified microstrip feed line. The design is simple and easy to manufacture and also very compact in size of only 36 × 28 mm. A -10 dB impedance bandwidth of 18% has been achieved, which covers the frequency range from 5.15 GHz to 5.95 GHz. Simulations of the measured return loss and radiation patterns are presented and discussed.

  4. Electrical response of relaxing dielectrics compressed by arbitrary stress pulses

    International Nuclear Information System (INIS)

    Lysne, P.C.

    1983-01-01

    The theoretical problem of the electric response of biased dielectrics and piezoelectrics subjected to planar stress pulse loading is considered. The materials are taken to exhibit dielectric relaxation in the sense that changes in the polarization induced by electric fields do not occur instantaneously with changes in the fields. While this paper considers arbitrary stress pulse loading of the specimen, examples that are amenable to projectile impact techniques are considered in detail. They are shock reverberation, thin pulse, and ramp loading experiments. It is anticipated that these experiments will play a role in investigations of dielectric relaxation caused by shock induced damage in insulators

  5. Contamination aspects in integrating high dielectric constant and ferroelectric materials into CMOS processes

    OpenAIRE

    Boubekeur, Hocine

    2004-01-01

    n memory technology, new materials are being intensively investigated to overcome the integration limits of conventional dielectrics for Giga-bit scale integration, or to be able to produce new types of non-volatile low power memories such as FeRAM. Perovskite type high dielectric constant films for use in Giga-bit scale memories or layered perovskite films for use in non-volatile memories involve materials to semiconductor process flows, which entail a high risk of contamination. The introdu...

  6. Dielectric functions and energy band gap variation studies of manganese doped Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12} thin films using spectroscopic ellipsometry

    Energy Technology Data Exchange (ETDEWEB)

    Gautam, Prikshit, E-mail: pgautam.phy.du@gmail.com [Department of Physics and Astrophysics, University of Delhi (DU), Delhi 110007 (India); Department of Physics Kirori Mal College, University of Delhi, Delhi 110007 (India); Sachdeva, Anupama [Department of Physics and Astrophysics, University of Delhi (DU), Delhi 110007 (India); Singh, Sushil K. [Functional Materials Division, SSPL, Timarpur, New Delhi 110054 (India); Tandon, R.P., E-mail: ram_tandon@hotmail.com [Department of Physics and Astrophysics, University of Delhi (DU), Delhi 110007 (India)

    2014-12-25

    Highlights: • Mn Doped Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12} (BLT) thin films prepared by chemical solution deposition technique. • Raman spectroscopy of these films shows that Mn{sup 3+} is well substituted at Ti{sup 4+} site. • The optical properties of BLT and Mn modified BLT thin films were investigated by using spectroscopic ellipsometry. • A double Tauc–Lorentz (DTL) dispersion relation was successfully used to model the dielectric functions. • The direct optical band gap (Eg{sup d}) is found to decrease with increase in Mn content. - Abstract: Single phase polycrystalline Mn-modified Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12} (BLT) thin films were prepared by chemical solution deposition method using spin coating technique on Pt/Ti/SiO{sub 2}/Si (1 0 0) substrates. Raman spectroscopy of these films shows that Mn{sup 3+} is well substituted at Ti{sup 4+} site. The optical properties of BLT and Mn modified BLT thin films were investigated at room temperature by using spectroscopic ellipsometry (SE) in the energy range 0.72–6.2 eV. A double Tauc–Lorentz (DTL) dispersion relation was successfully used to model the dielectric functions of these films where a shift to the lower energy side with Mn doping is seen. The full width at half maxima (FWHM) (Γ) of dielectric function is found to increase with Mn doping. This increase in FWHM may be attributed to the increase in the trap density in forbidden band which consequently decreases the value of direct optical band gap (Eg{sup d}). The direct optical band gap (Eg{sup d}) is found to decrease with increase in Mn content in the studied composition range. This decrease in Eg{sup d} with doping may be attributed to the variation in the defect concentration present in the structure.

  7. Electrical Capacitance Volume Tomography with High-Contrast Dielectrics

    Science.gov (United States)

    Nurge, Mark

    2010-01-01

    The Electrical Capacitance Volume Tomography (ECVT) system has been designed to complement the tools created to sense the presence of water in nonconductive spacecraft materials, by helping to not only find the approximate location of moisture but also its quantity and depth. The ECVT system has been created for use with a new image reconstruction algorithm capable of imaging high-contrast dielectric distributions. Rather than relying solely on mutual capacitance readings as is done in traditional electrical capacitance tomography applications, this method reconstructs high-resolution images using only the self-capacitance measurements. The image reconstruction method assumes that the material under inspection consists of a binary dielectric distribution, with either a high relative dielectric value representing the water or a low dielectric value for the background material. By constraining the unknown dielectric material to one of two values, the inverse math problem that must be solved to generate the image is no longer ill-determined. The image resolution becomes limited only by the accuracy and resolution of the measurement circuitry. Images were reconstructed using this method with both synthetic and real data acquired using an aluminum structure inserted at different positions within the sensing region. The cuboid geometry of the system has two parallel planes of 16 conductors arranged in a 4 4 pattern. The electrode geometry consists of parallel planes of copper conductors, connected through custom-built switch electronics, to a commercially available capacitance to digital converter. The figure shows two 4 4 arrays of electrodes milled from square sections of copper-clad circuit-board material and mounted on two pieces of glass-filled plastic backing, which were cut to approximately square shapes, 10 cm on a side. Each electrode is placed on 2.0-cm centers. The parallel arrays were mounted with the electrode arrays approximately 3 cm apart. The open ends

  8. Super Dielectric Materials.

    Science.gov (United States)

    Fromille, Samuel; Phillips, Jonathan

    2014-12-22

    Evidence is provided here that a class of materials with dielectric constants greater than 10⁵ at low frequency (dielectric materials (SDM), can be generated readily from common, inexpensive materials. Specifically it is demonstrated that high surface area alumina powders, loaded to the incipient wetness point with a solution of boric acid dissolved in water, have dielectric constants, near 0 Hz, greater than 4 × 10⁸ in all cases, a remarkable increase over the best dielectric constants previously measured for energy storage capabilities, ca. 1 × 10⁴. It is postulated that any porous, electrically insulating material (e.g., high surface area powders of silica, titania, etc. ), filled with a liquid containing a high concentration of ionic species will potentially be an SDM. Capacitors created with the first generated SDM dielectrics (alumina with boric acid solution), herein called New Paradigm Super (NPS) capacitors display typical electrostatic capacitive behavior, such as increasing capacitance with decreasing thickness, and can be cycled, but are limited to a maximum effective operating voltage of about 0.8 V. A simple theory is presented: Water containing relatively high concentrations of dissolved ions saturates all, or virtually all, the pores (average diameter 500 Å) of the alumina. In an applied field the positive ionic species migrate to the cathode end, and the negative ions to the anode end of each drop. This creates giant dipoles with high charge, hence leading to high dielectric constant behavior. At about 0.8 V, water begins to break down, creating enough ionic species to "short" the individual water droplets. Potentially NPS capacitor stacks can surpass "supercapacitors" in volumetric energy density.

  9. Comparative studies of AlGaN/GaN MOS-HEMTs with stacked gate dielectrics by the mixed thin film growth method

    International Nuclear Information System (INIS)

    Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Ho, Chiu-Sheng; Lee, Ching-Sung

    2013-01-01

    This paper reports Al 0.27 Ga 0.73 N/GaN metal–oxide–semiconductor high electron mobility transistors (MOS-HEMTs) with stacked Al 2 O 3 /HfO 2 gate dielectrics by using hydrogen peroxideoxidation/sputtering techniques. The Al 2 O 3 employed as a gate dielectric and surface passivation layer effectively suppresses the gate leakage current, improves RF drain current collapse and exhibits good thermal stability. Moreover, by stacking the good insulating high-k HfO 2 dielectric further suppresses the gate leakage, enhances the dielectric breakdown field and power-added efficiency, and decreases the equivalent oxide thickness. The present MOS-HEMT design has demonstrated superior improvements of 10.1% (16.4%) in the maximum drain–source current (I DS,max ), 11.4% (22.5%) in the gate voltage swing and 12.5%/14.4% (21.9%/22.3%) in the two-terminal gate–drain breakdown/turn-on voltages (BV GD /V ON ), and the present design also demonstrates the lowest gate leakage current and best thermal stability characteristics as compared to two reference MOS-HEMTs with a single Al 2 O 3 /(HfO 2 ) dielectric layer of the same physical thickness. (invited paper)

  10. Dielectric spectroscopy of [P(NID2OD-T2)]n thin films: Effects of UV radiation on charge transport

    International Nuclear Information System (INIS)

    Sepulveda, Pablo I.; Rosado, Alexander O.; Pinto, Nicholas J.

    2014-01-01

    Poly[N,N′-bis(2-octyldodecyl)-naphthalene-1,4,5,8-bis(dicarboximide) -2,6-diyll-alt-5,5′-(2,2′-bithiophene)]-[P(ND12OD-T2)] n is a n-doped polymer that is stable in air. Low frequency (40 Hz–30 kHz) dielectric spectroscopy shows that the polymer impedance strength is reduced under ultra-violet (UV) radiation as a result of charge increase in the bulk polymer. Photo-excitation and the creation of electron-hole pairs and subsequent hole recombination with electron trapping species adsorbed by the polymer are suggested as possible doping mechanisms. The relaxation times were also faster in the presence of UV indicating multiple pathways for oscillating dipoles to relax. These results imply increased polymer conductance with corresponding enhancement of charge mobility due to reduced scattering in the presence of UV radiation. A thin film field effect transistor was fabricated using this polymer as the active material and characterized in the presence of UV radiation. As expected, the device exhibited n-type behavior with a charge mobility of 3.0 × 10 −3 cm 2 /V-s. Exposure to UV radiation increased the channel current, shifted the threshold voltage to more negative values and doubled the value of the mobility. These results are consistent with dielectric measurements and suggest an easy method of increasing device currents and charge mobility in this polymer via UV irradiation. - Highlights: • Ultra-violet (UV) radiation dopes the polymer. • The doping is n-type. • UV radiation enhances charge mobility without post polymer processing. • Dielectric spectroscopy and field effect transistor results are self-consistent

  11. Investigation of terbium scandate as an alternative gate dielectric in fully depleted transistors

    Science.gov (United States)

    Roeckerath, M.; Lopes, J. M. J.; Özben, E. Durǧun; Urban, C.; Schubert, J.; Mantl, S.; Jia, Y.; Schlom, D. G.

    2010-01-01

    Terbium scandate thin films were deposited by e-gun evaporation on (100) silicon substrates. Rutherford backscattering spectrometry and x-ray diffraction studies revealed homogeneous chemical compositions of the films. A dielectric constant of 26 and CV-curves with small hystereses were measured as well as low leakage current densities of <1 nA/cm2. Fully depleted n-type field-effect transistors on thin silicon-on-insulator substrates with terbium scandate gate dielectrics were fabricated with a gate-last process. The devices show inverse subthreshold slopes of 80 mV/dec and a carrier mobility for electrons of 225 cm2/V•s was extracted.

  12. Thermal pulse measurements of space charge distributions under an applied electric field in thin films

    International Nuclear Information System (INIS)

    Zheng, Feihu; An, Zhenlian; Zhang, Yewen; Liu, Chuandong; Lin, Chen; Lei, Qingquan

    2013-01-01

    The thermal pulse method is a powerful method to measure space charge and polarization distributions in thin dielectric films, but a complicated calibration procedure is necessary to obtain the real distribution. In addition, charge dynamic behaviour under an applied electric field cannot be observed by the classical thermal pulse method. In this work, an improved thermal pulse measuring system with a supplemental circuit for applying high voltage is proposed to realize the mapping of charge distribution in thin dielectric films under an applied field. The influence of the modified measuring system on the amplitude and phase of the thermal pulse response current are evaluated. Based on the new measuring system, an easy calibration approach is presented with some practical examples. The newly developed system can observe space charge evolution under an applied field, which would be very helpful in understanding space charge behaviour in thin films. (paper)

  13. Degradation patterns of silicone-based dielectric elastomers in electrical fields

    DEFF Research Database (Denmark)

    Yu, Liyun; Madsen, Frederikke Bahrt; Skov, Anne Ladegaard

    2017-01-01

    . This shortcoming has been attempted optimized through different approaches during recent years. Material optimization with the sole purpose of increasing the dielectric permittivity may lead to the introduction of problematic phenomena such as premature electrical breakdown due to high leakage currents of the thin...... elastomer film. Within this work, electrical breakdown phenomena of various types of permittivity-enhanced silicone elastomers are investigated. Results showed that different types of polymer backbone chemistries lead to differences in electrical breakdown patterns, which were revealed through SEM imaging...

  14. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  15. Numerical simulations for quantitative analysis of electrostatic interaction between atomic force microscopy probe and an embedded electrode within a thin dielectric: meshing optimization, sensitivity to potential distribution and impact of cantilever contribution

    Science.gov (United States)

    Azib, M.; Baudoin, F.; Binaud, N.; Villeneuve-Faure, C.; Bugarin, F.; Segonds, S.; Teyssedre, G.

    2018-04-01

    Recent experimental results demonstrated that an electrostatic force distance curve (EFDC) can be used for space charge probing in thin dielectric layers. A main advantage of the method is claimed to be its sensitivity to charge localization, which, however, needs to be substantiated by numerical simulations. In this paper, we have developed a model which permits us to compute an EFDC accurately by using the most sophisticated and accurate geometry for the atomic force microscopy probe. To avoid simplifications and in order to reproduce experimental conditions, the EFDC has been simulated for a system constituted of a polarized electrode embedded in a thin dielectric layer (SiN x ). The individual contributions of forces on the tip and on the cantilever have been analyzed separately to account for possible artefacts. The EFDC sensitivity to potential distribution is studied through the change in electrode shape, namely the width and the depth. Finally, the numerical results have been compared with experimental data.

  16. Plasma nitridation optimization for sub-15 A gate dielectrics

    NARCIS (Netherlands)

    Cubaynes, F.N; Schmitz, Jurriaan; van der Marel, C.; Snijders, J.H.M.; Veloso, A.; Rothschild, A.; Olsen, C.; Date, L.

    The work investigates the impact of plasma nitridation process parameters upon the physical properties and upon the electrical performance of sub-15 A plasma nitrided gate dielectrics. The nitrogen distribution and chemical bonding of ultra-thin plasma nitrided films have been investigated using

  17. Study of high-k gate dielectrics by means of positron annihilation

    International Nuclear Information System (INIS)

    Uedono, A.; Naito, T.; Otsuka, T.; Ito, K.; Shiraishi, K.; Yamabe, K.; Miyazaki, S.; Watanabe, H.; Umezawa, N.; Hamid, A.; Chikyow, T.; Ohdaira, T.; Suzuki, R.; Ishibashi, S.; Inumiya, S.; Kamiyama, S.; Akasaka, Y.; Nara, Y.; Yamada, K.

    2007-01-01

    High-dielectric constant (high-k) gate materials, such as HfSiO x and HfAlO x , fabricated by atomic-layer-deposition techniques were characterized using monoenergetic positron beams. Measurements of the Doppler broadening spectra of annihilation radiation and the lifetime spectra of positrons indicated that positrons annihilated from the trapped state by open volumes that exist intrinsically in amorphous structures of the films. The size distributions of the open volumes and the local atomic configurations around such volumes can be discussed using positron annihilation parameters, and they were found to correlate with the electrical properties of the films. We confirmed that the positron annihilation is useful technique to characterize the matrix structure of amorphous high-k materials, and can be used to determine process parameters for the fabrication of high-k gate dielectrics. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. High current electron beam acceleration in dielectric-filled RF cavities

    International Nuclear Information System (INIS)

    Faehl, R.J.; Keinigs, R.K.

    1996-01-01

    The acceleration of charged particles in radio frequency (RF) cavities is a widely used mode in high energy accelerators. Advantages include very high accelerating gradients and very stable phase control. A traditional limitation for such acceleration has been their use for intense, high current beam generation. This constraint arises from the inability to store a large amount of electromagnetic energy in the cavity and from loading effects of the beam on the cavity. The authors have studied a simple modification to transcend these limitations. Following Humphries and Huang, they have conducted analytic and numerical investigations of RF accelerator cavities in which a high dielectric constant material, such as water, replaces most of the cavity volume. This raises the stored energy in a cavity of given dimensions by a factor var-epsilon/var-epsilon 0 . For a water fill, var-epsilon/var-epsilon 0 ∼ 80, depending on the frequency. This introduction of high dielectric constant material into the cavity reduces the resonant frequencies by a factor of (var-epsilon/var-epsilon 0 ) 1/2 . This reduced operating frequency mans that existing high efficiency power supplies, at lower frequencies, can be used for an accelerator

  19. Super Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Samuel Fromille

    2014-12-01

    Full Text Available Evidence is provided here that a class of materials with dielectric constants greater than 105 at low frequency (<10−2 Hz, herein called super dielectric materials (SDM, can be generated readily from common, inexpensive materials. Specifically it is demonstrated that high surface area alumina powders, loaded to the incipient wetness point with a solution of boric acid dissolved in water, have dielectric constants, near 0 Hz, greater than 4 × 108 in all cases, a remarkable increase over the best dielectric constants previously measured for energy storage capabilities, ca. 1 × 104. It is postulated that any porous, electrically insulating material (e.g., high surface area powders of silica, titania, etc., filled with a liquid containing a high concentration of ionic species will potentially be an SDM. Capacitors created with the first generated SDM dielectrics (alumina with boric acid solution, herein called New Paradigm Super (NPS capacitors display typical electrostatic capacitive behavior, such as increasing capacitance with decreasing thickness, and can be cycled, but are limited to a maximum effective operating voltage of about 0.8 V. A simple theory is presented: Water containing relatively high concentrations of dissolved ions saturates all, or virtually all, the pores (average diameter 500 Å of the alumina. In an applied field the positive ionic species migrate to the cathode end, and the negative ions to the anode end of each drop. This creates giant dipoles with high charge, hence leading to high dielectric constant behavior. At about 0.8 V, water begins to break down, creating enough ionic species to “short” the individual water droplets. Potentially NPS capacitor stacks can surpass “supercapacitors” in volumetric energy density.

  20. Optical design for increased interaction length in a high gradient dielectric laser accelerator

    OpenAIRE

    Cesar, D.; Maxson, J.; Musumeci, P.; Shen, X.; England, R. J.; Wootton, K. P.

    2018-01-01

    We present a methodology for designing and measuring pulse front tilt in an ultrafast laser for use in dielectric laser acceleration. Previous research into dielectric laser accelerating modules has focused on measuring high accelerating gradients in novel structures, but has done so only for short electron-laser coupling lengths. Here we demonstrate an optical design to extend the laser-electron interaction to 1mm.

  1. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    Science.gov (United States)

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  2. Cast dielectric composite linear accelerator

    Science.gov (United States)

    Sanders, David M [Livermore, CA; Sampayan, Stephen [Manteca, CA; Slenes, Kirk [Albuquerque, NM; Stoller, H M [Albuquerque, NM

    2009-11-10

    A linear accelerator having cast dielectric composite layers integrally formed with conductor electrodes in a solventless fabrication process, with the cast dielectric composite preferably having a nanoparticle filler in an organic polymer such as a thermosetting resin. By incorporating this cast dielectric composite the dielectric constant of critical insulating layers of the transmission lines of the accelerator are increased while simultaneously maintaining high dielectric strengths for the accelerator.

  3. Effective dielectric functions of samples obtained by evaporation of alkali halides

    International Nuclear Information System (INIS)

    Sturm, J.; Grosse, P.; Theiss, W.

    1991-01-01

    This paper investigates the dielectric properties of inhomogeneous samples consisting of small alkali halide particles (NaCl, KBr) on gold-coated substrates. Our reflection measurements in the far infrared can be simulated as a thin layer of the power with an effective dielectric function on a perfectly reflecting substrate. Scanning electron micrographs provide useful information about sample topology. Several mixing formulas (e.g. the Maxwell-Garnett, the Bruggeman- and the Looyenga-formula) lead to effective dielectric functions neglecting the individual arrangement of the particles. The essence of our work is that, in contrast, the general ansatz of the Bergman spectral representation has to be employed in order to take into account topology effects on the dielectric function based on the so-called spectral density g adjustable to the specific situation. (orig.)

  4. Novel charge plasma based dielectric modulated impact ionization MOSFET as a biosensor for label-free detection

    Science.gov (United States)

    Chanda, Manash; Dey, Prithu; De, Swapnadip; Sarkar, Chandan Kumar

    2015-10-01

    In this paper a charge plasma based dielectric modulated impact ionization MOSFET (CP-DIMOSFET) has been proposed for the first time to ease the label free detection of biomolecules. The concept of CP-DIMOSFET is proposed and analyzed on basis of simulated data using SILVACO ATLAS. Low thermal budgeting and thin silicon layer without any dopant implantations make the proposed structure advantageous compared to the existing MOSFET based biosensors. The results show that the proposed device is capable to detect the presence of biomolecules. Simple fabrication schemes, miniaturization, high sensitivity, dominance of dielectric modulation make the proposed biosensor a promising one that could one day revolutionize the healthcare industry.

  5. Piezoelectric characterization of Pb(Zr,Ti)O3 thin films deposited on metal foil substrates by dip coating

    Science.gov (United States)

    Hida, Hirotaka; Hamamura, Tomohiro; Nishi, Takahito; Tan, Goon; Umegaki, Toshihito; Kanno, Isaku

    2017-10-01

    We fabricated the piezoelectric bimorphs composed of Pb(Zr,Ti)O3 (PZT) thin films on metal foil substrates. To efficiently inexpensively manufacture piezoelectric bimorphs with high flexibility, 1.2-µm-thick PZT thin films were directly deposited on both surfaces of 10- and 20-µm-thick bare stainless-steel (SS) foil substrates by dip coating with a sol-gel solution. We confirmed that the PZT thin films deposited on the SS foil substrates at 500 °C or above have polycrystalline perovskite structures and the measured relative dielectric constant and dielectric loss were 323-420 and 0.12-0.17, respectively. The PZT bimorphs were demonstrated by comparing the displacements of the cantilever specimens driven by single- and double-side PZT thin films on the SS foil substrates under the same applied voltage. We characterized the piezoelectric properties of the PZT bimorphs and the calculated their piezoelectric coefficient |e 31,f| to be 0.3-0.7 C/m2.

  6. A high energy density relaxor antiferroelectric pulsed capacitor dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Jo, Hwan Ryul; Lynch, Christopher S. [Department of Mechanical and Aerospace Engineering, University of California, Los Angeles (UCLA), Los Angeles, California 90095 (United States)

    2016-01-14

    Pulsed capacitors require high energy density and low loss, properties that can be realized through selection of composition. Ceramic (Pb{sub 0.88}La{sub 0.08})(Zr{sub 0.91}Ti{sub 0.09})O{sub 3} was found to be an ideal candidate. La{sup 3+} doping and excess PbO were used to produce relaxor antiferroelectric behavior with slim and slanted hysteresis loops to reduce the dielectric hysteresis loss, to increase the dielectric strength, and to increase the discharge energy density. The discharge energy density of this composition was found to be 3.04 J/cm{sup 3} with applied electric field of 170 kV/cm, and the energy efficiency, defined as the ratio of the discharge energy density to the charging energy density, was 0.920. This high efficiency reduces the heat generated under cyclic loading and improves the reliability. The properties were observed to degrade some with temperature increase above 80 °C. Repeated electric field cycles up to 10 000 cycles were applied to the specimen with no observed performance degradation.

  7. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    Science.gov (United States)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  8. Combined effect of preferential orientation and Zr/Ti atomic ratio on electrical properties of Pb(ZrxTi1-x)O3 thin films

    International Nuclear Information System (INIS)

    Gong Wen; Li Jingfeng; Chu Xiangcheng; Gui Zhilun; Li Longtu

    2004-01-01

    Lead zirconate titanate [Pb(Zr x Ti 1-x )O 3 , PZT] thin films with various compositions, whose Zr/Ti ratio were varied as 40/60, 48/52, 47/53, and 60/40, were deposited on Pt(111)/Ti/SiO 2 /Si substrates by sol-gel method. A seeding layer was introduced between the PZT layer and the bottom electrode to control the texture of overlaid PZT thin films. A single perovskite PZT thin film with absolute (100) texture was obtained, when lead oxide was used as the seeding crystal, whereas titanium dioxide resulted in highly [111]-oriented PZT films. The dielectric and ferroelectric properties of PZT films with different preferential orientations were evaluated systemically as a function of composition. The maximums of relative dielectric constant were obtained in the morphotropic phase boundary region for both (100)- and (111)-textured PZT films. The ferroelectric properties also greatly depend on films' texture and composition. The intrinsic and extrinsic contributions to dielectric and ferroelectric properties were discussed

  9. Microstructure and chemical analysis of Hf-based high-k dielectric layers in metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Thangadurai, P. [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Mikhelashvili, V.; Eisenstein, G. [Department of Electrical Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Kaplan, W.D., E-mail: kaplan@tx.technion.ac.i [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel)

    2010-05-31

    The microstructure and chemistry of the high-k gate dielectric significantly influences the performance of metal-insulator-metal (MIM) and metal-oxide-semiconductor devices. In particular, the local structure, chemistry, and inter-layer mixing are important phenomena to be understood. In the present study, high resolution and analytical transmission electron microscopy are combined to study the local structure, morphology, and chemistry in MIM capacitors containing a Hf-based high-k dielectric. The gate dielectric, bottom and gate electrodes were deposited on p-type Si(100) wafers by electron beam evaporation. Four chemically distinguishable sub-layers were identified within the dielectric stack. One is an unintentionally formed 4.0 nm thick interfacial layer of Ta{sub 2}O{sub 5} at the interface between the Ta electrode and the dielectric. The other three layers are based on HfN{sub x}O{sub y} and HfTiO{sub y}, and intermixing between the nearby sub-layers including deposited SiO{sub 2}. Hf-rich clusters were found in the HfN{sub x}O{sub y} layer adjacent to the Ta{sub 2}O{sub 5} layer.

  10. Optical constants and structural properties of thin gold films

    DEFF Research Database (Denmark)

    Yakubovsky, Dmitry I.; Arsenin, Aleksey V.; Stebunov, Yury V.

    2017-01-01

    We report a comprehensive experimental study of optical and electrical properties of thin polycrystalline gold films in a wide range of film thicknesses (from 20 to 200 nm). Our experimental results are supported by theoretical calculations based on the measured morphology of the fabricated gold...... rules for thin-film plasmonic and nanophotonic devices....... films. We demonstrate that the dielectric function of the metal is determined by its structural morphology. Although the fabrication process can be absolutely the same for different films, the dielectric function can strongly depend on the film thickness. Our studies show that the imaginary part...

  11. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    Science.gov (United States)

    Fan, W.; Kabius, B.; Hiller, J. M.; Saha, S.; Carlisle, J. A.; Auciello, O.; Chang, R. P. H.; Ramesh, R.

    2003-11-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 °C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlOx, while the oxide layer at the TiAl/Cu interface is an Al2O3-rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlOx interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 °C followed by a rapid thermal annealing at 700 °C. This process significantly reduced the thickness of the TiAlOx layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high dielectric constant (280), low

  12. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    International Nuclear Information System (INIS)

    Fan, W.; Kabius, B.; Hiller, J.M.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 deg. C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlO x , while the oxide layer at the TiAl/Cu interface is an Al 2 O 3 -rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlO x interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 deg. C followed by a rapid thermal annealing at 700 deg. C. This process significantly reduced the thickness of the TiAlO x layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high

  13. Dielectrics in electric fields

    CERN Document Server

    Raju, Gorur G

    2003-01-01

    Discover nontraditional applications of dielectric studies in this exceptionally crafted field reference or text for seniors and graduate students in power engineering tracks. This text contains more than 800 display equations and discusses polarization phenomena in dielectrics, the complex dielectric constant in an alternating electric field, dielectric relaxation and interfacial polarization, the measurement of absorption and desorption currents in time domains, and high field conduction phenomena. Dielectrics in Electric Fields is an interdisciplinary reference and text for professionals and students in electrical and electronics, chemical, biochemical, and environmental engineering; physical, surface, and colloid chemistry; materials science; and chemical physics.

  14. Characterization of a dielectric phantom for high-field magnetic resonance imaging applications

    Energy Technology Data Exchange (ETDEWEB)

    Duan, Qi, E-mail: Qi.Duan@nih.gov; Duyn, Jeff H.; Gudino, Natalia; Zwart, Jacco A. de; Gelderen, Peter van [Advanced MRI Section, Laboratory of Functional and Molecular Imaging, National Institute of Neurological Disorders and Stroke, National Institutes of Health, Bethesda, Maryland 20892 (United States); Sodickson, Daniel K.; Brown, Ryan [The Bernard and Irene Schwartz Center for Biomedical Imaging, Department of Radiology, New York University School of Medicine, New York, New York 10016 (United States)

    2014-10-15

    Purpose: In this work, a generic recipe for an inexpensive and nontoxic phantom was developed within a range of biologically relevant dielectric properties from 150 MHz to 4.5 GHz. Methods: The recipe includes deionized water as the solvent, NaCl to primarily control conductivity, sucrose to primarily control permittivity, agar–agar to gel the solution and reduce heat diffusivity, and benzoic acid to preserve the gel. Two hundred and seventeen samples were prepared to cover the feasible range of NaCl and sucrose concentrations. Their dielectric properties were measured using a commercial dielectric probe and were fitted to a 3D polynomial to generate a recipe describing the properties as a function of NaCl concentration, sucrose concentration, and frequency. Results: Results indicated that the intuitive linear and independent relationships between NaCl and conductivity and between sucrose and permittivity are not valid. A generic polynomial recipe was developed to characterize the complex relationship between the solutes and the resulting dielectric values and has been made publicly available as a web application. In representative mixtures developed to mimic brain and muscle tissue, less than 2% difference was observed between the predicted and measured conductivity and permittivity values. Conclusions: It is expected that the recipe will be useful for generating dielectric phantoms for general magnetic resonance imaging (MRI) coil development at high magnetic field strength, including coil safety evaluation as well as pulse sequence evaluation (including B{sub 1}{sup +} mapping, B{sub 1}{sup +} shimming, and selective excitation pulse design), and other non-MRI applications which require biologically equivalent dielectric properties.

  15. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  16. PREFACE: Proceedings Symposium G of E-MRS Spring Meeting on Fundamentals and Technology of Multifunctional Oxide Thin Films

    Science.gov (United States)

    2010-07-01

    Oxide materials exhibit a large variety of functional properties that are useful in a plethora of applications. Symposium G focused on oxide thin films that include dielectric or switching properties. Its program mirrored very well the strong worldwide search for high-K thin films for gate, memory, and on-chip capacitors, as well as the emerging field of functional thin films for MEMS. A complete session was devoted to the colossal effect of dielectric response in (Ca,Cu)TiO3, representing the major European research groups in this field. A comprehensive overview on this phenomenon was given by D Sinclair J Wolfman presented the latest results on CCTO thin films obtained by wafer scale pulsed laser deposition. A Loidl showed the analytical power of dielectric spectroscopy when covering the complete frequency range from 1-1012 Hz, i.e. from space charge to phonon contributions at the example of CCTO. Another session was devoted to applications in non-volatile memories, covering various effects including ferroelectric and resistive switching, the complex behavior of oxide tunnel junctions (H Kohlstedt), the possibility to manipulate the magnetic state of a 2d-electron gas by the polarization of an adjacent ferroelectric gate (I Stolitchnov). Latest advancements in ALD processing for high-K thin films in dynamic RAM were reported by S Ramanathan. The advancement of piezoelectric PZT thin film MEMS devices was well documented by outstanding talks on their developments in industry (M Klee, F Tyholdt), new possibilities in GHz filters (T Matshushima), advancements in sol-gel processing (B Tuttle, H Suzuki), and low temperature integration approaches by UV light curing (S Trolier-McKinstry). Recent advances in incipient ferroelectric thin films and nano composites for tunable capacitors in microwave applications were present by A Vorobiev and T Yamada. Integrated electro-optics is another field to be conquered by thin film structures. The impressive progress made in this

  17. Amorphous Dielectric Thin Films with Extremely Low Mechanical Loss

    Directory of Open Access Journals (Sweden)

    Liu X.

    2015-04-01

    Full Text Available The ubiquitous low-energy excitations are one of the universal phenomena of amorphous solids. These excitations dominate the acoustic, dielectric, and thermal properties of structurally disordered solids. One exception has been a type of hydrogenated amorphous silicon (a-Si:H with 1 at.% H. Using low temperature elastic and thermal measurements of electron-beam evap-orated amorphous silicon (a-Si, we show that TLS can be eliminated in this system as the films become denser and more structurally ordered under certain deposition conditions. Our results demonstrate that TLS are not intrinsic to the glassy state but instead reside in low density regions of the amorphous network. This work obviates the role hydrogen was previously thought to play in removing TLS in a-Si:H and favors an ideal four-fold covalently bonded amorphous structure as the cause for the disappearance of TLS. Our result supports the notion that a-Si can be made a “perfect glass” with “crystal-like” properties, thus offering an encouraging opportunity to use it as a simple crystal dielectric alternative in applications, such as in modern quantum devices where TLS are the source of dissipation, decoherence and 1/f noise.

  18. Optical studies of metallo-dielectric photonic crystals

    Science.gov (United States)

    Kamaev, Vladimir

    2007-12-01

    Metallo-dielectric photonic crystals (MDPCs) are characterized by a large difference between the dielectric constants of the constituents. Owing to their high DC conductivity a broad omnidirectional band gap is formed at low frequencies. At the same time there exist numerous propagating electromagnetic modes at frequencies above a cutoff. This gives a possibility of creating a "transparent" metal: a crystal transparent in the visible spectral range and simultaneously having high DC conductivity. Since the cutoff wavelength linearly scales with the crystal periodicity, in order to make an MDPC with propagating modes in the visible range the crystal periodicity has to be around a quarter micrometer. Fabrication of such a crystal is a challenging task. One of the feasible choices is natural or artificial opals, structures made of silica balls arranged into a close packed fcc lattice. The ball diameters could vary from 200 nm to several microns, allowing the desired optical features to be in the visible spectral range. In the present work we studied metal-infiltrated opals numerically, analytically, and experimentally (Chapters 1 and 4). Both theory and experiment revealed high reflectance of the samples at large wavelengths associated with the low frequency metallic band gap formation, and low reflectance at short wavelengths that has characteristic wiggles. Contrarily, the absorbance is low in the IR region and goes up towards the UV end, which is due to low group velocity of light and high metal absorption in the region. Numerical analysis of thin metal-infiltrated opals (˜3-5 layers) did show a transmission peak around the first reflectance minimum and cutoff frequency. In Chapter 5 we present transmission experiments on thin metal films perforated with periodic arrays of holes or deposited on an opal monolayer. Both types of 2D MDPCs exhibited anomalous transmission peaks associated with surface plasma excitations. It was shown that the phenomenon could be

  19. Fabrication of air-stable n-type carbon nanotube thin-film transistors on flexible substrates using bilayer dielectrics.

    Science.gov (United States)

    Li, Guanhong; Li, Qunqing; Jin, Yuanhao; Zhao, Yudan; Xiao, Xiaoyang; Jiang, Kaili; Wang, Jiaping; Fan, Shoushan

    2015-11-14

    Single-walled carbon nanotube (SWNT) thin-film transistors hold great potential for flexible electronics. However, fabrication of air-stable n-type devices by methods compatible with standard photolithography on flexible substrates is challenging. Here, we demonstrated that by using a bilayer dielectric structure of MgO and atomic layer deposited (ALD) Al2O3 or HfO2, air-stable n-type devices can be obtained. The mechanism for conduction type conversion was elucidated and attributed to the hole depletion in SWNT, the decrease of the trap state density by MgO assimilating adsorbed water molecules in the vicinity of SWNT, and the energy band bending because of the positive fixed charges in the ALD layer. The key advantage of the method is the relatively low temperature (120 or 90 °C) required here for the ALD process because we need not employ this step to totally remove the absorbates on the SWNTs. This advantage facilitates the integration of both p-type and n-type transistors through a simple lift off process and compact CMOS inverters were demonstrated. We also demonstrated that the doping of SWNTs in the channel plays a more important role than the Schottky barriers at the metal contacts in carbon nanotube thin-film transistors, unlike the situation in individual SWNT-based transistors.

  20. Investigation of 6T SRAM memory circuit using high-k dielectrics based nano scale junctionless transistor

    Science.gov (United States)

    Charles Pravin, J.; Nirmal, D.; Prajoon, P.; Mohan Kumar, N.; Ajayan, J.

    2017-04-01

    In this paper the Dual Metal Surround Gate Junctionless Transistor (DMSGJLT) has been implemented with various high-k dielectric. The leakage current in the device is analysed in detail by obtaining the band structure for different high-k dielectric material. It is noticed that with increasing dielectric constant the device provides more resistance for the direct tunnelling of electron in off state. The gate oxide capacitance also shows 0.1 μF improvement with Hafnium Oxide (HfO2) than Silicon Oxide (SiO2). This paved the way for a better memory application when high-k dielectric is used. The Six Transistor (6T) Static Random Access Memory (SRAM) circuit implemented shows 41.4% improvement in read noise margin for HfO2 than SiO2. It also shows 37.49% improvement in write noise margin and 30.16% improvement in hold noise margin for HfO2 than SiO2.

  1. Effects of crystallization on structural and dielectric properties of thin amorphous films of (1 - x)BaTiO3-xSrTiO3 (x=0-0.5, 1.0)

    Science.gov (United States)

    Kawano, H.; Morii, K.; Nakayama, Y.

    1993-05-01

    The possibilities for fabricating solid solutions of (Ba1-x,Srx)TiO3 (x≤0.5,1.0) by crystallization of amorphous films and for improving their dielectric properties by adjusting the Sr content were investigated. Thin amorphous films were prepared from powder targets consisting of mixtures of BaTiO3 and SrTiO3 by sputtering with a neutralized Ar-ion beam. The amorphous films crystallized into (Ba1-x, Srx)TiO3 solid solutions with a cubic perovskite-type structure after annealing in air at 923 K for more than 1 h. The Debye-type dielectric relaxation was observed for the amorphous films, whereas the crystallized films showed paraelectric behavior. The relative dielectric constants were of the order of 20 for the amorphous samples, but increased greatly after crystallization to about 60-200, depending on the composition; a larger increase in the dielectric constant was observed in the higher Sr content films, in the range x≤0.5, which could be correlated with an increase in the grain size of the crystallites. The crystallization processes responsible for the difference in the grain size are discussed based on the microstructural observations.

  2. Toward air-stable multilayer phosphorene thin-films and transistors

    Science.gov (United States)

    Kim, Joon-Seok; Liu, Yingnan; Zhu, Weinan; Kim, Seohee; Wu, Di; Tao, Li; Dodabalapur, Ananth; Lai, Keji; Akinwande, Deji

    2015-01-01

    Few-layer black phosphorus (BP), also known as phosphorene, is poised to be the most attractive graphene analogue owing to its high mobility approaching that of graphene, and its thickness-tunable band gap that can be as large as that of molybdenum disulfide. In essence, phosphorene represents the much sought after high-mobility, large direct band gap two-dimensional layered crystal that is ideal for optoelectronics and flexible devices. However, its instability in air is of paramount concern for practical applications. Here, we demonstrate air-stable BP devices with dielectric and hydrophobic encapsulation. Microscopy, spectroscopy, and transport techniques were employed to elucidate the aging mechanism, which can initiate from the BP surface for bare samples, or edges for samples with thin dielectric coating, highlighting the ineffectiveness of conventional scaled dielectrics. Our months-long studies indicate that a double layer capping of Al2O3 and hydrophobic fluoropolymer affords BP devices and transistors with indefinite air-stability for the first time, overcoming a critical material challenge for applied research and development. PMID:25758437

  3. Polyvinylidene fluoride film as a capacitor dielectric

    Science.gov (United States)

    Dematos, H. V.

    1981-01-01

    Thin strips of polyvinylidene fluoride film (PVDF) with vacuum deposited electrodes were made into capacitors by conventional winding and fabrication techniques. These devices were used to identify and evaluate the performance characteristics offered by the PVDF in metallized film capacitors. Variations in capacitor parameters with temperature and frequence were evaluated and compared with other dielectric films. Their impact on capacitor applications is discussed.

  4. Theoretical and Experimental Studies of New Polymer-Metal High-Dielectric Constant Nanocomposites

    Science.gov (United States)

    Ginzburg, Valeriy; Elwell, Michael; Myers, Kyle; Cieslinski, Robert; Malowinski, Sarah; Bernius, Mark

    2006-03-01

    High-dielectric-constant (high-K) gate materials are important for the needs of electronics industry. Most polymers have dielectric constant in the range 2 materials with K > 10 it is necessary to combine polymers with ceramic or metal nanoparticles. Several formulations based on functionalized Au-nanoparticles (R ˜ 5 -— 10 nm) and PMMA matrix polymer are prepared. Nanocomposite films are subsequently cast from solution. We study the morphology of those nanocomposites using theoretical (Self-Consistent Mean-Field Theory [SCMFT]) and experimental (Transmission Electron Microscopy [TEM]) techniques. Good qualitative agreement between theory and experiment is found. The study validates the utility of SCMFT as screening tool for the preparation of stable (or at least metastable) polymer/nanoparticle mixtures.

  5. Hysteresis behaviour of low-voltage organic field-effect transistors employing high dielectric constant polymer gate dielectrics

    International Nuclear Information System (INIS)

    Kim, Se Hyun; Yun, Won Min; Kwon, Oh-Kwan; Hong, Kipyo; Yang, Chanwoo; Park, Chan Eon; Choi, Woon-Seop

    2010-01-01

    Here, we report on the fabrication of low-voltage-operating pentacene-based organic field-effect transistors (OFETs) that utilize crosslinked cyanoethylated poly(vinyl alcohol) (CR-V) gate dielectrics. The crosslinked CR-V-based OFET could be operated successfully at low voltages (below 4 V), but abnormal behaviour during device operation, such as uncertainty in the field-effect mobility (μ) and hysteresis, was induced by the slow polarization of moieties embedded in the gate dielectric (e.g. polar functionalities, ionic impurities, water and solvent molecules). In an effort to improve the stability of OFET operation, we measured the dependence of μ and hysteresis on dielectric thickness, CR-V crosslinking conditions and sweep rate of the gate bias. The influence of the CR-V surface properties on μ, hysteresis, and the structural and morphological features of the pentacene layer grown on the gate dielectric was characterized and compared with the properties of pentacene grown on a polystyrene surface.

  6. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  7. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2018-05-01

    Full Text Available In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment to 54.6 cm2/V∙s (with CF4 plasma treatment, which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability.

  8. Characterization of plasmonic effects in thin films and metamaterials using spectroscopic ellipsometry

    NARCIS (Netherlands)

    Oates, T.W.H.; Wormeester, Herbert; Arwin, H.

    2011-01-01

    In this article, spectroscopic ellipsometry studies of plasmon resonances at metal–dielectric interfaces of thin films are reviewed. We show how ellipsometry provides valuable non-invasive amplitude and phase information from which one can determine the effective dielectric functions, and how these

  9. Electrophoretically applied dielectrics for amorphous metal foils used in pulsed power saturable reactors

    International Nuclear Information System (INIS)

    Sharp, D.J.; Harjes, H.C.; Mann, G.A.

    1989-01-01

    Amorphous metal foil-wound inductors have been tested as ferromagnetic saturable inductive elements for pulsed-power (multi-terawatt) switching modules in the inertial confinement fusion program at Sandia National Laboratories. In simulated capacitor testing premature dielectric breakdown of thin polyethylene terephthalate film insulation in the inductor windings occurs at considerably below 2500 V. This appears to be due to inadvertant dielectric damage from micro-spikes on the amorphous foil surface. Electron micrographs and dielectric breakdown data illustrate that electrophoretically-applied dielectric coatings, deposited from organic aqueous colloid dispersions, can be used to provide insulating coatings on the foil which provide a 240% improvement (6000 V) in the breakdown strength of wound amorphous foil inductors. The theory and operation of a dedicated electrophoretic continuous coating system is described. The machine was constructed and successfully applied for dielectric coating of amorphous metal foil. Additional possible applications exist for practical dielectric coating of metallic films or foils used in various commercial wound-type capacitor structures. 7 refs., 9 figs

  10. Radiation Characteristics Enhancement of Dielectric Resonator Antenna Using Solid/Discrete Dielectric Lenses

    Directory of Open Access Journals (Sweden)

    H. A. E. Malhat

    2015-02-01

    Full Text Available The radiation characteristics of the dielectric resonator antennas (DRA is enhanced using different types of solid and discrete dielectric lenses. One of these approaches is by loading the DRA with planar superstrate, spherical lens, or by discrete lens (transmitarray. The dimensions and dielectric constant of each lens are optimized to maximize the gain of the DRA. A comparison between the radiations characteristics of the DRA loaded with different lenses are introduced. The design of the dielectric transmitarray depends on optimizing the heights of the dielectric material of the unit cell. The optimized transmitarray achieves 7 dBi extra gain over the single DRA with preserving the circular polarization. The proposed antenna is suitable for various applications that need high gain and focused antenna beam.

  11. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    Science.gov (United States)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  12. Micromachined Thin-Film Sensors for SOI-CMOS Co-Integration

    Science.gov (United States)

    Laconte, Jean; Flandre, D.; Raskin, Jean-Pierre

    Co-integration of sensors with their associated electronics on a single silicon chip may provide many significant benefits regarding performance, reliability, miniaturization and process simplicity without significantly increasing the total cost. Micromachined Thin-Film Sensors for SOI-CMOS Co-integration covers the challenges and interests and demonstrates the successful co-integration of gas flow sensors on dielectric membrane, with their associated electronics, in CMOS-SOI technology. We firstly investigate the extraction of residual stress in thin layers and in their stacking and the release, in post-processing, of a 1 μm-thick robust and flat dielectric multilayered membrane using Tetramethyl Ammonium Hydroxide (TMAH) silicon micromachining solution.

  13. Nanomechanical probing of thin-film dielectric elastomer transducers

    Science.gov (United States)

    Osmani, Bekim; Seifi, Saman; Park, Harold S.; Leung, Vanessa; Töpper, Tino; Müller, Bert

    2017-08-01

    Dielectric elastomer transducers (DETs) have attracted interest as generators, actuators, sensors, and even as self-sensing actuators for applications in medicine, soft robotics, and microfluidics. Their performance crucially depends on the elastic properties of the electrode-elastomer sandwich structure. The compressive displacement of a single-layer DET can be easily measured using atomic force microscopy (AFM) in the contact mode. While polymers used as dielectric elastomers are known to exhibit significant mechanical stiffening for large strains, their mechanical properties when subjected to voltages are not well understood. To examine this effect, we measured the depths of 400 nanoindentations as a function of the applied electric field using a spherical AFM probe with a radius of (522 ± 4) nm. Employing a field as low as 20 V/μm, the indentation depths increased by 42% at a load of 100 nN with respect to the field-free condition, implying an electromechanically driven elastic softening of the DET. This at-a-glance surprising experimental result agrees with related nonlinear, dynamic finite element model simulations. Furthermore, the pull-off forces rose from (23.0 ± 0.4) to (49.0 ± 0.7) nN implying a nanoindentation imprint after unloading. This embossing effect is explained by the remaining charges at the indentation site. The root-mean-square roughness of the Au electrode raised by 11% upon increasing the field from zero to 12 V/μm, demonstrating that the electrode's morphology change is an undervalued factor in the fabrication of DET structures.

  14. Ab-initio study of the dielectric response of high-permittivity perovskites for energy storage

    International Nuclear Information System (INIS)

    Do-Amaral-De-Andrade-Sophia, Gustavo

    2014-01-01

    Many of materials based on transition metals have a wide range of applications, such as the storage of energy, due to their peculiar properties (high-dielectric constants, ferro-electricity,...). The knowledge of their bulk properties is essential in designing targeted devices with high performance. For instance, ABO 3 perovskites are peculiarly interesting for their atomic structural flexibility, allowing high number of atoms substitution and giving them specific chemical and electrical properties compared to the pure compounds. In this context, first principles calculations can be useful to understand the structural and electronic properties of these materials. The pressure-induced giant dielectric anomaly of ABO 3 perovskites has been investigated at the ab initio level. Its mechanism has been analyzed in terms of thermodynamic phase stability, structural and phonon contributions and Born effective charges. It is shown that the IR-active soft phonon is responsible for the anomaly. This mode always involves a displacement and a deformation of the oxygen octahedra, while the roles of A and B ions vary among the materials and between high- and low-pressure phase transitions. A sharp increase in the phonon amplitude near the phase transition gives rise to the dielectric anomaly. The use of hybrid functionals is required for agreement with experimental data. The calculations show that the dielectric anomaly in the pressure-induced phase transitions of these perovskites is a property of the bulk material. (author)

  15. Characterization, Microstructure, and Dielectric properties of cubic pyrochlore structural ceramics

    KAUST Repository

    Li, Yangyang

    2013-05-01

    solutions have relative high dielectric constant and low dielectric loss. With increasing sintering temperature, the dielectric constant showed the maximum at 1150°C. The leakage current of BMN ceramic material is extraordinary small. When the voltage and thickness of the BMN capacitor are 4000V and 300um, the leakage current amounts only about 0.13-0.65 . The excellent physical and electrical properties make BMN thin films promising for potential tunable capacitor applications.

  16. Highly stretchable carbon nanotube transistors enabled by buckled ion gel gate dielectrics

    International Nuclear Information System (INIS)

    Wu, Meng-Yin; Chang, Tzu-Hsuan; Ma, Zhenqiang; Zhao, Juan; Xu, Feng; Jacobberger, Robert M.; Arnold, Michael S.

    2015-01-01

    Deformable field-effect transistors (FETs) are expected to facilitate new technologies like stretchable displays, conformal devices, and electronic skins. We previously demonstrated stretchable FETs based on buckled thin films of polyfluorene-wrapped semiconducting single-walled carbon nanotubes as the channel, buckled metal films as electrodes, and unbuckled flexible ion gel films as the dielectric. The FETs were stretchable up to 50% without appreciable degradation in performance before failure of the ion gel film. Here, we show that by buckling the ion gel, the integrity and performance of the nanotube FETs are extended to nearly 90% elongation, limited by the stretchability of the elastomer substrate. The FETs maintain an on/off ratio of >10 4 and a field-effect mobility of 5 cm 2 V −1 s −1 under elongation and demonstrate invariant performance over 1000 stretching cycles

  17. Reflectance properties of one-dimensional metal-dielectric ternary photonic crystal

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, G. N., E-mail: gnpandey2009@gmail.com [Department of Physics, Amity Institute of Applied Sciences, AmityUniversity, Noida (U.P.) (India); Kumar, Narendra [Department of Physics (CASH), Modi University of Science and Technology, Lakshmangarh, Sikar, Rajsthan (India); Thapa, Khem B. [Department of Physics, U I E T, ChhatrapatiShahu Ji Maharaj University, Kanpur- (UP) (India); Ojha, S. P. [Department of Physics IIT, Banaras Hindu University (India)

    2016-05-06

    Metallic photonic crystal has a very important application in absorption enhancement in solar cells. It has been found that an ultra-thin metallic layer becomes transparent due to internal scattering of light through the each interface of the dielectric and metal surfaces. The metal has absorption due to their surface plasmon and the plasmon has important parameters for changing optical properties of the metal. We consider ternary metallic-dielectric photonic crystal (MDPC) for having large probabilities to change the optical properties of the MDPC and the photonic crystals may be changed by changing dimensionality, symmetry, lattice parameters, Filling fraction and effective refractive index refractive index contrast. In this present communication, we try to show that the photonic band gap in ternary metal-dielectric photonic crystal can be significantly enlarged when air dielectric constant is considered. All the theoretical analyses are made based on the transfer matrix method together with the Drude model of metal.

  18. Study on the dielectric properties of Al2O3/TiO2 sub-nanometric laminates: effect of the bottom electrode and the total thickness

    Science.gov (United States)

    Ben Elbahri, M.; Kahouli, A.; Mercey, B.; Lebedev, O.; Donner, W.; Lüders, U.

    2018-02-01

    Dielectrics based on amorphous sub-nanometric laminates of TiO2 and Al2O3 are subject to elevated dielectric losses and leakage currents, in large parts due to the extremely thin individual layer thickness chosen for the creation of the Maxwell-Wagner relaxation and therefore the high apparent dielectric constants. The optimization of performances of the laminate itself being strongly limited by this contradiction concerning its internal structure, we will show in this study that modifications of the dielectric stack of capacitors based on these sub-nanometric laminates can positively influence the dielectric losses and the leakage, as for example the nature of the electrodes, the introduction of thick insulating layers at the laminate/electrode interfaces and the modification of the total laminate thickness. The optimization of the dielectric stack leads to the demonstration of a capacitor with an apparent dielectric constant of 90, combined with low dielectric loss (tan δ) of 7 · 10-2 and with leakage currents smaller than 1  ×  10-6 A cm-2 at 10 MV m-1.

  19. Influence of gate dielectric on the ambipolar characteristics of solution-processed organic field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Ribierre, J C; Ghosh, S; Takaishi, K; Muto, T; Aoyama, T, E-mail: jcribierre@ewha.ac.kr, E-mail: taoyama@riken.jp [Advanced Science Institute, RIKEN, 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan)

    2011-05-25

    Solution-processed ambipolar organic field-effect transistors based on dicyanomethylene-substituted quinoidal quaterthiophene derivative [QQT(CN)4] are fabricated using various gate dielectric materials including cross-linked polyimide and poly-4-vinylphenol. Devices with spin-coated polymeric gate dielectric layers show a reduced hysteresis in their transfer characteristics. Among the insulating polymers examined in this study, a new fluorinated polymer with a low dielectric constant of 2.8 significantly improves both hole and electron field-effect mobilities of QQT(CN)4 thin films to values as high as 0.04 and 0.002 cm{sup 2} V{sup -1} s{sup -1}. These values are close to the best mobilities obtained in QQT(CN)4 devices fabricated on SiO{sub 2} treated with octadecyltrichlorosilane. The influence of the metal used for source/drain metal electrodes on the device performance is also investigated. Whereas best device performances are achieved with gold electrodes, more balanced electron and hole field-effect mobilities could be obtained using chromium.

  20. Race for novel high-index all-dielectric and hybrid metal-dielectric nanophotonic materials: Pit-stop optical tests

    Science.gov (United States)

    Kudryashov, S. I.; Saraeva, I. N.; Ivanova, A. K.; Kudryavtseva, A. D.; Tchiernega, N. V.; Ionin, A. A.; Kuchmizhak, A. A.; Zayarny, D. A.

    2017-09-01

    Magnetic dipolar Mie-resonance of nanodiamonds supports their highly-efficient stimulated low-frequency Raman scattering via nanosecond laser excitation of their fundamental breathing mode, with strong additional plasmonic enhancement of the Raman conversion efficiency upon ablative capping of the resonant nanodiamond core by a silver nanoshell with a broad overlapping electrical dipolar Mie-resonance. Also, crystalline selenium nanoparticles, exhibiting the high refractive index in the visible/near-IR ranges, were demonstrated as promising all-dielectric sensing building nanoblocks in nanophotonics.

  1. Flexible Ultrahigh-Temperature Polymer-Based Dielectrics with High Permittivity for Film Capacitor Applications

    Directory of Open Access Journals (Sweden)

    Zejun Pu

    2017-11-01

    Full Text Available In this report, flexible cross-linked polyarylene ether nitrile/functionalized barium titanate(CPEN/F-BaTiO3 dielectrics films with high permittivitywere prepared and characterized. The effects of both the F-BaTiO3 and matrix curing on the mechanical, thermal and dielectric properties of the CPEN/F-BaTiO3 dielectric films were investigated in detail. Compared to pristine BaTiO3, the surface modified BaTiO3 particles effectively improved their dispersibility and interfacial adhesion in the polymer matrix. Moreover, the introduction of F-BaTiO3 particles enhanced dielectric properties of the composites, with a relatively high permittivity of 15.2 and a quite low loss tangent of 0.022 (1 kHz when particle contents of 40 wt % were utilized. In addition, the cyano (–CN groups of functional layer also can serve as potential sites for cross-linking with polyarylene ether nitrile terminated phthalonitrile (PEN-Ph matrix and make it transform from thermoplastic to thermosetting. Comparing with the pure PEN-ph film, the latter results indicated that the formation of cross-linked network in the polymer-based system resulted in increased tensile strength by ~67%, improved glass transition temperature (Tg by ~190 °C. More importantly, the CPEN/F-BaTiO3 composite films filled with 30 wt % F-BaTiO3 particles showed greater energy density by nearly 190% when compared to pure CPEN film. These findings enable broader applications of PEN-based composites in high-performance electronics and energy storage devices materials used at high temperature.

  2. Manipulation of dielectric Rayleigh particles using highly focused elliptically polarized vector fields.

    Science.gov (United States)

    Gu, Bing; Xu, Danfeng; Rui, Guanghao; Lian, Meng; Cui, Yiping; Zhan, Qiwen

    2015-09-20

    Generation of vectorial optical fields with arbitrary polarization distribution is of great interest in areas where exotic optical fields are desired. In this work, we experimentally demonstrate the versatile generation of linearly polarized vector fields, elliptically polarized vector fields, and circularly polarized vortex beams through introducing attenuators in a common-path interferometer. By means of Richards-Wolf vectorial diffraction method, the characteristics of the highly focused elliptically polarized vector fields are studied. The optical force and torque on a dielectric Rayleigh particle produced by these tightly focused vector fields are calculated and exploited for the stable trapping of dielectric Rayleigh particles. It is shown that the additional degree of freedom provided by the elliptically polarized vector field allows one to control the spatial structure of polarization, to engineer the focusing field, and to tailor the optical force and torque on a dielectric Rayleigh particle.

  3. Long-range surface polaritons in thin layers of absorbing materials

    NARCIS (Netherlands)

    Zhang, Y.

    2011-01-01

    Long-range surface polaritons (LRSPs) are electromagnetic surface modes confined at the interfaces of an thin film surrounded by a homogeneous dielectric. These modes are generally characterized by the subwavelength confinement and the long propagation length. In case of a metallic thin film, the

  4. Ceramic-polymer nanocomposites with increased dielectric permittivity and low dielectric loss

    International Nuclear Information System (INIS)

    Bhardwaj, Sumit; Paul, Joginder; Raina, K. K.; Thakur, N. S.; Kumar, Ravi

    2014-01-01

    The use of lead free materials in device fabrication is very essential from environmental point of view. We have synthesized the lead free ferroelectric polymer nanocomposite films with increased dielectric properties. Lead free bismuth titanate has been used as active ceramic nanofillers having crystallite size 24nm and PVDF as the polymer matrix. Ferroelectric β-phase of the polymer composite films was confirmed by X-ray diffraction pattern. Mapping data confirms the homogeneous dispersion of ceramic particles into the polymer matrix. Frequency dependent dielectric constant increases up to 43.4 at 100Hz, whereas dielectric loss decreases with 7 wt% bismuth titanate loading. This high dielectric constant lead free ferroelectric polymer films can be used for energy density applications

  5. Trends of microwave dielectric materials for antenna application

    International Nuclear Information System (INIS)

    Sulong, T. A. T.; Osman, R. A. M.; Idris, M. S.

    2016-01-01

    Rapid development of a modern microwave communication system requires a high quality microwave dielectric ceramic material to be used as mobile and satellite communication. High permittivity of dielectric ceramics leads to fabrication of compact device for electronic components. Dielectric ceramics which used for microwave applications required three important parameters such as high or appropriate permittivity (ε_r), high quality factor (Q _f ≥ 5000 GH z) and good temperature coefficient of resonant frequency (τ_f). This paper review of various dielectric ceramic materials used as microwave dielectric materials and related parameters for antenna applications.

  6. Improvement in interfacial characteristics of low-voltage carbon nanotube thin-film transistors with solution-processed boron nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Jun-Young; Ha, Tae-Jun, E-mail: taejunha0604@gmail.com

    2017-08-15

    Highlights: • We demonstrate the potential of solution-processed boron nitride (BN) thin films for nanoelectronics. • Improved interfacial characteristics reduced the leakage current by three orders of magnitude. • The BN encapsulation improves all the device key metrics of low-voltage SWCNT-TFTs. • Such improvements were achieved by reduced interaction of interfacial localized states. - Abstract: In this article, we demonstrate the potential of solution-processed boron nitride (BN) thin films for high performance single-walled carbon nanotube thin-film transistors (SWCNT-TFTs) with low-voltage operation. The use of BN thin films between solution-processed high-k dielectric layers improved the interfacial characteristics of metal-insulator-metal devices, thereby reducing the current density by three orders of magnitude. We also investigated the origin of improved device performance in SWCNT-TFTs by employing solution-processed BN thin films as an encapsulation layer. The BN encapsulation layer improves the electrical characteristics of SWCNT-TFTs, which includes the device key metrics of linear field-effect mobility, sub-threshold swing, and threshold voltage as well as the long-term stability against the aging effect in air. Such improvements can be achieved by reduced interaction of interfacial localized states with charge carriers. We believe that this work can open up a promising route to demonstrate the potential of solution-processed BN thin films on nanoelectronics.

  7. Off Axis Growth of Strontium Titanate Films with High Dielectric Constant Tuning and Low Loss

    Science.gov (United States)

    Kampangkeaw, Satreerat

    2002-03-01

    Using off-axis pulsed laser deposition, we have grown strontium titanate (STO) films on neodymium gallate (NGO) and lanthanum aluminate (LAO) substrates. We measured the film dielectric constant and loss tangent as a function of temperature in the 10kHz to 1 MHz frequency range. We found that the loss is less than 0.01 We also obtained a figure of merit from the relative variation of the dielectric constant divided by the loss tangent. The obtained figured of merit at 35K and 1MHz is about 1000 comparable to bulk values. The dielectric constant of these films can be changed by a factor of 4-8 in the presence of a DC electric field up to 5V/μm. The films show significant variations of dielectric properties grown on different substrates at different locations respect to the axis of the plume. The STO films on LAO having high dielectric constant and dielectric tuning were grown in region near the center of the plume. On the other hand, STO on NGO shows this effect only on the films grown far from the plume axis.

  8. Cellulose Triacetate Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Jow, T. Richard

    1994-01-01

    Cellulose triacetate investigated for use as dielectric material in high-energy-density capacitors for pulsed-electrical-power systems. Films of cellulose triacetate metalized on one or both sides for use as substrates for electrodes and/or as dielectrics between electrodes in capacitors. Used without metalization as simple dielectric films. Advantages include high breakdown strength and self-healing capability.

  9. Dielectric relaxations in non-metallic materials related to Y-Ba-Cu-O superconductors

    Energy Technology Data Exchange (ETDEWEB)

    Bennani, H.; Pilet, J.C. (Lab. Instrumentation, Rennes-1 Univ., 35 (France)); Guilloux-Viry, M.; Perrin, C.; Perrin, A.; Sergent, M. (Lab. de Chimie Minerale B, C.N.R.S., 35 - Rennes (France))

    1990-10-15

    In relation with high Tc superconducting material studies, dielectric measurements have been carried out, in the frequency range 10 Hz - 100 kHz, on two powdered compounds belonging to the Y-Ba-Cu-O system. The non-metallic tetragonal phases YBa{sub 2}Cu{sub 3}O{sub 6+x} exhibit dielectric relaxations: for the studied samples (x<0.4) the activation energy U is observed in the range 0.5dielectric relaxation has been detected at higher temperature, near 400 K. Additional measurements to 77 K at 1 MHz give a value of dielectric constant {epsilon}'=3 and a low loss factor tg{delta}=10{sup -3}: this latter value is comparable to the one of lanthanum gallate recently proposed as a substrate for high frequency uses. This result enhances the previously reported potential interest of this material as substrate or buffer layer for preparation of superconducting thin films for high frequency applications. (orig.).

  10. Chemical and Electronic Structure Studies of Refractory and Dielectric Thin Films.

    Science.gov (United States)

    Corneille, Jason Stephen

    This study presents the synthesis and characterization of oxide and refractory thin films under varying conditions. The deposition of the thin films is performed under vacuum conditions. The characterization of the growth, as well as the chemical and electronic properties of the thin films was accomplished using a broad array of surface analytical techniques. These model studies describe the relationship between the preparative processes and the stoichiometry, structure and electronic properties of the film products. From these efforts, the optimal deposition conditions for the production of high quality films have been established. The thin film oxides synthesized and studied here include magnesium oxide, silicon oxide and iron oxide. These oxides were synthesized on a refractory substrate using both post oxidation of thin films as well as reactive vapor deposition of the metals in the presence of an oxygen background. Comparisons and contrasts are presented for the various systems. Metallic magnesium films were grown and characterized as a preliminary study to the synthesis of magnesium oxide. Magnesium oxide (MgO(100)) was synthesized on Mo(100) by evaporating magnesium at a rate of one monolayer per minute in an oxygen background pressure of 1 times 10 ^{-6} Torr at room temperature. The resulting film was found to exhibit spectroscopic characteristics quite similar to those observed for bulk MgO. The acid/base characteristics of the films were studied using carbon monoxide, water and methanol as probe molecules. The film was found to exhibit essentially the same chemical properties as found in analogous powdered catalysts. Silicon dioxide was synthesized by evaporating silicon onto Mo(100) in an oxygen ambient. It is shown that the silicon oxide prepared at room temperature with a silicon deposition rate of {~ }{1.2}A/min and an oxygen pressure of 2 times 10^{ -8} Torr, consisted of predominantly silicon dioxide with a small fraction of suboxides. Annealing to

  11. Collective modes and dielectric and superconducting properties of electronic systems in confined geometries

    International Nuclear Information System (INIS)

    Ulloa, S.E.

    1984-01-01

    The dielectric response function of electronic systems in restricted geometries is studied as well as some of the consequences, using the self-consistent field method. These consequences include: 1) existence of multiple branches of longitudinal slender acoustic plasma oscillations (SAP) in thin wires; 2) a new superconductivity mechanism in thin wires via the exchange of SAPs by the electrons forming the Cooper pairs, and 3) reduction of the static screening offered by the valence electrons in a thin semiconductor film with respect to the bulk case. The SAP modes are collective modes shown to exist only in thin wires and neither in a bulk system nor in a thin film. They have linear dispersion relations with phase velocities smaller than the Fermi velocity of the system and are not Landau-damped. Numerical examples of these SAP modes in metallic and semiconductor wires are presented, showing that they sould be more easily observable in semiconductor structures. The SAP-induced mechanism of superconductivity is shown to possibly give higher critical temperature T/sub c/ than the phonon mechanism in thin wires. The author presents a semi-rigorous calculation of T/sub c/ and shows that by increasing the frequency of the SAP modes and having a small effective electron mass one would be able to increase T/sub c/. He also shows that the dielectric function of a thin semiconductor slab is wavenumber dependent even at long wavelengths and is not a constant as in the bulk case

  12. Optimized extraction conditions from high power-ECRIS by dedicated dielectric structures

    International Nuclear Information System (INIS)

    Schachter, L.; Dobrescu, S.; Stiebing, K.E.

    2012-01-01

    The MD-method of enhancing the ion output from ECR ion sources is well established and basically works via two mechanisms, the regenerative injection of cold electrons from an emissive dielectric layer on the plasma chamber walls and via the cutting of compensating wall currents, which results in an improved ion extraction from the plasma. As this extraction from the plasma becomes a more and more challenging issue for modern ECRIS installations with high microwave power input, a series of experiments was carried out at the 14 GHz ECRIS of the Institut fuer Kernphysik in Frankfurt/Main, Germany (IKF). In contrast to our earlier work, in these experiments emphasis was put on the second of the above mechanisms namely to influence the sheath potential at the extraction by structures with special dielectric properties. Two different types of dielectric structures, Tantalum-oxide and Aluminium oxide (the latter also being used for the MD-method) with dramatically different electrical properties were mounted on the extraction electrode of the IKF-ECRIS, facing the plasma. For both structures an increase of the extracted ion beam currents for middle and high charge states by 60-80 % was observed. The method can also be applied to other ECR ion sources for increasing the extracted ion beam performances. The paper is followed by the slides of the presentation. (authors)

  13. Dielectric and barrier thickness fluctuation scattering in Al2O3/AlGaN/GaN double heterojunction high-electron mobility transistors

    International Nuclear Information System (INIS)

    Ji, Dong; Lu, Yanwu; Liu, Bing; Liu, Guipeng; Zhu, Qinsheng; Wang, Zhanguo

    2013-01-01

    The two-dimensional electron gas (2DEG) mobility limited by dielectric and barrier thickness fluctuations (TF) scattering in Al 2 O 3 /AlGaN/GaN double heterojunction high-electron mobility transistors (HEMTs) is calculated. Calculation shows that thickness fluctuation scattering is the main limitation in Al 2 O 3 /AlGaN/GaN double heterojunction HEMTs with thin Al 2 O 3 layer thicknesses. In addition, a study of 2DEG mobility as a function of 2DEG density, n s , shows that TF scattering acts as the main limitation when n s exceeds 2 × 10 12 cm −2 . The results may be used to design HEMTs to obtain higher 2DEG mobilities by modulating the dielectric layer and barrier thicknesses or 2DEG density. - Highlights: • The mobility limited by thickness fluctuation (TF) scattering is studied. • Results show that thickness fluctuation scattering is the main limitation. • Two-dimensional electron gas (2DEG) mobility is a function of 2DEG density. • TF scattering is the main limitation when 2DEG density exceeds 2 × 10 12 cm −2

  14. Effects of Interfacial Charge Depletion in Organic Thin-Film Transistors with Polymeric Dielectrics on Electrical Stability

    Directory of Open Access Journals (Sweden)

    Jaehoon Park

    2010-06-01

    Full Text Available We investigated the electrical stabilities of two types of pentacene-based organic thin-film transistors (OTFTs with two different polymeric dielectrics: polystyrene (PS and poly(4-vinyl phenol (PVP, in terms of the interfacial charge depletion. Under a short-term bias stress condition, the OTFT with the PVP layer showed a substantial increase in the drain current and a positive shift of the threshold voltage, while the PS layer case exhibited no change. Furthermore, a significant increase in the off-state current was observed in the OTFT with the PVP layer which has a hydroxyl group. In the presence of the interfacial hydroxyl group in PVP, the holes are not fully depleted during repetitive operation of the OTFT with the PVP layer and a large positive gate voltage in the off-state regime is needed to effectively refresh the electrical characteristics. It is suggested that the depletion-limited holes at the interface, i.e., interfacial charge depletion, between the PVP layer and the pentacene layer play a critical role on the electrical stability during operation of the OTFT.

  15. Switchable directional excitation surface plasmon polaritons with dielectric nanoantennas

    DEFF Research Database (Denmark)

    Sinev, I.; Komissarenko, F.; Bogdanov, A.

    2017-01-01

    We demonstrate directional launching of surface plasmon polaritons on thin goldfilm with a single silicon nanosphere. The directivity pattern of the excited surface waves exhibits rapid switching from forward to backward excitation, which is driven by the mutual interference of magnetic and elect...... and electric dipole moments supported by the dielectric nanoantenna....

  16. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  17. Inkjet 3D printing of UV and thermal cure silicone elastomers for dielectric elastomer actuators

    Science.gov (United States)

    McCoul, David; Rosset, Samuel; Schlatter, Samuel; Shea, Herbert

    2017-12-01

    Dielectric elastomer actuators (DEAs) are an attractive form of electromechanical transducer, possessing high energy densities, an efficient design, mechanical compliance, high speed, and noiseless operation. They have been incorporated into a wide variety of devices, such as microfluidic systems, cell bioreactors, tunable optics, haptic displays, and actuators for soft robotics. Fabrication of DEA devices is complex, and the majority are inefficiently made by hand. 3D printing offers an automated and flexible manufacturing alternative that can fabricate complex, multi-material, integrated devices consistently and in high resolution. We present a novel additive manufacturing approach to DEA devices in which five commercially available, thermal and UV-cure DEA silicone rubber materials have been 3D printed with a drop-on-demand, piezoelectric inkjet system. Using this process, 3D structures and high-quality silicone dielectric elastomer membranes as thin as 2 μm have been printed that exhibit mechanical and actuation performance at least as good as conventionally blade-cast membranes. Printed silicone membranes exhibited maximum tensile strains of up to 727%, and DEAs with printed silicone dielectrics were actuated up to 6.1% area strain at a breakdown strength of 84 V μm-1 and also up to 130 V μm-1 at 2.4% strain. This approach holds great potential to manufacture reliable, high-performance DEA devices with high throughput.

  18. Trends of microwave dielectric materials for antenna application

    Energy Technology Data Exchange (ETDEWEB)

    Sulong, T. A. T., E-mail: tuanamirahtuansulong@gmail.com; Osman, R. A. M., E-mail: rozana@unimap.edu.my [School of Microelectronic Engineering, Universiti Malaysia Perlis, Pauh Putra Campus, 02600 Arau, Perlis (Malaysia); Idris, M. S., E-mail: sobri@unimap.edu.my [Sustainable Engineering Research Cluster, School of Material Engineering, Universiti Malaysia Perlis, Blok B, Taman Pertiwi Indah, Seriab, 01000 Kangar, Perlis (Malaysia)

    2016-07-19

    Rapid development of a modern microwave communication system requires a high quality microwave dielectric ceramic material to be used as mobile and satellite communication. High permittivity of dielectric ceramics leads to fabrication of compact device for electronic components. Dielectric ceramics which used for microwave applications required three important parameters such as high or appropriate permittivity (ε{sub r}), high quality factor (Q {sub f} ≥ 5000 GH z) and good temperature coefficient of resonant frequency (τ{sub f}). This paper review of various dielectric ceramic materials used as microwave dielectric materials and related parameters for antenna applications.

  19. Pulse Power Capability Of High Energy Density Capacitors Based on a New Dielectric Material

    Science.gov (United States)

    Winsor, Paul; Scholz, Tim; Hudis, Martin; Slenes, Kirk M.

    1999-01-01

    A new dielectric composite consisting of a polymer coated onto a high-density metallized Kraft has been developed for application in high energy density pulse power capacitors. The polymer coating is custom formulated for high dielectric constant and strength with minimum dielectric losses. The composite can be wound and processed using conventional wound film capacitor manufacturing equipment. This new system has the potential to achieve 2 to 3 J/cu cm whole capacitor energy density at voltage levels above 3.0 kV, and can maintain its mechanical properties to temperatures above 150 C. The technical and manufacturing development of the composite material and fabrication into capacitors are summarized in this paper. Energy discharge testing, including capacitance and charge-discharge efficiency at normal and elevated temperatures, as well as DC life testing were performed on capacitors manufactured using this material. TPL (Albuquerque, NM) has developed the material and Aerovox (New Bedford, MA) has used the material to build and test actual capacitors. The results of the testing will focus on pulse power applications specifically those found in electro-magnetic armor and guns, high power microwave sources and defibrillators.

  20. High temperature dielectric function of silicon, germanium and GaN

    Energy Technology Data Exchange (ETDEWEB)

    Leyer, Martin; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin (Germany). Institut fuer Festkoerperphysik

    2010-07-01

    In the last few years accurate values for the optical properties of silicon, germanium and GaN at high temperatures have become important as a reference for in-situ analysis, e.g. reflectometry. Precise temperature dependent dielectric measurements are necessary for the growth of GaInP/GaInAs/Ge triple-junction solar cells and the hetero epitaxy of GaN on silicon and sapphire. We performed spectroscopic ellipsometry (SE) measurements of the dielectric function of silicon, germanium and GaN between 1.5 eV and 6.5 eV in the temperature range from 300 K to 1300 K. The Samples were deoxidized chemically or by heating. High resolution SE spectra were taken every 50 K while cooling down to room temperature. The temperature dependence of the critical energies is compared to literature. Measurements for germanium showed a shift of the E{sub 2} critical point of {proportional_to}0.1 eV toward lower energies. The reason for this behavior is a non-negligible oxide layer on the samples in the literature.

  1. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Sandstrom, R.L.; Giess, E.A.; Gallagher, W.J.; Segmueller, A.; Cooper, E.I.; Chisholm, M.F.; Gupta, A.; Shinde, S.; Laibowitz, R.B.

    1988-01-01

    We demonstrate that lanthanum gallate (LaGaO 3 ) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa 2 Cu 3 O/sub 7-//sub x/, can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant (ε≅25) and low dielectric losses. Epitaxial YBa 2 Cu 3 O/sub 7-//sub x/ films grown on LaGaO 3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K

  2. Synthesis, fabrication and characterization of magnetic and dielectric nanoparticles and nanocomposite films

    Science.gov (United States)

    Liu, Xiaohua

    Materials science is an interdisciplinary field investigating the structure-property relationship in solid-state materials scientifically and technologically. Nanoscience is concerned with the distinctive properties that matter exhibits when confined to physical dimensions on the order of 10-9 meters. At these length scales, behaviors of particles or elaborate structures are often governed by the rules of quantum mechanics in addition to the physical properties associated with the bulk material. The work reported here seeks to employ nanocystals, binary nanocomposites and thin films of materials, to build versatile, functional systems and devices. With a focus on dielectric, ferroelectric, and magnetoelectric performance, a series of materials has been synthesized and different types of nanocomposites have been built. Barium strontium titannate particles at various sizes was developed, aiming at high dielectric constant and low loss at high frequency range. Cobalt ferrite-polymer nanocomposite was fabricated with potential magnetoelectric coupling. Along with synthesis, advanced electron microscopies (TEM, SEM, STEM, EELS) at atomic resolution were employed to thoroughly investigate the crystallinity, morphology and composition. By means of spin-coating and printing techniques, single and multiple layered capacitors featuring improved dielectric performance (high k, low loss, high breakdown voltage, etc.) were developed through a) electrode deposition, b) dielectric layer deposition, and c) parylene evaporation. Such capacitors are further incorporated into electric power converters for LED lighting. Hopefully in the future we can make electronic devices more efficient, sustainable, smaller and cheaper. By advancing our knowledge of nanomaterials, especially those with potential of multifunction, energy efficiency and sustainability, we have strived to push the limits of synthesis, characterization, fabrication and property analysis of nanostructures towards new

  3. A study of the microstructure and optical properties of thin lead-dielectric cermet films. Ph.D. Thesis - Va. Polytechnic Inst. and State Univ.

    Science.gov (United States)

    Owen, R. B.

    1972-01-01

    A transmission electron microscopy study involving direct and replicating techniques is directed to a definition of the microstructure of radio frequency-sputtered, thin lead-dielectric cermet films. Once defined, this microstructure is used to obtain theoretical film refractive indices. The Maxwell Garnett theory provides a basis for the theoretical results. Measurements of film transmission and reflectivity are used to obtain rough experimental values for film refractive indices by the Tekucheva method. More exact values are obtained via ellipsometry. The rough Tekucheva values are used to determine the range over which computer calculations interpreting the ellipsometric results must be made. This technique yields accurate values for the film refractive indices.

  4. High-frequency applications of high-temperature superconductor thin films

    Science.gov (United States)

    Klein, N.

    2002-10-01

    High-temperature superconducting thin films offer unique properties which can be utilized for a variety of high-frequency device applications in many areas related to the strongly progressing market of information technology. One important property is an exceptionally low level of microwave absorption at temperatures attainable with low power cryocoolers. This unique property has initiated the development of various novel type of microwave devices and commercialized subsystems with special emphasis on application in advanced microwave communication systems. The second important achievement related to efforts in oxide thin and multilayer technology was the reproducible fabrication of low-noise Josephson junctions in high-temperature superconducting thin films. As a consequence of this achievement, several novel nonlinear high-frequency devices, most of them exploiting the unique features of the ac Josephson effect, have been developed and found to exhibit challenging properties to be utilized in basic metrology and Terahertz technology. On the longer timescale, the achievements in integrated high-temperature superconductor circuit technology may offer a strong potential for the development of digital devices with possible clock frequencies in the range of 100 GHz.

  5. High-frequency applications of high-temperature superconductor thin films

    International Nuclear Information System (INIS)

    Klein, N.

    2002-01-01

    High-temperature superconducting thin films offer unique properties which can be utilized for a variety of high-frequency device applications in many areas related to the strongly progressing market of information technology. One important property is an exceptionally low level of microwave absorption at temperatures attainable with low power cryocoolers. This unique property has initiated the development of various novel type of microwave devices and commercialized subsystems with special emphasis on application in advanced microwave communication systems. The second important achievement related to efforts in oxide thin and multilayer technology was the reproducible fabrication of low-noise Josephson junctions in high-temperature superconducting thin films. As a consequence of this achievement, several novel nonlinear high-frequency devices, most of them exploiting the unique features of the ac Josephson effect, have been developed and found to exhibit challenging properties to be utilized in basic metrology and Terahertz technology. On the longer timescale, the achievements in integrated high-temperature superconductor circuit technology may offer a strong potential for the development of digital devices with possible clock frequencies in the range of 100 GHz. (author)

  6. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  7. Highly stretchable carbon nanotube transistors enabled by buckled ion gel gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Meng-Yin; Chang, Tzu-Hsuan; Ma, Zhenqiang [Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Zhao, Juan [School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Xu, Feng; Jacobberger, Robert M.; Arnold, Michael S., E-mail: michael.arnold@wisc.edu [Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2015-08-03

    Deformable field-effect transistors (FETs) are expected to facilitate new technologies like stretchable displays, conformal devices, and electronic skins. We previously demonstrated stretchable FETs based on buckled thin films of polyfluorene-wrapped semiconducting single-walled carbon nanotubes as the channel, buckled metal films as electrodes, and unbuckled flexible ion gel films as the dielectric. The FETs were stretchable up to 50% without appreciable degradation in performance before failure of the ion gel film. Here, we show that by buckling the ion gel, the integrity and performance of the nanotube FETs are extended to nearly 90% elongation, limited by the stretchability of the elastomer substrate. The FETs maintain an on/off ratio of >10{sup 4} and a field-effect mobility of 5 cm{sup 2} V{sup −1} s{sup −1} under elongation and demonstrate invariant performance over 1000 stretching cycles.

  8. Fabrication and Performance Study of Uniform Thin Film Integrated ...

    African Journals Online (AJOL)

    The transmission line model of a uniform rectangular thin film R-C-KR structure consisting of a dielectric layer of constant per unit shunt capacitance C sandwiched between two resistive thin films of constant per unit length resistances R and KR has been analysed using the concept of matrix parameter functions. The above ...

  9. Dielectric nanoresonators for light manipulation

    Science.gov (United States)

    Yang, Zhong-Jian; Jiang, Ruibin; Zhuo, Xiaolu; Xie, Ya-Ming; Wang, Jianfang; Lin, Hai-Qing

    2017-07-01

    Nanostructures made of dielectric materials with high or moderate refractive indexes can support strong electric and magnetic resonances in the optical region. They can therefore function as nanoresonators. In addition to plasmonic metal nanostructures that have been widely investigated, dielectric nanoresonators provide a new type of building blocks for realizing powerful and versatile nanoscale light manipulation. In contrast to plasmonic metal nanostructures, nanoresonators made of appropriate dielectric materials are low-cost, earth-abundant and have very small or even negligible light energy losses. As a result, they will find potential applications in a number of photonic devices, especially those that require low energy losses. In this review, we describe the recent progress on the experimental and theoretical studies of dielectric nanoresonators. We start from the basic theory of the electromagnetic responses of dielectric nanoresonators and their fabrication methods. The optical properties of individual dielectric nanoresonators are then elaborated, followed by the coupling behaviors between dielectric nanoresonators, between dielectric nanoresonators and substrates, and between dielectric nanoresonators and plasmonic metal nanostructures. The applications of dielectric nanoresonators are further described. Finally, the challenges and opportunities in this field are discussed.

  10. Investigation of the in-plane and out-of-plane electrical properties of metallic nanoparticles in dielectric matrix thin films elaborated by atomic layer deposition

    Science.gov (United States)

    Thomas, D.; Puyoo, E.; Le Berre, M.; Militaru, L.; Koneti, S.; Malchère, A.; Epicier, T.; Roiban, L.; Albertini, D.; Sabac, A.; Calmon, F.

    2017-11-01

    Pt nanoparticles in a Al2O3 dielectric matrix thin films are elaborated by means of atomic layer deposition. These nanostructured thin films are integrated in vertical and planar test structures in order to assess both their in-plane and out-of-plane electrical properties. A shadow edge evaporation process is used to develop planar devices with electrode separation distances in the range of 30 nm. Both vertical and planar test structures show a Poole-Frenkel conduction mechanism. Low trap energy levels (<0.1 eV) are identified for the two test structures which indicates that the Pt islands themselves are not acting as traps in the PF mechanism. Furthermore, a more than three order of magnitude current density difference is observed between the two geometries. This electrical anisotropy is attributed to a large electron mobility difference in the in-plane and out-of-plane directions which can be related to different trap distributions in both directions.

  11. SHI induced effects on the electrical and optical properties of HfO{sub 2} thin films deposited by RF sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P., E-mail: appsp@uohyd.ernet.in

    2016-07-15

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO{sub 2} as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO{sub 2} is the only alternative to reduce the leakage current. HfO{sub 2} is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO{sub 2} thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO{sub 2}/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  12. Synthesis and characterization of hexagonal ferrite Sr{sub 1.8}Sm{sub 0.2}Co{sub 2}Ni{sub 1.50}Fe{sub 10.50}O{sub 22}/PST thin films for high frequency application

    Energy Technology Data Exchange (ETDEWEB)

    Ali, Irshad, E-mail: irshadalibzu@gmail.com [Department of Physics, Bahauddin Zakariya University, Multan P.O. 60800 (Pakistan); Islam, M.U. [Department of Physics, Bahauddin Zakariya University, Multan P.O. 60800 (Pakistan); Ashiq, Muhammad Naeem, E-mail: naeemashiqqau@yahoo.com [Institute of Chemical Science, Bahauddin Zakariya University, Multan 60800 (Pakistan); Asif Iqbal, M. [Department of Physics, Bahauddin Zakariya University, Multan P.O. 60800 (Pakistan); College of E & ME, National University of Science and Technology, Islamabad (Pakistan); Karamat, Nazia [Institute of Chemical Science, Bahauddin Zakariya University, Multan 60800 (Pakistan); Azhar Khan, M. [Department of Physics, Islamia University, Bahawalpur 63100 (Pakistan); Sadiq, Imran [Centre of Excellence in Solid State Physics, University of The Punjab, Lahore (Pakistan); Ijaz, Sana [Institute of Chemical Science, Bahauddin Zakariya University, Multan 60800 (Pakistan); Shakir, Imran [Sustainable Energy Technologies (SET) Center, College of Engineering, King Saud University (Saudi Arabia)

    2015-11-01

    Y-type hexagonal ferrite (Sr{sub 1.8}Sm{sub 0.2}Co{sub 2}Ni{sub 1.50} Fe{sub 10.50}O{sub 22}) was prepared by a normal microemulsion route. The ferrite/polymer composites thin films are formed at different ferrite ratios in pure polystyrene matrix. The X-ray diffraction analysis shows broad peak at low angles which is due to the PST and the peaks for Y-type ferrite are also observed in composite samples. The peaks become more intense and show less broadening with increasing concentration of ferrite which suggests that crystallinity is improved with the addition of ferrite. DC resistivity of the composites samples is lower than that of the pure PST and decreases by increasing ferrite filler into the polymer. This decrease of resistivity is mainly due to the addition of comparatively less resistive ferrite into the highly insulating polymer matrix of PST. The observed increase in the dielectric constant (permittivity) with increasing concentration ratio of ferrites is mainly due to the electron exchange between Fe{sup 2+}↔Fe{sup 3+}+e{sup −} which consequently results in enhancement of electric polarization as well as dielectric constant. The existence of resonances peaks in the dielectric loss tangent spectra is due to the fact when the external applied frequency becomes equal to the jumping frequency of electrons between Fe{sup 2+} and Fe{sup 3+}. The increasing behavior of the dielectric constant, dielectric loss and AC conductivity with increasing ferrite ratio in PST matrix proposes their versatile use in different technological applications especially for electromagnetic shielding. - Highlights: • Y-type hexaferrites were synthesized by the microemulsion route. • AC activation is lower than DC activation energy. • Ferrite/polymer composites thin films are formed. • The peaks become more intense with increasing concentration of ferrite. • Values of “n” confirm the hopping mechanism in all thin films.

  13. Microscopically crumpled indium-tin-oxide thin films as compliant electrodes with tunable transmittance

    International Nuclear Information System (INIS)

    Ong, Hui-Yng; Shrestha, Milan; Lau, Gih-Keong

    2015-01-01

    Indium-tin-oxide (ITO) thin films are perceived to be stiff and brittle. This letter reports that crumpled ITO thin films on adhesive poly-acrylate dielectric elastomer can make compliant electrodes, sustaining compression of up to 25% × 25% equi-biaxial strain and unfolding. Its optical transmittance reduces with crumpling, but restored with unfolding. A dielectric elastomer actuator (DEA) using the 14.2% × 14.2% initially crumpled ITO thin-film electrodes is electrically activated to produce a 37% areal strain. Such electric unfolding turns the translucent DEA to be transparent, with transmittance increased from 39.14% to 52.08%. This transmittance tunability promises to make a low-cost smart privacy window

  14. Microscopically crumpled indium-tin-oxide thin films as compliant electrodes with tunable transmittance

    Energy Technology Data Exchange (ETDEWEB)

    Ong, Hui-Yng [School of Mechanical and Aerospace Engineering, Nanyang Technological University, Singapore 639798 (Singapore); School of Engineering, Nanyang Polytechnic, Singapore 569830 (Singapore); Shrestha, Milan; Lau, Gih-Keong, E-mail: mgklau@ntu.edu.sg [School of Mechanical and Aerospace Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2015-09-28

    Indium-tin-oxide (ITO) thin films are perceived to be stiff and brittle. This letter reports that crumpled ITO thin films on adhesive poly-acrylate dielectric elastomer can make compliant electrodes, sustaining compression of up to 25% × 25% equi-biaxial strain and unfolding. Its optical transmittance reduces with crumpling, but restored with unfolding. A dielectric elastomer actuator (DEA) using the 14.2% × 14.2% initially crumpled ITO thin-film electrodes is electrically activated to produce a 37% areal strain. Such electric unfolding turns the translucent DEA to be transparent, with transmittance increased from 39.14% to 52.08%. This transmittance tunability promises to make a low-cost smart privacy window.

  15. Bilaterally Microstructured Thin Polydimethylsiloxane Film Production

    DEFF Research Database (Denmark)

    Vudayagiri, Sindhu; Yu, Liyun; Hassouneh, Suzan Sager

    2015-01-01

    Thin PDMS films with complex microstructures are used in the manufacturing of dielectric electro active polymer (DEAP) actuators, sensors and generators, to protect the metal electrode from large strains and to assure controlled actuation. The current manufacturing process at Danfoss Polypower A/...

  16. Ternary rare-earth based alternative gate-dielectrics for future integration in MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, Juergen; Lopes, Joao Marcelo; Durgun Oezben, Eylem; Luptak, Roman; Lenk, Steffi; Zander, Willi; Roeckerath, Martin [IBN 1-IT, Forschungszentrum Juelich, 52425 Juelich (Germany)

    2009-07-01

    The dielectric SiO{sub 2} has been the key to the tremendous improvements in Si-based metal-oxide-semiconductor (MOS) device performance over the past four decades. It has, however, reached its limit in terms of scaling since it exhibits a leakage current density higher than 1 A/cm{sup 2} and does not retain its intrinsic physical properties at thicknesses below 1.5 nm. In order to overcome these problems and keep Moore's law ongoing, the use of higher dielectric constant (k) gate oxides has been suggested. These high-k materials must satisfy numerous requirements such as the high k, low leakage currents, suitable band gap und offsets to silicon. Rare-earth based dielectrics are promising materials which fulfill these needs. We will review the properties of REScO{sub 3} (RE = La, Dy, Gd, Sm, Tb) and LaLuO{sub 3} thin films, grown with pulsed laser deposition, e-gun evaporation or molecular beam deposition, integrated in capacitors and transistors. A k > 20 for the REScO{sub 3} (RE = Dy, Gd) and around 30 for (RE = La, Sm, Tb) and LaLuO{sub 3} are obtained. Transistors prepared on SOI and sSOI show mobility values up to 380 cm{sup 2}/Vs on sSOI, which are comparable to such prepared with HfO{sub 2}.

  17. Optical response of Cu3Ge thin films

    OpenAIRE

    Aboelfotoh, M. O.; Guizzetti, G.; Marabelli, F.; Pellegrino, Paolo; Sassella, A.

    1996-01-01

    We report an investigation on the optical properties of Cu3Ge thin films displaying very high conductivity, with thickness ranging from 200 to 2000 Å, deposited on Ge substrates. Reflectance, transmittance, and ellipsometric spectroscopy measurements were performed at room temperature in the 0.01-6.0, 0.01-0.6, and 1.4-5.0 eV energy range, respectively. The complex dielectric function, the optical conductivity, the energy-loss function, and the effective charge density were obtained over the ...

  18. A self-powered thin-film radiation detector using intrinsic high-energy current

    Energy Technology Data Exchange (ETDEWEB)

    Zygmanski, Piotr, E-mail: pzygmanski@LROC.HARVARD.EDU, E-mail: Erno-Sajo@uml.edu [Department of Radiation Oncology, Brigham and Women’s Hospital, Dana-Farber Cancer Institute and Harvard Medical School, Boston, Massachusetts 02115 (United States); Sajo, Erno, E-mail: pzygmanski@LROC.HARVARD.EDU, E-mail: Erno-Sajo@uml.edu [Department of Physics and Applied Physics, Medical Physics Program, University of Massachusetts Lowell, Lowell, Massachusetts 01854 (United States)

    2016-01-15

    Purpose: The authors introduce a radiation detection method that relies on high-energy current (HEC) formed by secondary charged particles in the detector material, which induces conduction current in an external readout circuit. Direct energy conversion of the incident radiation powers the signal formation without the need for external bias voltage or amplification. The detector the authors consider is a thin-film multilayer device, composed of alternating disparate electrically conductive and insulating layers. The optimal design of HEC detectors consists of microscopic or nanoscopic structures. Methods: Theoretical and computational developments are presented to illustrate the salient properties of the HEC detector and to demonstrate its feasibility. In this work, the authors examine single-sandwiched and periodic layers of Cu and Al, and Au and Al, ranging in thickness from 100 nm to 300 μm and separated by similarly sized dielectric gaps, exposed to 120 kVp x-ray beam (half-value thickness of 4.1 mm of Al). The energy deposition characteristics and the high-energy current were determined using radiation transport computations. Results: The authors found that in a dual-layer configuration, the signal is in the measurable range. For a defined total detector thickness in a multilayer structure, the signal sharply increases with decreasing thickness of the high-Z conductive layers. This paper focuses on the computational results while a companion paper reports the experimental findings. Conclusions: Significant advantages of the device are that it does not require external power supply and amplification to create a measurable signal; it can be made in any size and geometry, including very thin (sub-millimeter to submicron) flexible curvilinear forms, and it is inexpensive. Potential applications include medical dosimetry (both in vivo and external), radiation protection, and other settings where one or more of the above qualities are desired.

  19. Electric-gun studies of conductors in high magnetic fields and experiments in dynamic flux compression

    International Nuclear Information System (INIS)

    Osher, J.E.; Chau, H.H.; Lee, R.S.; Tipton, R.E.; Weingart, R.C.

    1990-01-01

    Electric guns operate by discharging a fast capacitor bank through a thin, metallic bridge-foil load. The explosion of the foil and the accompanying magnetic forces acting on the bridge-foil plasma accelerate a thin flyer plate of dielectric material initially placed on top of the bridge foil. In hypervelocity impact studies with the linear electric gun, a thin, flat flyer is punched out of a cover sheet of dielectric (or dielectric/metallic composite) material by the explosion of the bridge foil and accelerated down a short barrel to impact on a target. In the coaxial gun, a cylindrical bridge foil is used to implode a cylindrical dielectric or dielectric/metallic composite (liner) flyer to produce a high peak compression through axial convergence. In this paper the authors discuss the range of currents, their rate of rise, and the magnetic fields attained by their fast capacitor banks, which supply power to the electric gun to explode the bridge foil. Also included is a study of the change of resistance of the bridge-foil element as a function of time for various flyer mass loadings for the linear geometry of the gun

  20. Thermal dielectric function

    International Nuclear Information System (INIS)

    Moneta, M.

    1999-01-01

    Thermal dielectric functions ε(k,ω) for homogeneous electron gas were determined and discussed. The ground state of the gas is described by the Fermi-Dirac momentum distribution. The low and high temperature limits of ε(k,ω) were related to the Lindhard dielectric function and to ε(k, omega) derived for Boltzmann and for classical momentum distributions, respectively. (author)

  1. A comparative study of amorphous InGaZnO thin-film transistors with HfOxNy and HfO2 gate dielectrics

    International Nuclear Information System (INIS)

    Zou, Xiao; Tong, Xingsheng; Fang, Guojia; Yuan, Longyan; Zhao, Xingzhong

    2010-01-01

    High-κ HfO x N y and HfO 2 films are applied to amorphous InGaZnO (a-IGZO) devices as gate dielectric using radio-frequency reactive sputtering. The electrical characteristics and reliability of a-IGZO metal–insulator–semiconductor (MIS) capacitors and thin-film transistors (TFTs) are then investigated. Experimental results indicate that the nitrogen incorporation into HfO 2 can effectively improve the interface quality and enhance the reliability of the devices. Electrical properties with an interface-state density of 5.2 × 10 11 eV −1 cm −2 , capacitance equivalent thickness of 1.65 nm, gate leakage current density of 3.4 × 10 −5 A cm −2 at V fb +1 V, equivalent permittivity of 23.6 and hysteresis voltage of 110 mV are obtained for an Al/HfO x N y /a-IGZO MIS capacitor. Superior performance of HfO x N y /a-IGZO TFTs has also been achieved with a low threshold voltage of 0.33 V, a high saturation mobility of 12.1 cm 2 V −1 s −1 and a large on–off current ratio up to 7 × 10 7 (W/L = 500/20 µm) at 3 V

  2. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for Advanced CMOS Devices

    Directory of Open Access Journals (Sweden)

    Masamichi Suzuki

    2012-03-01

    Full Text Available A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3 high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process.

  3. Thermal Conductivity and High-Frequency Dielectric Properties of Pressureless Sintered SiC-AlN Multiphase Ceramics

    Directory of Open Access Journals (Sweden)

    Jialin Gu

    2018-06-01

    Full Text Available SiC-AlN multiphase ceramics with 10 wt. %Y2O3-BaO-SiO2 additives were fabricated by pressureless sintering in a nitrogen atmosphere. The effects of SiC contents and sintering temperatures on the sinterability, microstructure, thermal conductivity and high-frequency dielectric properties were characterized. In addition to 6H-SiC and AlN, the samples also contained Y3Al5O12 and Y4Al2O9. SiC-AlN ceramics sintered with 50 wt. % SiC at 2173 K exhibited the best thermal diffusivity and thermal conductivity (26.21 mm2·s−1 and 61.02 W·m−1·K−1, respectively. The dielectric constant and dielectric loss of the sample sintered with 50 wt. % SiC and 2123 K were 33–37 and 0.4–0.5 at 12.4–18 GHz. The dielectric constant and dielectric loss of the samples decreased as the frequency of electromagnetic waves increased from 12.4–18 GHz. The dielectric thermal conductivity properties of the SiC-AlN samples are discussed.

  4. Low frequency ac conduction and dielectric relaxation in poly(N ...

    Indian Academy of Sciences (India)

    The ac conductivity and dielectric constant of poly(N-methyl pyrrole) thin films have been investigated in the temperature range 77–350 K and in the frequency range 102–106 Hz. The well defined loss peaks have been observed in the temperature region where measured ac conductivity approaches dc conductivity.

  5. On the nature of high field charge transport in reinforced silicone dielectrics: Experiment and simulation

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Yanhui, E-mail: huangy12@rpi.edu; Schadler, Linda S. [Department of Material Science and Engineering, Rensselaer Polytechnic Institute, 110 8th street, Troy, New York 12180 (United States)

    2016-08-07

    The high field charge injection and transport properties in reinforced silicone dielectrics were investigated by measuring the time-dependent space charge distribution and the current under dc conditions up to the breakdown field and were compared with the properties of other dielectric polymers. It is argued that the energy and spatial distribution of localized electronic states are crucial in determining these properties for polymer dielectrics. Tunneling to localized states likely dominates the charge injection process. A transient transport regime arises due to the relaxation of charge carriers into deep traps at the energy band tails and is successfully verified by a Monte Carlo simulation using the multiple-hopping model. The charge carrier mobility is found to be highly heterogeneous due to the non-uniform trapping. The slow moving electron packet exhibits a negative field dependent drift velocity possibly due to the spatial disorder of traps.

  6. Structural and electrical properties of Ta2O5 thin films prepared by ...

    Indian Academy of Sciences (India)

    The dielectric constant and leakage current density of the Ta2O5 thin films increase with increasing powers of the UV- lamps. Effects of UV- lamp powers on the structural and electrical properties were discussed. Keywords. Chemical vapour deposition processes; oxides; dielectric material; MOS capacitor. 1. Introduction.

  7. Lanthanide-Assisted Deposition of Strongly Electro-optic PZT Thin Films on Silicon: Toward Integrated Active Nanophotonic Devices.

    Science.gov (United States)

    George, J P; Smet, P F; Botterman, J; Bliznuk, V; Woestenborghs, W; Van Thourhout, D; Neyts, K; Beeckman, J

    2015-06-24

    The electro-optical properties of lead zirconate titanate (PZT) thin films depend strongly on the quality and crystallographic orientation of the thin films. We demonstrate a novel method to grow highly textured PZT thin films on silicon using the chemical solution deposition (CSD) process. We report the use of ultrathin (5-15 nm) lanthanide (La, Pr, Nd, Sm) based intermediate layers for obtaining preferentially (100) oriented PZT thin films. X-ray diffraction measurements indicate preferentially oriented intermediate Ln2O2CO3 layers providing an excellent lattice match with the PZT thin films grown on top. The XRD and scanning electron microscopy measurements reveal that the annealed layers are dense, uniform, crack-free and highly oriented (>99.8%) without apparent defects or secondary phases. The EDX and HRTEM characterization confirm that the template layers act as an efficient diffusion barrier and form a sharp interface between the substrate and the PZT. The electrical measurements indicate a dielectric constant of ∼650, low dielectric loss of ∼0.02, coercive field of 70 kV/cm, remnant polarization of 25 μC/cm(2), and large breakdown electric field of 1000 kV/cm. Finally, the effective electro-optic coefficients of the films are estimated with a spectroscopic ellipsometer measurement, considering the electric field induced variations in the phase reflectance ratio. The electro-optic measurements reveal excellent linear effective pockels coefficients of 110 to 240 pm/V, which makes the CSD deposited PZT thin film an ideal candidate for Si-based active integrated nanophotonic devices.

  8. Dielectric and thermal properties of isotactic polypropylene/hexagonal boron nitride composites for high-frequency applications

    International Nuclear Information System (INIS)

    Takahashi, Susumu; Imai, Yusuke; Kan, Akinori; Hotta, Yuji; Ogawa, Hirotaka

    2014-01-01

    Highlights: • The degree of orientation of the hBN could be controlled by the fabrication process. • The dielectric constants of composites ranged between 2.25 and 3.39. • The dielectric loss of composites was on the order of 10 −4 for all compositions. • The thermal conductivity were improved by controlling orientation of hBN. - Abstract: Dielectric composites aimed for high frequency applications were prepared by using anisotropic hexagonal boron nitride (hBN) particles as a fillers and isotactic polypropylene (iPP) as polymer matrix. Dielectric and thermal properties of the composites were studied, focusing on the filler orientation in the plate-shape specimens and filler concentration up to 40 vol%. The degree of orientation of the filler was controlled by the composite fabrication process. Hot-pressing gave relatively random orientation of the filler in the matrix, while injection molding induced a high orientation. Dielectric constant (ε r ) of the composites ranged between 2.25 and 3.39. The estimation of ε r based on the Bruggeman mixing model agreed well with the measured value. Low dielectric losses (tan δ) at microwave frequencies, on the order of 10 −4 , were obtained for all the compositions. Through-thickness thermal conductivity (k) of the hot-pressed samples showed a drastic increase with increasing the filler concentration, reaching up to 2.1 W/m K at 40 vol% of hBN. The filler concentration dependence of k was less significant for the injection molded composites. In-plane thermal expansion was almost independent on the filler orientation, while the coefficient of thermal expansion for the thickness direction of the hot-pressed sample was reduced to approximately half of the injection molded counterpart. These differences in thermal conductivity and thermal expansion are thought to arise from the difference in hBN filler orientation

  9. Applicability of point-dipoles approximation to all-dielectric metamaterials

    DEFF Research Database (Denmark)

    Kuznetsova, S. M.; Andryieuski, Andrei; Lavrinenko, Andrei

    2015-01-01

    All-dielectric metamaterials consisting of high-dielectric inclusions in a low-dielectric matrix are considered as a low-loss alternative to resonant metal-based metamaterials. In this paper we investigate the applicability of the point electric and magnetic dipoles approximation to dielectric meta......-atoms on the example of a dielectric ring metamaterial. Despite the large electrical size of high-dielectric meta-atoms, the dipole approximation allows for accurate prediction of the metamaterials properties for the rings with diameters up to approximate to 0.8 of the lattice constant. The results provide important...... guidelines for design and optimization of all-dielectric metamaterials....

  10. Dielectric properties of thin C r2O3 films grown on elemental and oxide metallic substrates

    Science.gov (United States)

    Mahmood, Ather; Street, Michael; Echtenkamp, Will; Kwan, Chun Pui; Bird, Jonathan P.; Binek, Christian

    2018-04-01

    In an attempt to optimize leakage characteristics of α-C r2O3 thin films, its dielectric properties were investigated at local and macroscopic scale. The films were grown on Pd(111), Pt(111), and V2O3 (0001), supported on A l2O3 substrate. The local conductivity was measured by conductive atomic force microscopy mapping of C r2O3 surfaces, which revealed the nature of defects that formed conducting paths with the bottom Pd or Pt layer. A strong correlation was found between these electrical defects and the grain boundaries revealed in the corresponding topographic scans. In comparison, the C r2O3 film on V2O3 exhibited no leakage paths at similar tip bias value. Electrical resistance measurements through e-beam patterned top electrodes confirmed the resistivity mismatch between the films grown on different electrodes. The x-ray analysis attributes this difference to the twin free C r2O3 growth on V2O3 seeding.

  11. High-strain actuator materials based on dielectric elastomers

    DEFF Research Database (Denmark)

    Pelrine, R.; Kornbluh, R.; Kofod, G.

    2000-01-01

    Dielectric elastomers are a new class of actuator materials that exhibit excellent performance. The principle of operation, as well as methods to fabricate and test these elastomers, is summarized here. The Figure is a sketch of an elastomer film (light gray) stretched on a frame (black) and patt......Dielectric elastomers are a new class of actuator materials that exhibit excellent performance. The principle of operation, as well as methods to fabricate and test these elastomers, is summarized here. The Figure is a sketch of an elastomer film (light gray) stretched on a frame (black...

  12. Achieving superior band gap, refractive index and morphology in composite oxide thin film systems violating the Moss rule

    International Nuclear Information System (INIS)

    Sahoo, N K; Thakur, S; Tokas, R B

    2006-01-01

    The interrelation between energy gap and high frequency refractive index in semiconductors and dielectrics is manifested by an inverse law which is popularly known as the Moss rule. This semi-empirical relationship is based on the fundamental principle that in a dielectric medium all energy levels are scaled down by a factor of the square of the dielectric constant. Such a rule is obeyed by most pure semiconductors and dielectrics with a few rare violations in composite materials which display several interesting parametric and microstructural evolutions. The present results are based on some specific oxide composite thin films involving Gd 2 O 3 /SiO 2 and ZrO 2 /SiO 2 codeposited systems that have displayed a superior refractive index and energy gaps violating the semi-empirical Moss rule. Also, morphological supremacy is also distinctly noticed in these composites. The novel microstructural and polarizability properties of such composite systems were probed through multi-mode atomic force microscopy and phase modulated spectroscopic ellipsometry using refractive index modelling, autocorrelation and height-height correlation functional analyses. These binary composite thin films have shown their potential as well as the possibility of meeting expectations in satisfying the challenging optical coating requirements of the deep ultraviolet spectral region

  13. Actuated polymer based dielectric mirror for visual spectral range applications

    Science.gov (United States)

    Vergara, Pedro P.; Lunardi, Leda

    2017-08-01

    Miniature dielectric mirrors are useful components for lasers, thin film beam splitters and high quality mirrors in optics. These mirrors usually made from rigid inorganic materials can achieve a reflectance of almost one hundred percent. Being structural components, as soon as fabricated their reflectance and/or bandwidth remains constant. Here it is presented a novel fabrication process of a dielectric mirror based on free standing polymer layers. By applying an electrostatic force between the top and the bottom layers the reflectance can be changed. The large difference between the polymers refractive index and the air allows to achieve a reflectance of more than 85% using only six pairs of nanolayers. Preliminary simulations indicate an actuation speed of less than 1ms. Experimental optical characterization of fabricated structures agrees well with simulation results. Furthermore, structures can be designed to reflect a particular set of colors and/or isolated by using color filters, so a color pixel is fabricated, where the reflectance for each isolated color can be voltage controlled. Potential applications include an active component in a reflective screen display.

  14. Sputtered highly oriented PZT thin films for MEMS applications

    Science.gov (United States)

    Kalpat, Sriram S.

    Recently there has been an explosion of interest in the field of micro-electro-mechanical systems (MEMS). MEMS device technology has become critical in the growth of various fields like medical, automotive, chemical, and space technology. Among the many applications of ferroelectric thin films in MEMS devices, microfluidics is a field that has drawn considerable amount of research from bio-technology industries as well as chemical and semiconductor manufacturing industries. PZT thin films have been identified as best suited materials for micro-actuators and micro-sensors used in MEMS devices. A promising application for piezoelectric thin film based MEMS devices is disposable drug delivery systems that are capable of sensing biological parameters, mixing and delivering minute and precise amounts of drugs using micro-pumps or micro mixers. These devices call for low driving voltages, so that they can be battery operated. Improving the performance of the actuator material is critical in achieving battery operated disposal drug delivery systems. The device geometry and power consumption in MEMS devices largely depends upon the piezoelectric constant of the films, since they are most commonly used to convert electrical energy into a mechanical response of a membrane or cantilever and vice versa. Phenomenological calculation on the crystal orientation dependence of piezoelectric coefficients for PZT single crystal have reported a significant enhancement of the piezoelectric d33 constant by more than 3 times along [001] in the rhombohedral phase as compared to the conventionally used orientation PZT(111) since [111] is the along the spontaneous polarization direction. This could mean considerable improvement in the MEMS device performance and help drive the operating voltages lower. The motivation of this study is to investigate the crystal orientation dependence of both dielectric and piezoelectric coefficients of PZT thin films in order to select the appropriate

  15. Highly c-axis-oriented monocrystalline Pb(Zr, Ti)O₃ thin films on si wafer prepared by fast cooling immediately after sputter deposition.

    Science.gov (United States)

    Yoshida, Shinya; Hanzawa, Hiroaki; Wasa, Kiyotaka; Esashi, Masayoshi; Tanaka, Shuji

    2014-09-01

    We successfully developed sputter deposition technology to obtain a highly c-axis-oriented monocrystalline Pb(Zr, Ti)O3 (PZT) thin film on a Si wafer by fast cooling (~-180°C/min) of the substrate after deposition. The c-axis orientation ratio of a fast-cooled film was about 90%, whereas that of a slow-cooled (~-40°C/min) film was only 10%. The c-axis-oriented monocrystalline Pb(Zr0.5, Ti0.5)O3 films showed reasonably large piezoelectric coefficients, e(31,f) = ~-11 C/m(2), with remarkably small dielectric constants, ϵ(r) = ~220. As a result, an excellent figure of merit (FOM) was obtained for piezoelectric microelectromechanical systems (MEMS) such as a piezoelectric gyroscope. This c-axis orientation technology on Si will extend industrial applications of PZT-based thin films and contribute further to the development of piezoelectric MEMS.

  16. 500 C Electronic Packaging and Dielectric Materials for High Temperature Applications

    Science.gov (United States)

    Chen, Liang-yu; Neudeck, Philip G.; Spry, David J.; Beheim, Glenn M.; Hunter, Gary W.

    2016-01-01

    High-temperature environment operable sensors and electronics are required for exploring the inner solar planets and distributed control of next generation aeronautical engines. Various silicon carbide (SiC) high temperature sensors, actuators, and electronics have been demonstrated at and above 500C. A compatible packaging system is essential for long-term testing and application of high temperature electronics and sensors. High temperature passive components are also necessary for high temperature electronic systems. This talk will discuss ceramic packaging systems developed for high temperature electronics, and related testing results of SiC circuits at 500C and silicon-on-insulator (SOI) integrated circuits at temperatures beyond commercial limit facilitated by these high temperature packaging technologies. Dielectric materials for high temperature multilayers capacitors will also be discussed. High-temperature environment operable sensors and electronics are required for probing the inner solar planets and distributed control of next generation aeronautical engines. Various silicon carbide (SiC) high temperature sensors, actuators, and electronics have been demonstrated at and above 500C. A compatible packaging system is essential for long-term testing and eventual applications of high temperature electronics and sensors. High temperature passive components are also necessary for high temperature electronic systems. This talk will discuss ceramic packaging systems developed for high electronics and related testing results of SiC circuits at 500C and silicon-on-insulator (SOI) integrated circuits at temperatures beyond commercial limit facilitated by high temperature packaging technologies. Dielectric materials for high temperature multilayers capacitors will also be discussed.

  17. FDTD modeling of thin impedance sheets

    Science.gov (United States)

    Luebbers, Raymond J.; Kunz, Karl S.

    1991-01-01

    Thin sheets of resistive or dielectric material are commonly encountered in radar cross section calculations. Analysis of such sheets is simplified by using sheet impedances. In this paper it is shown that sheet impedances can be modeled easily and accurately using Finite Difference Time Domain (FDTD) methods.

  18. Towards the accurate electronic structure descriptions of typical high-constant dielectrics

    Science.gov (United States)

    Jiang, Ting-Ting; Sun, Qing-Qing; Li, Ye; Guo, Jiao-Jiao; Zhou, Peng; Ding, Shi-Jin; Zhang, David Wei

    2011-05-01

    High-constant dielectrics have gained considerable attention due to their wide applications in advanced devices, such as gate oxides in metal-oxide-semiconductor devices and insulators in high-density metal-insulator-metal capacitors. However, the theoretical investigations of these materials cannot fulfil the requirement of experimental development, especially the requirement for the accurate description of band structures. We performed first-principles calculations based on the hybrid density functionals theory to investigate several typical high-k dielectrics such as Al2O3, HfO2, ZrSiO4, HfSiO4, La2O3 and ZrO2. The band structures of these materials are well described within the framework of hybrid density functionals theory. The band gaps of Al2O3, HfO2, ZrSiO4, HfSiO4, La2O3 and ZrO2are calculated to be 8.0 eV, 5.6 eV, 6.2 eV, 7.1 eV, 5.3 eV and 5.0 eV, respectively, which are very close to the experimental values and far more accurate than those obtained by the traditional generalized gradient approximation method.

  19. Alumina nanoparticle/polymer nanocomposite dielectric for flexible amorphous indium-gallium-zinc oxide thin film transistors on plastic substrate with superior stability

    Energy Technology Data Exchange (ETDEWEB)

    Lai, Hsin-Cheng [Department of Electrical Engineering, National Chung Hsing University, Taichung 40227, Taiwan (China); Pei, Zingway, E-mail: zingway@dragon.nchu.edu.tw [Department of Electrical Engineering, National Chung Hsing University, Taichung 40227, Taiwan (China); Graduate Institute of Optoelectronic Engineering, National Chung Hsing University, Taichung 40227, Taiwan (China); Center of Nanoscience and Nanotechnology, National Chung Hsing University, Taichung 40227, Taiwan (China); Jian, Jyun-Ruri; Tzeng, Bo-Jie [Graduate Institute of Optoelectronic Engineering, National Chung Hsing University, Taichung 40227, Taiwan (China)

    2014-07-21

    In this study, the Al{sub 2}O{sub 3} nanoparticles were incorporated into polymer as a nono-composite dielectric for used in a flexible amorphous Indium-Gallium-Zinc Oxide (a-IGZO) thin-film transistor (TFT) on a polyethylene naphthalate substrate by solution process. The process temperature was well below 100 °C. The a-IGZO TFT exhibit a mobility of 5.13 cm{sup 2}/V s on the flexible substrate. After bending at a radius of 4 mm (strain = 1.56%) for more than 100 times, the performance of this a-IGZO TFT was nearly unchanged. In addition, the electrical characteristics are less altered after positive gate bias stress at 10 V for 1500 s. Thus, this technology is suitable for use in flexible displays.

  20. Homogeneous/Inhomogeneous-Structured Dielectrics and their Energy-Storage Performances.

    Science.gov (United States)

    Yao, Zhonghua; Song, Zhe; Hao, Hua; Yu, Zhiyong; Cao, Minghe; Zhang, Shujun; Lanagan, Michael T; Liu, Hanxing

    2017-05-01

    The demand for dielectric capacitors with higher energy-storage capability is increasing for power electronic devices due to the rapid development of electronic industry. Existing dielectrics for high-energy-storage capacitors and potential new capacitor technologies are reviewed toward realizing these goals. Various dielectric materials with desirable permittivity and dielectric breakdown strength potentially meeting the device requirements are discussed. However, some significant limitations for current dielectrics can be ascribed to their low permittivity, low breakdown strength, and high hysteresis loss, which will decrease their energy density and efficiency. Thus, the implementation of dielectric materials for high-energy-density applications requires the comprehensive understanding of both the materials design and processing. The optimization of high-energy-storage dielectrics will have far-reaching impacts on the sustainable energy and will be an important research topic in the near future. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.