WorldWideScience

Sample records for high current implanter

  1. Development of a high current ion implanter

    International Nuclear Information System (INIS)

    Choi, Byung Ho; Kim, Wan; Jin, Jeong Tae

    1990-01-01

    A high current ion implanter of the energy of 100 Kev and the current of about 100 mA has been developed for using the high dose ion implantation, surface modification of steels and ceramics, and ion beam milling. The characteristics of the beam extraction and transportation are investigated. A duoPIGatron ion source compatible with gas ion extraction of about 100 mA, a single gap acceleration tube which is able to compensate the divergence due to the space charge effect, and a beam transport system with the concept of the space charge neutralization are developed for the high current machine. The performance of the constructed machine shows that nitrogen, argon, helium, hydrogen and oxygen ion beams are successfully extracted and transported at a beam divergence due to space charge effect is negligible in the operation pressure of 2 x 10 -5 torr. (author)

  2. Versatile high current metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1992-01-01

    A metal ion implantation facility has been developed with which high current beams of practically all the solid metals of the periodic table can be produced. A multicathode, broad-beam, metal vapor vacuum arc ion source is used to produce repetitively pulsed metal ion beams at an extraction voltage of up to 100 kV, corresponding to an ion energy of up to several hundred kiloelectronvolts because of the ion charge state multiplicity, and with a beam current of up to several amps peak pulsed and several tens of milliamps time averaged delivered onto a downstream target. Implantation is done in a broad-beam mode, with a direct line of sight from ion source to target. Here we summarize some of the features of the ion source and the implantation facility that has been built up around it. (orig)

  3. Broad-beam, high current, metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-07-01

    We have developed a high current metal ion implantation facility with which high current beams of virtually all the solid metals of the Periodic Table can be produced. The facility makes use of a metal vapor vacuum arc ion source which is operated in a pulsed mode, with pulse width 0.25 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, corresponding to an ion energy of up to several hundred keV because of the ion charge state multiplicity; beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Implantation is done in a broad-beam mode, with a direct line-of-sight from ion source to target. Here we describe the facility and some of the implants that have been carried out using it, including the 'seeding' of silicon wafers prior to CVD with titanium, palladium or tungsten, the formation of buried iridium silicide layers, and actinide (uranium and thorium) doping of III-V compounds. 16 refs., 6 figs

  4. RIKEN 200 kV high current implanter for metal surface modification

    International Nuclear Information System (INIS)

    Iwaki, M.; Yoshida, K.; Sakudo, N.

    1985-01-01

    A high current, metal ion implanter was constructed in order to aid the formation of a new metastable surface alloy. This implanter, called a RIKEN 200 kV high current implanter, is a modified Lintott high current machine (Series III), which has the advantages of having its own microwave ion source and an extra target chamber. The microwave discharge ion source without a hot-filament has a comparatively long lifetime because the chloride ions and radicals in a plasma during discharge of metal chlorides might prevent metal to deposit on the inner walls of the discharge chamber by bombarding and chemically cleaning them. An extra target chamber for metal modification is able to control the surface composition by utilizing the sputtering effect of the ion beam during ion implantation. The use of this ion source and the extra target chamber is suggested to be suitable for the production of metallic ions and for the implantation into metals. The case study will be introduced for TI implantation into Fe. (orig.)

  5. High current pelletron for ion implantation

    International Nuclear Information System (INIS)

    Schroeder, J.B.

    1989-01-01

    Since 1984, when the first production MeV ion implanter (an NEC model MV-T30) went on-line, interest in versatile electrostatic accelerator systems for MeV ion implantation has grown. The systems use a negative ion source to inject a tandem megavolt accelerator. In early systems the 0.4 mA of charging current from the two Pelletron charging chains in the accelerator was sufficient for the low intensity of beams from the ion source. This 2-chain system, however, is no longer adequate for the much higher beam intensities from today's improved ion sources. A 4-chain charging system, which delivers 1.3 mA to the high voltage terminal, was developed and is in operation in new models of NEC S Series Pelletron accelerators. This paper describes the latest beam performance of 1 MV and 1.7 MW Pelletron accelerators with this new 4-chain charging system. (orig.)

  6. Design of an end station for a high current ion implantation system

    International Nuclear Information System (INIS)

    Kranik, J.R.

    1979-01-01

    During the last 4 to 5 years IBM has been involved in an effort to develop a high current Ion Implantation system with pre-deposition capabilities. The system is dedicated to Arsenic implants, involving doses > 1 x 10 15 ions/cm 2 in the energy range of 30 to 60 keV. A major portion of this effort involved the design of an associated end station capable of producing high uniformity implants with beam currents in the 0.5 to 6.0 mA range. The end station contains all components from the exit of the analyzing magnet, including the exit beamline, process chamber, scan system, wafer handling system, high vacuum pumping package, beam optics, dosimetry system, and associated electronic controls. The unit was restricted to a six wafer (82 mm) batch size to maintain process line compatibility. In addition, implant dose non-uniformity objectives were established at +- 3% (2σ) within a wafer and +- 2% (2σ) wafer-to-wafer. Also, the system was to be capable of implanting 24 wafers/hour at a dose of 7.5 x 10 15 ions/cm 2 . Major consideration in the design was afforded to high reliability, ease of maintenance and production level throughput capabilities. The rationale and evolution of the final end station design is described. (author)

  7. Current control for magnetized plasma in direct-current plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Tang Deli; Chu, Paul K.

    2003-01-01

    A method to control the ion current in direct-current plasma-immersion ion implantation (PIII) is reported for low-pressure magnetized inductively coupled plasma. The ion current can be conveniently adjusted by applying bias voltage to the conducting grid that separates plasma formation and implantation (ion acceleration) zones without the need to alter the rf input power, gas flux, or other operating conditions. The ion current that diminishes with an increase in grid bias in magnetized plasmas can be varied from 48 to 1 mA by increasing the grid voltage from 0 to 70 V at -50 kV sample bias and 0.5 mTorr hydrogen pressure. High implantation voltage and monoenergetic immersion implantation can now be achieved by controlling the ion current without varying the macroscopic plasma parameters. The experimental results and interpretation of the effects are presented in this letter. This technique is very attractive for PIII of planar samples that require on-the-fly adjustment of the implantation current at high implantation voltage but low substrate temperature. In some applications such as hydrogen PIII-ion cut, it may obviate the need for complicated sample cooling devices that must work at high voltage

  8. Analysis techniques of charging damage studied on three different high-current ion implanters

    Science.gov (United States)

    Felch, S. B.; Larson, L. A.; Current, M. I.; Lindsey, D. W.

    1989-02-01

    One of the Greater Silicon Valley Implant Users' Group's recent activities has been to sponsor a round-robin on charging damage, where identical wafers were implanted on three different state-of-the-art, high-current ion implanters. The devices studied were thin-dielectric (250 Å SiO2), polysilicon-gate MOS capacitors isolated by thick field oxide. The three implanters involved were the Varian/Extrion 160XP, the Eaton/Nova 10-80, and the Applied Materials PI9000. Each implanter vendor was given 48 wafers to implant with 100 keV As+ ions at a dose of 1 × 1016 cm-2. Parameters that were varied include the beam current, electron flood gun current, and chamber pressure. The charge-to-breakdown, breakdown voltage, and leakage current of several devices before anneal have been measured. The results from these tests were inconclusive as to the physical mechanism of charging and as to the effectiveness of techniques to reduce its impact on devices. However, the methodology of this study is discussed in detail to aid in the planning of future experiments. Authors' industrial affiliations: S.B. Felch, Varian Research Center, 611 Hansen Way, Palo Alto, CA 94303, USA; L.A. Larson, National Semiconductor Corp., P.O. Box 58090, Santa Clara, CA 95052-8090, USA; M.I. Current, Applied Materials, 3050 Bowers Ave., Santa Clara, CA 95054, USA; D.W. Lindsey, Eaton/NOVA, 931 Benicia Ave, Sunnyvale, CA 94086, USA.

  9. Characterization and control of wafer charging effects during high-current ion implantation

    International Nuclear Information System (INIS)

    Current, M.I.; Lukaszek, W.; Dixon, W.; Vella, M.C.; Messick, C.; Shideler, J.; Reno, S.

    1994-02-01

    EEPROM-based sense and memory devices provide direct measures of the charge flow and potentials occurring on the surface of wafers during ion beam processing. Sensor design and applications for high current ion implantation are discussed

  10. High-current and low acceleration voltage arsenic ion implanted polysilicon-gate and source-drain electrode Si mos transistor

    International Nuclear Information System (INIS)

    Saito, Yasuyuki; Sugimura, Yoshiro; Sugihara, Michiyuki

    1993-01-01

    The fabrication process of high current arsenic (As) ion implanted polysilicon (Si) gate and source drain (SD) electrode Si n-channel metal oxide-semiconductor field effect transistor (MOSFET) was examined. Poly Si film n-type doping was performed by using high current (typical current: 2mA) and relatively low acceleration voltage (40keV) As ion implantation technique (Lintott series 3). It was observed that high dose As implanted poly Si films as is show refractoriness against radical fluorine excited by microwave. Using GCA MANN4800 (m/c ID No.2, resist: OFPR) mask pattern printing technique, the high current As ion implantation technique and radical fluorine gas phase etching (Chemical dry etching: CDE) technique, the n-channel Poly Si gate (ρs = ≅100Ω/□) enhancement MQSFETs(ρs source drain = ≅50Ω/□, SiO 2 gate=380 angstrom) with off-leak-less were obtained on 3 inch Czochralski grown 2Ωcm boron doped p type wafers (Osaka titanium). By the same process, a 8 bit single chip μ-processor with 26MHz full operation was performed

  11. Managing peri-implant bone loss: current understanding.

    Science.gov (United States)

    Aljateeli, Manar; Fu, Jia-Hui; Wang, Hom-Lay

    2012-05-01

    With the improved macro- and micro-designs, dental implants enjoy a high survival rate. However, peri-implant bone loss has recently emerged to be the focus of implant therapy. As such, researchers and clinicians are in need of finding predictable techniques to treat peri-implant bone loss and stop its progression. Literature search on the currently available treatment modalities was performed and a brief description of each modality was provided. Numerous techniques have been proposed and none has been shown to be superior and effective in managing peri-implant bone loss. This may be because of the complex of etiological factors acting on the implant-supported prosthesis hence the treatment approach has to be individually tailored. Due to the lack of high-level clinical evidence on the management of peri-implant bone loss, the authors, through a literature review, attempt to suggest a decision tree or guideline, based on sound periodontal surgical principles, to aid clinicians in managing peri-implantitis associated bone loss. © 2011 Wiley Periodicals, Inc.

  12. Architecture and control of a high current ion implanter system

    International Nuclear Information System (INIS)

    Bayer, E.H.; Paul, L.F.; Kranik, J.R.

    1979-01-01

    The design of an ion implant system for use in production requires that special attention be given to areas of design which normally are not emphasized on research or development type ion implanters. Manually operated, local controls are replaced by remote controls, automatic sequencing, and digital displays. For ease of maintenance and replication the individual components are designed as simply as possible and are contained in modules of separate identities, joined only by the beam line and electrical interconnections. A production environment also imposes requirements for the control of contamination and maintainability of clean room integrity. For that reason the major portion of the hardware is separated from the clean operator area and is housed in a maintenance core area. The controls of a production system should also be such that relatively unskilled technicians are able to operate the system with optimum repeatability and minimum operator intervention. An extensive interlock system is required. Most important, for use in production the ion implant system has to have a relatively high rate of throughput. Since the rate of throughput at a given dose is a function of beam current, pumpdown time and wafer handling capacity, design of components affecting these parameters has been optimized. Details of the system are given. (U.K.)

  13. Characterisation Of The Beam Plasma In High Current, Low Energy Ion Beams For Implanters

    International Nuclear Information System (INIS)

    Fiala, J.; Armour, D. G.; Berg, J. A. van der; Holmes, A. J. T.; Goldberg, R. D.; Collart, E. H. J.

    2006-01-01

    The effective transport of high current, positive ion beams at low energies in ion implanters requires the a high level of space charge compensation. The self-induced or forced introduction of electrons is known to result in the creation of a so-called beam plasma through which the beam propagates. Despite the ability of beams at energies above about 3-5 keV to create their own neutralising plasmas and the development of highly effective, plasma based neutralising systems for low energy beams, very little is known about the nature of beam plasmas and how their characteristics and capabilities depend on beam current, beam energy and beamline pressure. These issues have been addressed in a detailed scanning Langmuir probe study of the plasmas created in beams passing through the post-analysis section of a commercial, high current ion implanter. Combined with Faraday cup measurements of the rate of loss of beam current in the same region due to charge exchange and scattering collisions, the probe data have provided a valuable insight into the nature of the slow ion and electron production and loss processes. Two distinct electron energy distribution functions are observed with electron temperatures ≥ 25 V and around 1 eV. The fast electrons observed must be produced in their energetic state. By studying the properties of the beam plasma as a function of the beam and beamline parameters, information on the ways in which the plasma and the beam interact to reduce beam blow-up and retain a stable plasma has been obtained

  14. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    Science.gov (United States)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  15. A high current metal vapour vacuum arc ion source for ion implantation studies

    International Nuclear Information System (INIS)

    Evans, P.J.; Noorman, J.T.; Watt, G.C.; Cohen, D.D.; Bailey, G.M.

    1989-01-01

    The main features of the metal vapour vacuum arc(MEVA) as an ion source are presented. The technology utilizes the plasma production capabilities of a vacuum arc cathode. Some of the ions produced in this discharge flow through the anode and the 3 extraction grids to form an extracted ion beam. The high beam current and the potential for generating broad beams, make this technology suitable for implantation of large surface areas. The composition of the vacuum arc cathode determines the particular ions obtained from the MEVA source. 3 refs., 1 tab., 2 figs

  16. Negative-ion current density dependence of the surface potential of insulated electrode during negative-ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Okayama, Yoshio; Toyota, Yoshitaka; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kouji.

    1994-01-01

    Positive ion implantation has been utilized as the method of impurity injection in ultra-LSI production, but the problem of substrate charging cannot be resolved by conventional charge compensation method. It was forecast that by negative ion implantation, this charging problem can be resolved. Recently the experiment on the negative ion implantation into insulated electrodes was carried out, and the effect of negative ion implantation to this problem was proved. However, the dependence of charged potential on the increase of negative ion current at the time of negative ion implantation is a serious problem in large current negative ion implantation hereafter. The charged potential of insulated conductor substrates was measured by the negative ion implantation using the current up to several mA/cm 2 . The experimental method is explained. Medium current density and high current density negative ion implantation and charged potential are reported. Accordingly in negative ion implantation, if current density is optimized, the negative ion implantation without charging can be realized. (K.I.)

  17. Contraceptive implants: current perspectives

    Directory of Open Access Journals (Sweden)

    Rowlands S

    2014-09-01

    Full Text Available Sam Rowlands,1,2 Stephen Searle3 1Centre of Postgraduate Medical Research and Education, School of Health and Social Care, Bournemouth University, Bournemouth, United Kingdom; 2Dorset HealthCare, Bournemouth, United Kingdom; 3Sexual Health Services, Chesterfield, United KingdomAbstract: Progestin-only contraceptive implants are a highly cost-effective form of long-acting reversible contraception. They are the most effective reversible contraceptives and are of a similar effectiveness to sterilization. Pregnancies are rare in women using this method of contraception, and those that do occur must be fully investigated, with an ultrasound scan of the arm and serum etonogestrel level if the implant cannot be located. There are very few contraindications to use of implants, and they have an excellent safety profile. Both acceptability and continuation with the method are high. Noncontraceptive benefits include improvements in dysmenorrhea, ovulatory pain, and endometriosis. Problematic bleeding is a relatively common adverse effect that must be covered in preinsertion information-giving and supported adequately if it occurs. Recognized training for both insertion and removal should be undertaken. Care needs to be taken at both insertion and removal to avoid neurovascular injury. Implants should always be palpable; if they are not, noninsertion should be assumed until disproven. Etonogestrel implants are now radiopaque, which aids localization. Anticipated difficult removals should be performed by specially trained experts. Keywords: contraceptive, subdermal implant, etonogestrel, levonorgestrel, progestin-only, long-acting reversible contraception

  18. Comparison of experimental target currents with analytical model results for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    En, W.G.; Lieberman, M.A.; Cheung, N.W.

    1995-01-01

    Ion implantation is a standard fabrication technique used in semiconductor manufacturing. Implantation has also been used to modify the surface properties of materials to improve their resistance to wear, corrosion and fatigue. However, conventional ion implanters require complex optics to scan a narrow ion beam across the target to achieve implantation uniformity. An alternative implantation technique, called Plasma Immersion Ion Implantation (PIII), immerses the target into a plasma. The ions are extracted from the plasma directly and accelerated by applying negative high-voltage pulses to the target. An analytical model of the voltage and current characteristics of a remote plasma is presented. The model simulates the ion, electron and secondary electron currents induced before, during and after a high voltage negative pulse is applied to a target immersed in a plasma. The model also includes analytical relations that describe the sheath expansion and collapse due to negative high voltage pulses. The sheath collapse is found to be important for high repetition rate pulses. Good correlation is shown between the model and experiment for a wide variety of voltage pulses and plasma conditions

  19. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  20. Current trends in ion implantation

    International Nuclear Information System (INIS)

    Gwilliam, R.M.

    2001-01-01

    As semiconductor device dimensions continue to shrink, the drive beyond 250 nm is creating significant problems for the device processor. In particular, trends toward shallower-junctions, lower thermal budgets and simplified processing steps present severe challenges to ion implantation. In parallel with greater control of the implant process goes the need for a better understanding of the physical processes involved during implantation and subsequent activation annealing. For instance, the need for an understanding of dopant-defect interaction is paramount as defects mediate a number of technologically important phenomena such as transient enhanced diffusion and impurity gettering. This paper will outline the current trends in the ion implantation and some of the challenges it faces in the next decade, as described in the semiconductor roadmap. It will highlight some recent positron annihilation work that has made a contribution to addressing one of these challenges, namely the need for tighter control of implant uniformity and dose. Additionally, some vacancy-mediated processes are described with the implication that these may provide areas in which positron annihilation spectroscopy could make a significant contribution. (orig.)

  1. Characterization of ion implanted silicon by the electrolytic reverse current

    International Nuclear Information System (INIS)

    Hueller, J.; Pham, M.T.

    1977-01-01

    The current voltage behaviour of ion implanted silicon electrodes in HF electrolyte is investigated. The electrolytic reverse current, i.e. the reaction rate of the minority carrier limited reactions is found to increase. The current increase depends on the implanted dose and layer stripping. Reason for the increased reverse current can be referred to radiation damage acting as generation centres for minority carriers. Measurement of the electrolytic reverse current can be used for determining damage profiles. Layer stripping is carried out by anodic dissolution in the same electrolyte. The sensitivity of this new method for characterizing ion implanted silicon layers lies at 10 11 to 10 12 atoms/cm 2 . (author)

  2. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  3. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  4. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  5. Low preveance ion source bridges low and high intensities in ion implantation

    International Nuclear Information System (INIS)

    Orr, F.D.; Mayhall, D.

    1976-01-01

    The Low Perveance Ion Source developed by Accelerators, Inc. offers the Semiconductor Industry the advantage of processing medium to high intensity implants on a system which will also implant 200 to 300 wafers an hour at MOS doses. Stable source beam currents can be varied over three orders of magnitude by variation of a single source parameter. This source uses a new computer designed Low Perveance extraction optics which is completely new to the Ion Implantation Industry. Test data and calculations are shown which define the versatility of this system. Scanned currents from 1 microamp to 400 microamps allow for a variety of production processing. Beam characteristics feature low energy spread (less than 10 eV) and low divergence (less than 3 degrees). Beam control optics consist of a double focusing analyzing magnet and two triplet quadrupoles. The source may be fitted with an oven for feeding of solid materials and analyzed beam currents in the milliamp range for development purposes. The batch processing, hybrid scanning end station is most applicable for high current beams as well as high volume batch processings of MOS Implants. Results of development work toward increased currents using both solid and gas feed material with the Low Perveance source are presented. System improvements including Accel-Decel and a third extraction element are discussed

  6. Current status of grafts and implants in rhinoplasty: Part II. Homologous grafts and allogenic implants.

    Science.gov (United States)

    Sajjadian, Ali; Naghshineh, Nima; Rubinstein, Roee

    2010-03-01

    After reading this article, the participant should be able to: 1. Understand the challenges in restoring volume and structural integrity in rhinoplasty. 2. Identify the appropriate uses of various homologous grafts and allogenic implants in reconstruction, including: (a) freeze-dried acellular allogenic cadaveric dermis grafts, (b) irradiated cartilage grafts, (c) hydroxyapatite mineral matrix, (d) silicone implants, (e) high-density polyethylene implants, (f) polytetrafluoroethylene implants, and (g) injectable filler materials. 3. Identify the advantages and disadvantages of each of these biomaterials. 4. Understand the specific techniques that may aid in the use these grafts or implants. This review specifically addresses the use of homologous grafts and allogenic implants in rhinoplasty. It is important to stress that autologous materials remain the preferred graft material for use in rhinoplasty, owing to their high biocompatibility and low risk of infection and extrusion. However, concerns of donor-site morbidity, graft availability, and graft resorption have motivated the development and use of homologous and allogenic implants.

  7. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  8. Osseointegration of titanium, titanium alloy and zirconia dental implants: current knowledge and open questions.

    Science.gov (United States)

    Bosshardt, Dieter D; Chappuis, Vivianne; Buser, Daniel

    2017-02-01

    Bone healing around dental implants follows the pattern and sequence of intramembraneous osteogenesis with formation of woven bone first of all followed later by formation of parallel-fibered and lamellar bone. Bone apposition onto the implant surface starts earlier in trabecular bone than in compact bone. While the first new bone may be found on the implant surface around 1 week after installation, bone remodeling starts at between 6 and 12 weeks and continues throughout life. Bone remodeling also involves the bone-implant interface, thus transiently exposing portions of the implant surface. Surface modifications creating micro-rough implant surfaces accelerate the osseointegration process of titanium implants, as demonstrated in numerous animal experiments. Sandblasting followed by acid-etching may currently be regarded as the gold standard technique to create micro-rough surfaces. Chemical surface modifications, resulting in higher hydrophilicity, further increase the speed of osseointegration of titanium and titanium-zirconium implants in both animals and humans. Surface modifications of zirconia and alumina-toughened zirconia implants also have an influence on the speed of osseointegration, and some implant types reach high bone-to-implant contact values in animals. Although often discussed independently of each other, surface characteristics, such as topography and chemistry, are virtually inseparable. Contemporary, well-documented implant systems with micro-rough implant surfaces, placed by properly trained and experienced clinicians, demonstrate high long-term survival rates. Nevertheless, implant failures do occur. A low percentage of implants are diagnosed with peri-implantitis after 10 years in function. In addition, a low number of implants seem to be lost for primarily reasons other than biofilm-induced infection. Patient factors, such as medications interfering with the immune system and bone cells, may be an element contributing to continuous bone

  9. AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with reduced leakage current and enhanced breakdown voltage using aluminum ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Shichuang [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Fu, Kai, E-mail: kfu2009@sinano.ac.cn, E-mail: cqchen@mail.hust.edu.cn; Yu, Guohao; Zhang, Zhili; Song, Liang; Deng, Xuguang; Li, Shuiming; Sun, Qian; Cai, Yong; Zhang, Baoshun [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Qi, Zhiqiang; Dai, Jiangnan; Chen, Changqing, E-mail: kfu2009@sinano.ac.cn, E-mail: cqchen@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2016-01-04

    This letter has studied the performance of AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors on silicon substrate with GaN buffer treated by aluminum ion implantation for insulating followed by a channel regrown by metal–organic chemical vapor deposition. For samples with Al ion implantation of multiple energies of 140 keV (dose: 1.4 × 10{sup 14} cm{sup −2}) and 90 keV (dose: 1 × 10{sup 14} cm{sup −2}), the OFF-state leakage current is decreased by more than 3 orders and the breakdown voltage is enhanced by nearly 6 times compared to the samples without Al ion implantation. Besides, little degradation of electrical properties of the 2D electron gas channel is observed where the maximum drain current I{sub DSmax} at a gate voltage of 3 V was 701 mA/mm and the maximum transconductance g{sub mmax} was 83 mS/mm.

  10. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  11. Reducing Current Spread using Current Focusing in Cochlear Implant Users

    Science.gov (United States)

    Landsberger, David M.; Padilla, Monica; Srinivasan, Arthi G.

    2012-01-01

    Cochlear implant performance in difficult listening situations is limited by channel interactions. It is known that partial tripolar (PTP) stimulation reduces the spread of excitation (SOE). However, the greater the degree of current focusing, the greater the absolute current required to maintain a fixed loudness. As current increases, so does SOE. In experiment 1, the SOE for equally loud stimuli with different degrees of current focusing is measured via a forward-masking procedure. Results suggest that at a fixed loudness, some but not all patients have a reduced SOE with PTP stimulation. Therefore, it seems likely that a PTP speech processing strategy could improve spectral resolution for only those patients with a reduced SOE. In experiment 2, the ability to discriminate different levels of current focusing was measured. In experiment 3, patients subjectively scaled verbal descriptors of stimuli of various levels of current focusing. Both discrimination and scaling of verbal descriptors correlated well with SOE reduction, suggesting that either technique have the potential to be used clinically to quickly predict which patients would receive benefit from a current focusing strategy. PMID:22230370

  12. Current Concepts in Restorative Implant Dentistry

    Institute of Scientific and Technical Information of China (English)

    Prof.Marchack

    2009-01-01

    Patients today are incteasingly aware of dental implants.and their expectations are for esthetically and functionally pleasingimplant restorations that mimic natural teeth.This presentation will give both the experienced and novice practitioner a better understand-ing of how restorative implant dentistry has evolved.Treatment planning and restorative options for single implants.multiple implants andfully edentulons arches will be discussed,and the use of modern materials and CADCAM technology in fabricating the most contemporaryfixed implant supported prostheses will be demonstrated.

  13. Bruxism: overview of current knowledge and suggestions for dental implants planning.

    Science.gov (United States)

    Manfredini, Daniele; Bucci, Marco Brady; Sabattini, Vincenzo Bucci; Lobbezoo, Frank

    2011-10-01

    Bruxism is commonly considered a detrimental motor activity, potentially causing overload of the stomatognathic structures and representing a risk factor for dental implant survival. The available literature does not provide evidence-based guidelines for the management of bruxers undergoing implant-retained restorations. The present paper reviewed current concepts on bruxism etiology, diagnosis and management, underlining its effects on dental implants in an attempt to provide clinically useful suggestions based on scientifically sound data. Unfortunately, very little data exists on the subject of a cause-and-effect relationship between bruxism and implant failure, to the point that expert opinions and cautionary approaches are still considered the best available sources for suggesting good practice indicators. By including experimental literature data on the effects of different types of occlusal loading on peri-implant marginal bone loss along with data from studies investigating the intensity of the forces transmitted to the bone itself during tooth-clenching and tooth-grinding activities, the authors were able to compile the suggestions presented here for prosthetic implant rehabilitations in patients with bruxism.

  14. In situ beam angle measurement in a multi-wafer high current ion implanter

    International Nuclear Information System (INIS)

    Freer, B.S.; Reece, R.N.; Graf, M.A.; Parrill, T.; Polner, D.

    2005-01-01

    Direct, in situ measurement of the average angle and angular content of an ion beam in a multi-wafer ion implanter is reported for the first time. A new type of structure and method are described. The structures are located on the spinning disk, allowing precise angular alignment to the wafers. Current that passes through the structures is known to be within a range of angles and is detected behind the disk. By varying the angle of the disk around two axes, beam current versus angle is mapped and the average angle and angular spread are calculated. The average angle measured in this way is found to be consistent with that obtained by other techniques, including beam centroid offset and wafer channeling methods. Average angle of low energy beams, for which it is difficult to use other direct methods, is explored. A 'pencil beam' system is shown to give average angle repeatability of 0.13 deg. (1σ) or less, for two low energy beams under normal tuning variations, even though no effort was made to control the angle

  15. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  16. Azygos Vein Lead Implantation For High Defibrillation Thresholds In Implantable Cardioverter Defibrillator Placement

    Directory of Open Access Journals (Sweden)

    Naga VA Kommuri

    2010-01-01

    Full Text Available Evaluation of defibrillation threshold is a standard of care during implantation of implantable cardioverter defibrillator. High defibrillation thresholds are often encountered and pose a challenge to electrophysiologists to improve the defibrillation threshold. We describe a case series where defibrillation thresholds were improved after implanting a defibrillation lead in the azygos vein.

  17. Current State and Future Perspectives of Energy Sources for Totally Implantable Cardiac Devices.

    Science.gov (United States)

    Bleszynski, Peter A; Luc, Jessica G Y; Schade, Peter; PhilLips, Steven J; Tchantchaleishvili, Vakhtang

    There is a large population of patients with end-stage congestive heart failure who cannot be treated by means of conventional cardiac surgery, cardiac transplantation, or chronic catecholamine infusions. Implantable cardiac devices, many designated as destination therapy, have revolutionized patient care and outcomes, although infection and complications related to external power sources or routine battery exchange remain a substantial risk. Complications from repeat battery replacement, power failure, and infections ultimately endanger the original objectives of implantable biomedical device therapy - eliminating the intended patient autonomy, affecting patient quality of life and survival. We sought to review the limitations of current cardiac biomedical device energy sources and discuss the current state and trends of future potential energy sources in pursuit of a lifelong fully implantable biomedical device.

  18. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  19. Enhanced light extraction efficiency of GaN-based light-emittng diodes by nitrogen implanted current blocking layer

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yong Deok; Oh, Seung Kyu; Park, Min Joo; Kwak, Joon Seop, E-mail: jskwak@sunchon.ac.kr

    2016-10-15

    Highlights: • A nitrogen implanted current-blocking layer was successfully demonstrated. • Light-extraction efficiency and radiant intensity was increased by more than 20%. • Ion implantation was successfully implemented in GaN based light-emitting diodes. - Abstract: GaN-based light emitting diodes (LEDs) with a nitrogen implanted current-blocking layer (CBL) were successfully demonstrated for improving the light extraction efficiency (LEE) and radiant intensity. The LEE and radiant intensity of the LEDs with a shallow implanted CBL with nitrogen was greatly increased by more than 20% compared to that of a conventional LED without the CBL due to an increase in the effective current path, which reduces light absorption at the thick p-pad electrode. Meanwhile, deep implanted CBL with a nitrogen resulted in deterioration of the LEE and radiant intensity because of formation of crystal damage, followed by absorption of the light generated at the multi-quantum well(MQW). These results clearly suggest that ion implantation method, which is widely applied in the fabrication of Si based devices, can be successfully implemented in the fabrication of GaN based LEDs by optimization of implanted depth.

  20. Nonlinear model of high-dose implantation

    International Nuclear Information System (INIS)

    Danilyuk, A.

    2001-01-01

    The models of high-dose implantation, using the distribution functions, are relatively simple. However, they must take into account the variation of the function of distribution of the implanted ions with increasing dose [1-4]. This variation takes place owing to the fact that the increase of the concentration of the implanted ions results in a change of the properties of the target. High-dose implantation is accompanied by sputtering, volume growth, diffusion, generation of defects, formation of new phases, etc. The variation of the distribution function is determined by many factors and is not known in advance. The variation within the framework of these models [1-4] is taken into account in advance by the introduction of intuitive assumptions on the basis of implicit considerations. Therefore, these attempts should be regarded as incorrect. The model prepared here makes it possible to take into account the sputtering of the target, volume growth and additional declaration on the implanted ions. Without any assumptions in relation to the variation of the distribution function with increasing dose. In our model it is assumed that the type of distribution function for small doses in a pure target substance is the same as in substances with implanted ions. A second assumption relates to the type of the distribution function valid for small doses in the given substances. These functions are determined as a result of a large number of theoretical and experimental investigations and are well-known at the present time. They include the symmetric and nonsymmetric Gauss distribution, the Pearson distribution, and others. We examine implantation with small doses of up to 10 14 - 10 15 cm -2 when the accurately known distribution is valid

  1. Analysis of induced electrical currents from magnetic field coupling inside implantable neurostimulator leads

    Directory of Open Access Journals (Sweden)

    Seidman Seth J

    2011-10-01

    Full Text Available Abstract Background Over the last decade, the number of neurostimulator systems implanted in patients has been rapidly growing. Nearly 50, 000 neurostimulators are implanted worldwide annually. The most common type of implantable neurostimulators is indicated for pain relief. At the same time, commercial use of other electromagnetic technologies is expanding, making electromagnetic interference (EMI of neurostimulator function an issue of concern. Typically reported sources of neurostimulator EMI include security systems, metal detectors and wireless equipment. When near such sources, patients with implanted neurostimulators have reported adverse events such as shock, pain, and increased stimulation. In recent in vitro studies, radio frequency identification (RFID technology has been shown to inhibit the stimulation pulse of an implantable neurostimulator system during low frequency exposure at close distances. This could potentially be due to induced electrical currents inside the implantable neurostimulator leads that are caused by magnetic field coupling from the low frequency identification system. Methods To systematically address the concerns posed by EMI, we developed a test platform to assess the interference from coupled magnetic fields on implantable neurostimulator systems. To measure interference, we recorded the output of one implantable neurostimulator, programmed for best therapy threshold settings, when in close proximity to an operating low frequency RFID emitter. The output contained electrical potentials from the neurostimulator system and those induced by EMI from the RFID emitter. We also recorded the output of the same neurostimulator system programmed for best therapy threshold settings without RFID interference. Using the Spatially Extended Nonlinear Node (SENN model, we compared threshold factors of spinal cord fiber excitation for both recorded outputs. Results The electric current induced by low frequency RFID emitter

  2. Titanium Implant Impairment and Surrounding Muscle Cell Death Following High-Salt Diet: An In Vivo Study.

    Directory of Open Access Journals (Sweden)

    Mathieu Lecocq

    Full Text Available High-salt consumption has been widely described as a risk factor for cardiovascular, renal and bone functions. In the present study, the extent to which high-salt diet could influence Ti6Al4V implant surface characteristic, its adhesion to rat tibial crest, and could modify muscle cell viability of two surrounding muscles, was investigated in vivo. These parameters have also been assessed following a NMES (neuro-myoelectrostimulation program similar to that currently used in human care following arthroplasty.After a three-week diet, a harmful effect on titanium implant surface and muscle cell viability was noted. This is probably due to salt corrosive effect on metal and then release of toxic substance around biologic tissue. Moreover, if the use of NMES with high-salt diet induced muscles damages, the latter were higher when implant was added. Unexpectedly, higher implant-to-bone adhesion was found for implanted animals receiving salt supplementation.Our in vivo study highlights the potential dangerous effect of high-salt diet in arthroplasty based on titanium prosthesis. This effect appears to be more important when high-salt diet is combined with NMES.

  3. Subthreshold currents in CMOS transistors made on oxygen-implanted silicon

    International Nuclear Information System (INIS)

    Foster, D.J.

    1983-01-01

    Kinks have been observed in subthreshold current plots of mesa-shaped n-channel transistors made on oxygen-implanted silicon substrates. The kinks represent additional current flow and are due to overlapping fields from the gate electrode causing early corner inversion and to a Qsub(ss) side-wall effect. Subthreshold currents in n-channel transistors are dominated by the two effects which, as a consequence, reduce threshold voltages especially in narrow n-channel transistors. The subthreshold characteristics of p-channel transistors were not affected in the same way. (author)

  4. High-dose V+ implantation in ZnO thin film structures

    International Nuclear Information System (INIS)

    Vyatkin, A.F.; Zinenko, V.I.; Agaphonov, Yu.A.; Pustovit, A.N.; Roshchupkin, D.V.; Reuss, F.; Kirchner, C.; Kling, R.; Waag, A.

    2005-01-01

    In the last two decades, diluted magnetic semiconductors have attracted great attention as promising materials for spintronics applications. [K. Sato, H. Katyama-Yoshida, Jpn. J. Phys., Part 2 39 (2000) L555] theoretically predicted that ZnO doped with V, Cr, Fe, Co, and Ni can be ferromagnetic. This has been recently confirmed experimentally for vanadium doped ZnO films which were grown on sapphire substrates, using laser deposition technique [H. Saeki, H.N. Tabata, T. Kawai, Solid State Commun. 120 (2001) 439]. In the present work, high-dose vanadium implantation was used to produce Zn 1-x V x O (x ∼ 0.10) thin film structures (250 nm thick) that had been epitaxially grown on sapphire substrates. Implantation with the dose 2 x 10 16 cm -2 was performed to reach a maximum vanadium concentration of 10 at%. To avoid ZnO film amorphization due to radiation damage accumulation [S.O. Kucheyev, J.S. Williams, C. Jagadish, J. Zou, C. Evans, A.J. Nelson, A.V. Hamza, Phys. Rev. B 67 (2003) 094115], all implants were done at elevated temperatures 300 and 400 deg. C and ion current density 10 μA/cm 2 . X-ray diffraction, SIMS and photoluminescence techniques were exploited to study the implanted samples. No luminescence was observed in the implanted samples after implantation procedures. However, annealing at 800 deg. C for 30 min gave rise to ZnO crystal structure improvement. This implies that healing of implantation induced defects is possible even after heavy-ion bombardment. As a result, the photoluminescence peak at 3.359 eV related to the donorbound exiton was detected

  5. Surgical Templates for Dental Implant Positioning; Current ...

    African Journals Online (AJOL)

    Since the mid‑20th century, there has been an increase in interest in the implant process for the replacement of missing teeth. Branemark ... Ideal placement of the implant facilitates the establishment of favorable forces on the implants and the prosthetic component as well as ensures an aesthetic outcome. Therefore, it is ...

  6. An Integrated Chip High-Voltage Power Receiver for Wireless Biomedical Implants

    Directory of Open Access Journals (Sweden)

    Vijith Vijayakumaran Nair

    2015-06-01

    Full Text Available In near-field wireless-powered biomedical implants, the receiver voltage largely overrides the compliance of low-voltage power receiver systems. To limit the induced voltage, generally, low-voltage topologies utilize limiter circuits, voltage clippers or shunt regulators, which are power-inefficient methods. In order to overcome the voltage limitation and improve power efficiency, we propose an integrated chip high-voltage power receiver based on the step down approach. The topology accommodates voltages as high as 30 V and comprises a high-voltage semi-active rectifier, a voltage reference generator and a series regulator. Further, a battery management circuit that enables safe and reliable implant battery charging based on analog control is proposed and realized. The power receiver is fabricated in 0.35-μm high-voltage Bipolar-CMOS-DMOStechnology based on the LOCOS0.35-μm CMOS process. Measurement results indicate 83.5% power conversion efficiency for a rectifier at 2.1 mA load current. The low drop-out regulator based on the current buffer compensation and buffer impedance attenuation scheme operates with low quiescent current, reduces the power consumption and provides good stability. The topology also provides good power supply rejection, which is adequate for the design application. Measurement results indicate regulator output of 4 ± 0.03 V for input from 5 to 30 V and 10 ± 0.05 V output for input from 11 to 30 V with load current 0.01–100 mA. The charger circuit manages the charging of the Li-ion battery through all if the typical stages of the Li-ion battery charging profile.

  7. Formation of SIMOX–SOI structure by high-temperature oxygen implantation

    International Nuclear Information System (INIS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-01-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 10"1"7–10"1"8 ions/cm"2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO_2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO_2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  8. Bilateral cochlear implantation: current concepts, indications, and results.

    Science.gov (United States)

    Basura, Gregory J; Eapen, Rose; Buchman, Craig A

    2009-12-01

    The optimal treatment for bilateral hearing loss continues to evolve as cochlear implant (CI) and hearing aid technologies advance, as does our understanding of the central auditory system. Ongoing discussions continue on the validity and feasibility of bilateral CI in terms of performance, justification of need, medical/surgical safety concerns, and economics. The purpose of this review article is to provide an update on the advantages and disadvantages of bilateral CI and to provide a discussion on timing (simultaneous vs. sequential), technology (bimodal vs. binaural) and feasibility. Binaural advantages are found in both adult and pediatric bilateral CI recipients, the greatest being the head shadow effect and improvements in localization and loudness summation. This theoretically offers an advantage over their unilateral implanted counterparts in terms of improved sound localization and enhanced speech perception under noisy conditions. Most investigators agree that bilateral stimulation during critical periods of development is paramount for optimizing auditory functioning in children. Currently, bilateral CI is widely accepted as a safe and effective means of bilateral auditory stimulation.

  9. Some high-current ion sources for materials modification

    International Nuclear Information System (INIS)

    Taylor, T.

    1989-01-01

    Ion sources for materials modification have evolved through three distinct generations. The first generation was adopted from research accelerators. These cold-cathode plasma-discharge devices generate beam currents of less than 100 μA. The hot-cathode plasma-discharge ion sources, originally developed for isotope separation, comprise the second generation. They produce between 100 μA and 10 mA of beam current. The third generation ion sources give beam currents in excess of 10 mA. This technology, transferred from industrial accelerators, has already made SIMOX (Separation by IMplanted OXygen) into a commercially viable semiconductor process and promises to do the same for ion implantation of metals and insulators. The author focuses on the third generation technology that will play a key role in the future of ion implantation. 10 refs.; 5 figs.; 2 tabs

  10. Surgical Templates for Dental Implant Positioning; Current ...

    African Journals Online (AJOL)

    prosthodontics; however, designing an implant‑supported prosthesis with function .... template where a provisional fixed restoration bridges the implant site. Pesun and ... in single implant therapy or short‑span implant‑supported prostheses.

  11. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  12. Current concepts of regenerative biomaterials in implant dentistry

    Directory of Open Access Journals (Sweden)

    Annapurna Ahuja

    2015-01-01

    Full Text Available The primary objective of any implant system is to achieve firm fixation to the bone and this could be influenced by biomechanical as well as biomaterial selection. An array of materials is used in the replacement of missing teeth through implantation. The appropriate selection of biomaterials directly influences the clinical success and longevity of implants. Thus the clinician needs to have adequate knowledge of the various biomaterials and their properties for their judicious selection and application in his/her clinical practice. The recent materials such as bioceramics and composite biomaterials that are under consideration and investigation have a promising future. For optimal performance, implant biomaterials should have suitable mechanical strength, biocompatibility, and structural biostability in the physiological environment. This article reviews the various implant biomaterials and their ease of use in implant dentistry.

  13. Electron cyclotron resonance ion source for high currents of mono- and multicharged ion and general purpose unlimited lifetime application on implantation devices

    Science.gov (United States)

    Bieth, C.; Bouly, J. L.; Curdy, J. C.; Kantas, S.; Sortais, P.; Sole, P.; Vieux-Rochaz, J. L.

    2000-02-01

    The electron cyclotron resonance (ECR) ion sources were originally developed for high energy physic applications. They are used as injectors on linear accelerators and cyclotrons to further increase the particle energy via high charge state ions. This ECR technology is well suited for sources placed on a high voltage platform where ac power available is limited by insulated transformers. The PANTECHNIK family of ion source with its wide range of ion beam (various charge states with various beam currents) offers new possibilities and perspectives in the field of ion implantation. In addition to all these possibilities, the PANTECHNIK ion sources have many other advantages like: a very long lifetime without maintenance expense, good stability, efficiency of ionization close to 100% (this improves the lifetime of the pumping system and other equipment), the possibility of producing ion beams with different energies, and a very good reproducibility. The main characteristics of sources like Nanogan or SuperNanogan will be recalled. We will especially present the results obtained with the new Microgan 10 GHz source that can be optimized for the production of high currents of monocharged ion, including reactive gas like BF3 (2 mA e of B+) or medium currents of low charge state like 0.5 mA e of Ar4+. The latest results obtained with Microgan 10 GHz show that it is possible to drive the source up to 30 mA e of total current, with an emittance of 150 π mm mrad at 40 kV and also to maintain the production of multicharged ions like Ar8+.

  14. Do "premium" joint implants add value?: analysis of high cost joint implants in a community registry.

    Science.gov (United States)

    Gioe, Terence J; Sharma, Amit; Tatman, Penny; Mehle, Susan

    2011-01-01

    Numerous joint implant options of varying cost are available to the surgeon, but it is unclear whether more costly implants add value in terms of function or longevity. We evaluated registry survival of higher-cost "premium" knee and hip components compared to lower-priced standard components. Premium TKA components were defined as mobile-bearing designs, high-flexion designs, oxidized-zirconium designs, those including moderately crosslinked polyethylene inserts, or some combination. Premium THAs included ceramic-on-ceramic, metal-on-metal, and ceramic-on-highly crosslinked polyethylene designs. We compared 3462 standard TKAs to 2806 premium TKAs and 868 standard THAs to 1311 premium THAs using standard statistical methods. The cost of the premium implants was on average approximately $1000 higher than the standard implants. There was no difference in the cumulative revision rate at 7-8 years between premium and standard TKAs or THAs. In this time frame, premium implants did not demonstrate better survival than standard implants. Revision indications for TKA did not differ, and infection and instability remained contributors. Longer followup is necessary to demonstrate whether premium implants add value in younger patient groups. Level III, therapeutic study. See Guidelines for Authors for a complete description of levels of evidence.

  15. Trends in Cochlear Implants

    OpenAIRE

    Zeng, Fan-Gang

    2004-01-01

    More than 60,000 people worldwide use cochlear implants as a means to restore functional hearing. Although individual performance variability is still high, an average implant user can talk on the phone in a quiet environment. Cochlear-implant research has also matured as a field, as evidenced by the exponential growth in both the patient population and scientific publication. The present report examines current issues related to audiologic, clinical, engineering, anatomic, and physiologic as...

  16. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  17. Recoil mixing in high-fluence ion implantation

    International Nuclear Information System (INIS)

    Littmark, U.; Hofer, W.O.

    1979-01-01

    The effect of recoil mixing on the collection and depth distribution of implanted projectiles during high-fluence irradiation of a random solid is investigated by model calculations based on a previously published transport theoretical approach to the general problem of recoil mixing. The most pronounced effects are observed in the maximum implantable amount of projectiles and in the critical fluence for saturation. Both values are significantly increased by recoil mixing. (Auth.)

  18. High-tech hip implant for wireless temperature measurements in vivo.

    Directory of Open Access Journals (Sweden)

    Georg Bergmann

    Full Text Available When walking long distances, hip prostheses heat up due to friction. The influence of articulating materials and lubricating properties of synovia on the final temperatures, as well as any potential biological consequences, are unknown. Such knowledge is essential for optimizing implant materials, identifying patients who are possibly at risk of implant loosening, and proving the concepts of current joint simulators. An instrumented hip implant with telemetric data transfer was developed to measure the implant temperatures in vivo. A clinical study with 100 patients is planned to measure the implant temperatures for different combinations of head and cup materials during walking. This study will answer the question of whether patients with synovia with poor lubricating properties may be at risk for thermally induced bone necrosis and subsequent implant failure. The study will also deliver the different friction properties of various implant materials and prove the significance of wear simulator tests. A clinically successful titanium hip endoprosthesis was modified to house the electronics inside its hollow neck. The electronics are powered by an external induction coil fixed around the joint. A temperature sensor inside the implant triggers a timer circuit, which produces an inductive pulse train with temperature-dependent intervals. This signal is detected by a giant magnetoresistive sensor fixed near the external energy coil. The implant temperature is measured with an accuracy of 0.1°C in a range between 20°C and 58°C and at a sampling rate of 2-10 Hz. This rate could be considerably increased for measuring other data, such as implant strain or vibration. The employed technique of transmitting data from inside of a closed titanium implant by low frequency magnetic pulses eliminates the need to use an electrical feedthrough and an antenna outside of the implant. It enables the design of mechanically safe and simple instrumented implants.

  19. Electric Current Transmission Through Tissues of the Vestibular Labyrinth of a Patient: Perfection of the Vestibular Implant

    Science.gov (United States)

    Demkin, V. P.; Shchetinin, P. P.; Melnichuk, S. V.; Kingma, H.; Van de Berg, R.; Pleshkov, M. O.; Starkov, D. N.

    2018-03-01

    An electric model of current transmission through tissues of the vestibular labyrinth of a patient is suggested. To stimulate directly the vestibular nerve in surgical operation, terminations of the electrodes are implanted through the bone tissue of the labyrinth into the perilymph in the vicinity of the vestibular nerve. The biological tissue of the vestibular labyrinth surrounding the electrodes and having heterogeneous composition possesses conductive and dielectric properties. Thus, when a current pulse from the vestibular implant is applied to one of the electrodes, conductive disturbance currents may arise between the electrodes and the vestibular nerves that can significantly deteriorate the direct signal quality. To study such signals and to compensate for the conductive disturbance currents, an equivalent electric circuit with actual electric impedance properties of tissues of the vestibular system is suggested, and the time parameters of the conductive disturbance current transmission are calculated. It is demonstrated that these parameters can reach large values. The suggested electric model and the results of calculations can be used for perfection of the vestibular implant.

  20. Esophageal stent implantation for the treatment of esophageal strictures: its current situation and research progress

    International Nuclear Information System (INIS)

    Zhu Haidong; Guo Jinhe; Teng Gaojun

    2011-01-01

    Esophageal stent implantation has been the most common therapy for the treatment of malignant and benign esophageal stenosis. At present, this technique is widely used in treating advanced esophageal cancerous stricture, refractory esophageal benign stricture and all kinds of esophageal fistulae or perforation. This paper aims to make a comprehensive review of the current situation and research progress of the esophageal stent implantation in clinical practice. (authors)

  1. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  2. NMOS contact resistance reduction with selenium implant into NiPt silicide

    Science.gov (United States)

    Rao, K. V.; Khaja, F. A.; Ni, C. N.; Muthukrishnan, S.; Darlark, A.; Lei, J.; Peidous, I.; Brand, A.; Henry, T.; Variam, N.; Erokhin, Y.

    2012-11-01

    A 25% reduction in NMOS contact resistance (Rc) was achieved by Selenium implantation into NiPt silicide film in VIISta Trident high-current single-wafer implanter. The Trident implanter is designed for shallow high-dose implants with high beam currents to maintain high throughput (for low CoO), with improved micro-uniformity and no energy contamination. The integration of Se implant was realized using a test chip dedicated to investigating silicide/junction related electrical properties and testable after silicidation. The silicide module processes were optimized, including the pre-clean (prior to RF PVD NiPt dep) and pre- and post-implant anneals. A 270°C soak anneal was used for RTP1, whereas a msec laser anneal was employed for RTP2 with sufficient process window (800-850°C), while maintaining excellent junction characteristics without Rs degradation.

  3. ESR studies of high-energy phosphorus-ion implanted synthetic diamond crystals

    Energy Technology Data Exchange (ETDEWEB)

    Isoya, J [University of Library and Information Science, Tsukuba, Ibaraki (Japan); Kanda, H; Morita, Y; Ohshima, T

    1997-03-01

    Phosphorus is among potential n-type dopants in diamond. High pressure synthetic diamond crystals of type IIa implanted with high energy (9-18 MeV) phosphorus ions have been studied by using electron spin resonance (ESR) technique. The intensity and the linewidth of the ESR signal attributed to the dangling bond of the amorphous phase varied with the implantation dose, suggesting the nature of the amorphization varies with the dose. The ESR signals of point defects have been observed in the low dose as-implanted crystals and in the high dose crystals annealed at high temperature and at high pressure. (author)

  4. Current steering with partial tripolar stimulation mode in cochlear implants.

    Science.gov (United States)

    Wu, Ching-Chih; Luo, Xin

    2013-04-01

    The large spread of excitation is a major cause of poor spectral resolution for cochlear implant (CI) users. Partial tripolar (pTP) mode has been proposed to reduce current spread by returning an equally distributed fraction (0.5 × σ) of current to two flanking electrodes and the rest to an extra-cochlear ground. This study tested the efficacy of incorporating current steering into pTP mode to add spectral channels. Different proportions of current [α × σ and (1 - α) × σ] were returned to the basal and apical flanking electrodes respectively to shape the electric field. Loudness and pitch perception with α from 0 to 1 in steps of 0.1 was simulated with a computational model of CI stimulation and tested on the apical, middle, and basal electrodes of six CI subjects. The highest σ allowing for full loudness growth within the implant compliance limit was chosen for each main electrode. Pitch ranking was measured between pairs of loudness-balanced steered pTP stimuli with an α interval of 0.1 at the most comfortable level. Results demonstrated that steered pTP stimuli with α around 0.5 required more current to achieve equal loudness than those with α around 0 or 1, maybe due to more focused excitation patterns. Subjects usually perceived decreasing pitches as α increased from 0 to 1, somewhat consistent with the apical shift of the center of gravity of excitation pattern in the model. Pitch discrimination was not better with α around 0.5 than with α around 0 or 1, except for some subjects and electrodes. For three subjects with better pitch discrimination, about half of the pitch ranges of two adjacent main electrodes overlapped with each other in steered pTP mode. These results suggest that current steering with focused pTP mode may improve spectral resolution and pitch perception with CIs.

  5. Trends in cochlear implants.

    Science.gov (United States)

    Zeng, Fan-Gang

    2004-01-01

    More than 60,000 people worldwide use cochlear implants as a means to restore functional hearing. Although individual performance variability is still high, an average implant user can talk on the phone in a quiet environment. Cochlear-implant research has also matured as a field, as evidenced by the exponential growth in both the patient population and scientific publication. The present report examines current issues related to audiologic, clinical, engineering, anatomic, and physiologic aspects of cochlear implants, focusing on their psychophysical, speech, music, and cognitive performance. This report also forecasts clinical and research trends related to presurgical evaluation, fitting protocols, signal processing, and postsurgical rehabilitation in cochlear implants. Finally, a future landscape in amplification is presented that requires a unique, yet complementary, contribution from hearing aids, middle ear implants, and cochlear implants to achieve a total solution to the entire spectrum of hearing loss treatment and management.

  6. Characteristics of MOSFETs fabricated in silicon-on-insulator material formed by high-dose oxygen ion implantation

    International Nuclear Information System (INIS)

    Lam, H.W.; Pinizzotto, R.F.; Yuan, H.T.; Bellavance, D.W.

    1981-01-01

    By implanting a dose of 6 x 10 17 cm -2 of 32 O 2 + at 300 keV into a silicon wafer, a buried oxide layer is formed. Crystallinity of the silicon layer above the buried oxide layer is maintained by applying a high (>200 0 C) substrate temperature during the ion implantation process. A two-step anneal cycle is found to be adequate to form the insulating buried oxide layer and to repair the implantation damage in the silicon layer on top of the buried oxide. A surface electron mobility as high as 710 cm 2 /Vs has been measured in n-channel MOSFETs fabricated in a 0.5 μm-thick epitaxial layer grown on the buried oxide wafer. A minimum subthreshold current of about 10 pA per micron of channel width at Vsub(DS)=2 V has been measured. (author)

  7. Vacancy supersaturations produced by high-energy ion implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Eaglesham, D.J.; Jacobson, D.C.; Gossmann, H.J.

    1998-01-01

    A new technique for detecting the vacancy clusters produced by high-energy ion implantation into silicon is proposed and tested. This technique takes advantage of the fact that metal impurities, such as Au, are gettered near one-half of the projected range (1/2 R p ) of MeV implants. The vacancy clustered region produced by a 2 MeV Si + implant into silicon has been labeled with Au diffused in from the front surface. The trapped Au was detected by Rutherford backscattering spectrometry (RBS) to profile the vacancy clusters. Cross section transmission electron microscopy (XTEM) analysis shows that the Au in the region of vacancy clusters is in the form of precipitates. By annealing MeV implanted samples prior to introduction of the Au, changes in the defect concentration within the vacancy clustered region were monitored as a function of annealing conditions

  8. First symposium seed implant 125I and high rate of prostate

    International Nuclear Information System (INIS)

    2012-01-01

    The First symposium seed implant 125 I and high rate of prostate, was organized by the Marie Curie Foundation, between the 12 to april 2012, in the Cordoba city of Argentina. In this event were presented several documents in different topics: patients selection for impacts of 125 I seeds; high doses radiation in radiotherapy; brachytherapy for prostate cancer; prostate implant technique with 125 I seeds; implant dosimetric aspects; radioprotection of 125 I seeds.

  9. A 60 keV implanter for metals

    International Nuclear Information System (INIS)

    Leutenecker, R.; Ryssel, H.; Zeller, K.-H.; Spoehrle, H.P.

    1985-01-01

    The design and preliminary performance data of a 60 keV high current implanter for the implantation of non-separated ion beams into metals are described. The target chamber accepts samples up to 70 cm in diameter and is equipped with holders to implant ball bearings, axles and other components which have to be rotated during implantation. Samples are mounted on a rugged table which can move up to 35 kg for +-35 cm in the x and y directions. The implanter, including the vacuum system, is completely computer controlled. The ion source is equipped with an oven having a maximum temperature of 1200 0 C and can deliver ion beams of nitrogen as well as different metal ions such as tin and silver with currents up to 10 mA. (Auth.)

  10. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  11. Nanotechnology for dental implants.

    Science.gov (United States)

    Tomsia, Antoni P; Lee, Janice S; Wegst, Ulrike G K; Saiz, Eduardo

    2013-01-01

    With the advent of nanotechnology, an opportunity exists for the engineering of new dental implant materials. Metallic dental implants have been successfully used for decades, but they have shortcomings related to osseointegration and mechanical properties that do not match those of bone. Absent the development of an entirely new class of materials, faster osseointegration of currently available dental implants can be accomplished by various surface modifications. To date, there is no consensus regarding the preferred method(s) of implant surface modification, and further development will be required before the ideal implant surface can be created, let alone become available for clinical use. Current approaches can generally be categorized into three areas: ceramic coatings, surface functionalization, and patterning on the micro- to nanoscale. The distinctions among these are imprecise, as some or all of these approaches can be combined to improve in vivo implant performance. These surface improvements have resulted in durable implants with a high percentage of success and long-term function. Nanotechnology has provided another set of opportunities for the manipulation of implant surfaces in its capacity to mimic the surface topography formed by extracellular matrix components of natural tissue. The possibilities introduced by nanotechnology now permit the tailoring of implant chemistry and structure with an unprecedented degree of control. For the first time, tools are available that can be used to manipulate the physicochemical environment and monitor key cellular events at the molecular level. These new tools and capabilities will result in faster bone formation, reduced healing time, and rapid recovery to function.

  12. A Review of Additive Mixed-Electric Discharge Machining: Current Status and Future Perspectives for Surface Modification of Biomedical Implants

    Directory of Open Access Journals (Sweden)

    Abdul’Azeez Abdu Aliyu

    2017-01-01

    Full Text Available Surface treatment remained a key solution to numerous problems of synthetic hard tissues. The basic methods of implant surface modification include various physical and chemical deposition techniques. However, most of these techniques have several drawbacks such as excessive cost and surface cracks and require very high sintering temperature. Additive mixed-electric discharge machining (AM-EDM is an emerging technology which simultaneously acts as a machining and surface modification technique. Aside from the mere molds, dies, and tool fabrication, AM-EDM is materializing to finishing of automobiles and aerospace, nuclear, and biomedical components, through the concept of material migrations. The mechanism of material transfer by AM-EDM resembles electrophoretic deposition, whereby the additives in the AM-EDM dielectric fluids are melted and migrate to the machined surface, forming a mirror-like finishing characterized by extremely hard, nanostructured, and nanoporous layers. These layers promote the bone in-growth and strengthen the cell adhesion. Implant shaping and surface treatment through AM-EDM are becoming a key research focus in recent years. This paper reports and summarizes the current advancement of AM-EDM as a potential tool for orthopedic and dental implant fabrication. Towards the end of this paper, the current challenges and future research trends are highlighted.

  13. Effects of high-dose hydrogen implantation on defect formation and dopant diffusion in silver implanted ZnO crystals

    Energy Technology Data Exchange (ETDEWEB)

    Yaqoob, Faisal [Department of Physics, State University of New York at Albany, Albany, New York 12222 (United States); Huang, Mengbing, E-mail: mhuang@sunypoly.edu [College of Nanoscale Science and Engineering, State University of New York Polytechnic Institute, Albany, New York 12203 (United States)

    2016-07-28

    This work reports on the effects of a deep high-dose hydrogen ion implant on damage accumulation, defect retention, and silver diffusion in silver implanted ZnO crystals. Single-crystal ZnO samples were implanted with Ag ions in a region ∼150 nm within the surface, and some of these samples were additionally implanted with hydrogen ions to a dose of 2 × 10{sup 16 }cm{sup −2}, close to the depth ∼250 nm. Rutherford backscattering/ion channeling measurements show that crystal damage caused by Ag ion implantation and the amount of defects retained in the near surface region following post-implantation annealing were found to diminish in the case with the H implantation. On the other hand, the additional H ion implantation resulted in a reduction of substitutional Ag atoms upon post-implantation annealing. Furthermore, the presence of H also modified the diffusion properties of Ag atoms in ZnO. We discuss these findings in the context of the effects of nano-cavities on formation and annihilation of point defects as well as on impurity diffusion and trapping in ZnO crystals.

  14. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  15. High pressure annealing of Europium implanted GaN

    KAUST Repository

    Lorenz, K.; Miranda, S. M. C.; Alves, E.; Roqan, Iman S.; O'Donnell, K. P.; Bokowski, M.

    2012-01-01

    GaN epilayers were implanted with Eu to fluences of 1×10^13 Eu/cm2 and 1×10^15 Eu/cm2. Post-implant thermal annealing was performed in ultra-high nitrogen pressures at temperatures up to 1450 ºC. For the lower fluence effective structural recovery of the crystal was observed for annealing at 1000 ºC while optical activation could be further improved at higher annealing temperatures. The higher fluence samples also reveal good optical activation; however, some residual implantation damage remains even for annealing at 1450 ºC which leads to a reduced incorporation of Eu on substitutional sites, a broadening of the Eu luminescence lines and to a strongly reduced fraction of optically active Eu ions. Possibilities for further optimization of implantation and annealing conditions are discussed.© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  16. High pressure annealing of Europium implanted GaN

    KAUST Repository

    Lorenz, K.

    2012-02-09

    GaN epilayers were implanted with Eu to fluences of 1×10^13 Eu/cm2 and 1×10^15 Eu/cm2. Post-implant thermal annealing was performed in ultra-high nitrogen pressures at temperatures up to 1450 ºC. For the lower fluence effective structural recovery of the crystal was observed for annealing at 1000 ºC while optical activation could be further improved at higher annealing temperatures. The higher fluence samples also reveal good optical activation; however, some residual implantation damage remains even for annealing at 1450 ºC which leads to a reduced incorporation of Eu on substitutional sites, a broadening of the Eu luminescence lines and to a strongly reduced fraction of optically active Eu ions. Possibilities for further optimization of implantation and annealing conditions are discussed.© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  17. Biofilm Analysis of Retrieved Dental Implants after Different Peri-Implantitis Treatments

    Directory of Open Access Journals (Sweden)

    Thaise C. Geremias

    2017-01-01

    Full Text Available The aim of the current study was to analyse the planktonic growth of Streptococcus mutans on the surfaces of three implants retrieved after three different peri-implantitis treatments. Three implants from a male patient with high levels of bone loss were treated by mechanical debridement, chemical decontamination, and implantoplasty. After 4 months of follow-up, the implants were removed. The growth and biofilm formation were measured by spectrophotometry (OD630 nm and scanning electron microscopy (SEM, after 48 hours of incubation. Results showed an average of Streptococcus mutans planktonic growth over the implants of 0.21 nm (mechanical debridement, 0.16 nm (chemical decontamination, and 0.15 nm (implantoplasty. Data were analysed by ANOVA and Tukey’s test (p<0.05 for chemical decontamination and implantoplasty. Implantoplasty and chemical decontamination showed the lowest levels of planktonic growth, indicating a possible influence of the modification procedures on the titanium surface on the initial biofilm attachment.

  18. High energy ion implantation for semiconductor application at Fraunhofer-AIS, Erlangen

    International Nuclear Information System (INIS)

    Frey, L.; Bogen, S.; Gong, L.; Jung, W.; Ryssel, H.; Gyulai, J.

    1992-01-01

    A new high energy ion implanter for research and development in semiconductor technology was put into operation at the Fraunhofer Institute in Erlangen. The system is used for generation of ion beams in the energy range from 100 keV to more than 6 MeV with currents up to 100 μA. A large variety of ion species can be implanted into silicon wafers with diameters up to 200 mm (with cassette-to-cassette loading up to 150 mm). The performance characteristics of the system are described with special emphasis on the end stations. In a first series of experiments, the range distributions of boron, phosphorus and arsenic in silicon have been measured for energies from 0.2 MeV to 10 MeV in order to get a data set for future applications. The profiles are compared to simulated data. First experimental results on lateral distribution of the dopant species are presented. (orig.)

  19. Application of high resolution synchrotron micro-CT radiation in dental implant osseointegration

    DEFF Research Database (Denmark)

    Neldam, Camilla Albeck; Lauridsen, Torsten; Rack, Alexander

    2015-01-01

    The purpose of this study was to describe a refined method using high-resolution synchrotron radiation microtomography (SRmicro-CT) to evaluate osseointegration and peri-implant bone volume fraction after titanium dental implant insertion. SRmicro-CT is considered gold standard evaluating bone...... microarchitecture. Its high resolution, high contrast, and excellent high signal-to-noise-ratio all contribute to the highest spatial resolutions achievable today. Using SRmicro-CT at a voxel size of 5 μm in an experimental goat mandible model, the peri-implant bone volume fraction was found to quickly increase...

  20. Anthropometric measurements of tibial plateau and correlation with the current tibial implants.

    Science.gov (United States)

    Erkocak, Omer Faruk; Kucukdurmaz, Fatih; Sayar, Safak; Erdil, Mehmet Emin; Ceylan, Hasan Huseyin; Tuncay, Ibrahim

    2016-09-01

    The aim of the study was to make an anthropometric analysis at the resected surfaces of the proximal tibia in the Turkish population and to compare the data with the dimensions of tibial components in current use. We hypothesized that tibial components currently available on the market do not fulfil the requirements of this population and a new tibial component design may be required, especially for female patients with small stature. Anthropometric data from the proximal tibia of 226 knees in 226 Turkish subjects were measured using magnetic resonance imaging. We measured the mediolateral, middle anteroposterior, medial and lateral anteroposterior dimensions and the aspect ratio of the resected proximal tibial surface. All morphological data were compared with the dimensions of five contemporary tibial implants, including asymmetric and symmetric design types. The dimensions of the tibial plateau of Turkish knees demonstrated significant differences according to gender (P < 0.05). Among the different tibial implants reviewed, neither asymmetric nor symmetric designs exhibited a perfect conformity to proximal tibial morphology in size and shape. The vast majority of tibial implants involved in this study tend to overhang anteroposteriorly, and a statistically significant number of women (21 %, P < 0.05) had tibial anteroposterior diameters smaller than the smallest available tibial component. Tibial components designed according to anthropometric measurements of Western populations do not perfectly meet the requirements of Turkish population. These data could provide the basis for designing the optimal and smaller tibial component for this population, especially for women, is required for best fit. II.

  1. Characterization of Heat Treated Titanium-Based Implants by Nondestructive Eddy Current and Ultrasonic Tests

    Science.gov (United States)

    Mutlu, Ilven; Ekinci, Sinasi; Oktay, Enver

    2014-06-01

    This study presents nondestructive characterization of microstructure and mechanical properties of heat treated Ti, Ti-Cu, and Ti-6Al-4V titanium-based alloys and 17-4 PH stainless steel alloy for biomedical implant applications. Ti, Ti-Cu, and 17-4 PH stainless steel based implants were produced by powder metallurgy. Ti-6Al-4V alloy was investigated as bulk wrought specimens. Effects of sintering temperature, aging, and grain size on mechanical properties were investigated by nondestructive and destructive tests comparatively. Ultrasonic velocity in specimens was measured by using pulse-echo and transmission methods. Electrical conductivity of specimens was determined by eddy current tests. Determination of Young's modulus and strength is important in biomedical implants. Young's modulus of specimens was calculated by using ultrasonic velocities. Calculated Young's modulus values were compared and correlated with experimental values.

  2. High dislocation density structures and hardening produced by high fluency pulsed-ion-beam implantation

    International Nuclear Information System (INIS)

    Sharkeev, Yu.P.; Didenko, A.N.; Kozlov, E.V.

    1994-01-01

    The paper presents a review of experimental data on the ''long-range effect'' (a change in dislocation structure and in physicomechanical properties at distances considerably greater than the ion range value in ion-implanted metallic materials and semiconductors). Our results of electron microscopy studies of high density dislocation structure in ion-implanted metallic materials with different initial states are given. It has been shown that the nature of the dislocation structure and its quantitative characteristics in the implanted metals and alloys depend on the target initial state, the ion type and energy and the retained dose. The data obtained by different workers are in good agreement both with our results and with each other as well as with the results of investigation of macroscopic characteristics (wear resistance and microhardness). It has been established that the ''long-range effect'' occurs in metallic materials with a low yield point or high plasticity level and with little dislocation density in their initial state prior to ion implantation. ((orig.))

  3. Electronic Transport and Raman Spectroscopy Characterization in Ion-Implanted Highly Oriented Pyrolytic Graphite

    Science.gov (United States)

    de Jesus, R. F.; Turatti, A. M.; Camargo, B. C.; da Silva, R. R.; Kopelevich, Y.; Behar, M.; Balzaretti, N. M.; Gusmão, M. A.; Pureur, P.

    2018-02-01

    We report on Raman spectroscopy, temperature-dependent in-plane resistivity, and in-plane magnetoresistance experiments in highly oriented pyrolytic graphite (HOPG) implanted with As and Mn. A pristine sample was also studied for comparison. Two different fluences were applied, φ = 0.5× 10^{16} {ions}/{cm}2 and φ = 1.0× 10^{16} {ions}/{cm}2. The implantations were carried out with 20 keV ion energy at room temperature. The Raman spectroscopy results reveal the occurrence of drastic changes of the HOPG surface as a consequence of the damage caused by ionic implantation. For the higher dose, the complete amorphization limit is attained. The resistivity and magnetoresistance results were obtained placing electrical contacts on the irradiated sample surface. Owing to the strong anisotropy of HOPG, the electrical current propagates mostly near the implanted surface. Shubnikov-de Haas (SdH) oscillations were observed in the magnetoresistance at low temperatures. These results allow the extraction of the fundamental SdH frequencies and the carriers' effective masses. In general, the resistivity and magnetoresistance results are consistent with those obtained from Raman measurements. However, one must consider that the electrical conduction in our samples occurs as in a parallel association of a largely resistive thin sheet at the surface strongly modified by disorder with a thicker layer where damage produced by implantation is less severe. The SdH oscillations do not hint to significant changes in the carrier density of HOPG.

  4. Space charge limitation of the current in implanted SiO2 layers

    International Nuclear Information System (INIS)

    Szydlo, N.; Poirier, R.

    1974-01-01

    Metal-oxide-semiconductor capacitors were studied where the metal is a semitransparent gold layer of 5mm diameter, the oxide is thermal silica whose, thickness depends on the nature of the implant, and the semiconductor is N-type silicon of 5 ohms/cm. The SiO 2 thickness was chosen in such a way that the maximum of the profile of the implanted substance is in the medium of the oxide layer. In the case of virgin silica, the oscillations in the photocurrent versus energy and exponential variations versus the applied voltage show that the photoconduction obeys the model of injection limited current. In the case of the oxide after ion bombardment, the photocurrent similarity, independent of the direction of the electric field in silica, shows that volume transport phenomena become preponderent [fr

  5. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  6. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  7. High energy P implants in silicon

    International Nuclear Information System (INIS)

    Raineri, V.; Cacciato, A.; Benyaich, F.; Priolo, F.; Rimini, E.; Galvagno, G.; Capizzi, S.

    1992-01-01

    Phosphorus ions in the energy range 0.25-1 MeV and in the dose range 2x10 13 -1x10 15 P/cm 2 were implanted into (100) Si single crystal at different tilt angles. In particular channeling and random conditions were investigated. For comparison some implants were performed on samples with a 2 μm thick surface amorphous layer. Chemical concentration P profiles were obtained by secondary ion mass spectrometry. Carrier concentration and mobility profile measurements were carried out by sheet resistance and Hall measurements on implanted van der Pauw patterns. Carrier concentration profiles were also obtained by spreading resistance (SR) measurements. The damage in the as-implanted samples was determined by backscattering and channeling spectrometry (RBS) as a function of the dose and implantation energy. Comparison of random implants in crystal with implants in amorphous layers shows that in the first case it is impossible to completely avoid the channeling tail. In the implants performed under channeling conditions at low doses the P profiles are flat over more than 2 μm thick layers. Furthermore, by increasing the implanted dose, the shape of the profiles dramatically changes due to the dechanneling caused by the crystal disorder. The data are discussed and compared with Monte Carlo simulations using the MARLOWE code. A simple description of the electronic energy loss provides an excellent agreement between the calculated and experimental profiles. (orig.)

  8. Current use of implantable electrical devices in Sweden: data from the Swedish pacemaker and implantable cardioverter-defibrillator registry.

    Science.gov (United States)

    Gadler, Fredrik; Valzania, Cinzia; Linde, Cecilia

    2015-01-01

    The National Swedish Pacemaker and Implantable Cardioverter-Defibrillator (ICD) Registry collects prospective data on all pacemaker and ICD implants in Sweden. We aimed to report the 2012 findings of the Registry concerning electrical devices implantation rates and changes over time, 1 year complications, long-term device longevity and patient survival. Forty-four Swedish implanting centres continuously contribute implantation of pacemakers and ICDs to the Registry by direct data entry on a specific website. Clinical and technical information on 2012 first implants and postoperative complications were analysed and compared with previous years. Patient survival data were obtained from the Swedish population register database. In 2012, the mean pacemaker and ICD first implantation rates were 697 and 136 per million inhabitants, respectively. The number of cardiac resynchronization therapy (CRT) first implantations/million capita was 41 (CRT pacemakers) and 55 (CRT defibrillators), with only a slight increase in CRT-ICD rate compared with 2011. Most device implantations were performed in men. Complication rates for pacemaker and ICD procedures were 5.3 and 10.1% at 1 year, respectively. Device and lead longevity differed among manufacturers. Pacemaker patients were older at the time of first implant and had generally worse survival rate than ICD patients (63 vs. 82% after 5 years). Pacemaker and ICD implantation rates seem to have reached a level phase in Sweden. Implantable cardioverter-defibrillator and CRT implantation rates are very low and do not reflect guideline indications. Gender differences in CRT and ICD implantations are pronounced. Device and patient survival rates are variable, and should be considered when deciding device type. Published on behalf of the European Society of Cardiology. All rights reserved. © The Author 2014. For permissions please email: journals.permissions@oup.com.

  9. Application of high resolution synchrotron micro-CT radiation in dental implant osseointegration.

    Science.gov (United States)

    Neldam, Camilla Albeck; Lauridsen, Torsten; Rack, Alexander; Lefolii, Tore Tranberg; Jørgensen, Niklas Rye; Feidenhans'l, Robert; Pinholt, Else Marie

    2015-06-01

    The purpose of this study was to describe a refined method using high-resolution synchrotron radiation microtomography (SRmicro-CT) to evaluate osseointegration and peri-implant bone volume fraction after titanium dental implant insertion. SRmicro-CT is considered gold standard evaluating bone microarchitecture. Its high resolution, high contrast, and excellent high signal-to-noise-ratio all contribute to the highest spatial resolutions achievable today. Using SRmicro-CT at a voxel size of 5 μm in an experimental goat mandible model, the peri-implant bone volume fraction was found to quickly increase to 50% as the radial distance from the implant surface increased, and levelled out to approximately 80% at a distance of 400 μm. This method has been successful in depicting the bone and cavities in three dimensions thereby enabling us to give a more precise answer to the fraction of the bone-to-implant contact compared to previous methods. Copyright © 2015 European Association for Cranio-Maxillo-Facial Surgery. Published by Elsevier Ltd. All rights reserved.

  10. Comparative silicone breast implant evaluation using mammography, sonography, and magnetic resonance imaging: experience with 59 implants.

    Science.gov (United States)

    Ahn, C Y; DeBruhl, N D; Gorczyca, D P; Shaw, W W; Bassett, L W

    1994-10-01

    With the current controversy regarding the safety of silicone implants, the detection and evaluation of implant rupture are causing concern for both plastic surgeons and patients. Our study obtained comparative value analysis of mammography, sonography, and magnetic resonance imaging (MRI) in the detection of silicone implant rupture. Twenty-nine symptomatic patients (total of 59 silicone implants) were entered into the study. Intraoperative findings revealed 21 ruptured implants (36 percent). During physical examination, a positive "squeeze test" was highly suggestive of implant rupture. Mammograms were obtained of 51 implants (sensitivity 11 percent, specificity 89 percent). Sonography was performed on 57 implants (sensitivity 70 percent, specificity 92 percent). MRI was performed on 55 implants (sensitivity 81 percent, specificity 92 percent). Sonographically, implant rupture is demonstrated by the "stepladder sign." Double-lumen implants may appear as false-positive results for rupture on sonography. On MRI, the "linguine sign" represents disrupted fragments of a ruptured implant. The most reliable imaging modality for implant rupture detection is MRI, followed by sonogram. Mammogram is the least reliable. Our study supports the clinical indication and diagnostic value of sonogram and MRI in the evaluation of symptomatic breast implant patients.

  11. Surface modification of metals by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1988-01-01

    Ion implantation in metals has attracted the attention as a useful technology for the formation of new metastable alloys and compounds in metal surface layers without thermal equilibrium. Current studies of metal surface modification by ion implantation with high fluences have expanded from basic research areas and to industrial applications for the improvement of life time of tools. Many results suggest that the high fluence implantation produces the new surface layers with un-expected microscopic characteristics and macroscopic properties due to implant particles, radiation damage, sputtering, and knock-on doping. In this report, the composition, structure and chemical bonding state in surface layers of iron, iron-based alloy and aluminum sheets implanted with high fluences have been investigated by means of secondary ion mass spectroscopy (SIMS), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Tribological properties such as hardness, friction and wear are introduced. (author)

  12. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  13. Current transport studies of ZnO/p-Si heterostructures grown by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Chen, X.D.; Ling, C.C.; Fung, S.; Beling, C.D.; Mei, Y.F.; Fu, Ricky K.Y.; Siu, G.G.; Chu, Paul K.

    2006-01-01

    Rectifying undoped and nitrogen-doped ZnO/p-Si heterojunctions were fabricated by plasma immersion ion implantation and deposition. The undoped and nitrogen-doped ZnO films were n type (n∼10 19 cm -3 ) and highly resistive (resistivity ∼10 5 Ω cm), respectively. While forward biasing the undoped-ZnO/p-Si, the current follows Ohmic behavior if the applied bias V forward is larger than ∼0.4 V. However, for the nitrogen-doped-ZnO/p-Si sample, the current is Ohmic for V forward 2 for V forward >2.5 V. The transport properties of the undoped-ZnO/p-Si and the N-doped-ZnO/p-Si diodes were explained in terms of the Anderson model and the space charge limited current model, respectively

  14. Development of a CMOS process using high energy ion implantation

    International Nuclear Information System (INIS)

    Stolmeijer, A.

    1986-01-01

    The main interest of this thesis is the use of complementary metal oxide semiconductors (CMOS) in electronic technology. Problems in developing a CMOS process are mostly related to the isolation well of p-n junctions. It is shown that by using high energy ion implantation, it is possible to reduce lateral dimensions to obtain a rather high packing density. High energy ion implantation is also presented as a means of simplifying CMOS processing, since extended processing steps at elevated temperatures are superfluous. Process development is also simplified. (Auth.)

  15. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N.; Evans, P.J.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L.S. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J. [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1996-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  16. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N; Evans, P J; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L S [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1997-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  17. Porous Titanium for Dental Implant Applications

    Directory of Open Access Journals (Sweden)

    Zena J. Wally

    2015-10-01

    Full Text Available Recently, an increasing amount of research has focused on the biological and mechanical behavior of highly porous structures of metallic biomaterials, as implant materials for dental implants. Particularly, pure titanium and its alloys are typically used due to their outstanding mechanical and biological properties. However, these materials have high stiffness (Young’s modulus in comparison to that of the host bone, which necessitates careful implant design to ensure appropriate distribution of stresses to the adjoining bone, to avoid stress-shielding or overloading, both of which lead to bone resorption. Additionally, many coating and roughening techniques are used to improve cell and bone-bonding to the implant surface. To date, several studies have revealed that porous geometry may be a promising alternative to bulk structures for dental implant applications. This review aims to summarize the evidence in the literature for the importance of porosity in the integration of dental implants with bone tissue and the different fabrication methods currently being investigated. In particular, additive manufacturing shows promise as a technique to control pore size and shape for optimum biological properties.

  18. High-temperature superconductors induced by ion implantation. Final report

    International Nuclear Information System (INIS)

    Greenwald, A.C.; Johnson, E.

    1988-08-01

    High dose oxygen ion implantation (10 to the 17th power ions per sq. cm.) at elevated temperatures (300 C) has been shown to adjust the critical temperature of gamma-Y-Ba-Cu-O and Bi-Ca-Sr-Cu-O materials. These results are in marked contrast to earlier work which showed complete destruction of superconducting properties for similar radiation doses, and marked reduction in superconducting properties at one-tenth this dose in the 1-2-3- compound only. Experiments also showed that the superconducting materials can be patterned into conducting and nonconducting areas without etching by ion implantation, allowing maintenance of planar geometries required for microcircuit fabrication. Experiments on deposition of thin films of high temperature superconductors for use with the ion implantation experiments showed that ion beam sputtering from a single target could achieve the correct stoichiometry. Variations of composition with ion beam energy and angle of sputtered ions were studied

  19. High Power Self-Aligned, Trench-Implanted 4H-SiC JFETs

    Directory of Open Access Journals (Sweden)

    Vamvoukakis K.

    2017-01-01

    Full Text Available The process technology for the fabrication of 4H-SiC trenched-implanted-gate 4H–SiC vertical-channel JFET (TI-VJFET has been developed. The optimized TIVJFETs have been fabricated with self-aligned nickel silicide source and gate contacts using a process sequence that greatly reduces process complexity as it includes only four lithography steps. A source-pillars sidewall oxidation and subsequent removal of the metallization from the top of the sidewall oxide ensured isolation between gate and source. Optimum planarization of the source pillars top has been performed by cyclotene spin coating and etch back. The effect of the channel geometry on the electrical characteristics has been studied by varying its length (0.3 and 1.2μm and its width (1.5-5μm. The voltage blocking exhibits a triode shape, which is typical for a static-induction transistor (SIT operation. The transistors exhibited high ON current handling capabilities (Direct Current density >1kA/cm2 and values of RON ranging from 6 - 12 mΩ•cm2 depending on the channel length. Maximum voltage blocking was 800V limited by the edge termination. The maximum voltage gain was 51. Most transistors were normally-on. Normally-off operation has been observed for transistors lower than 2μm channel width (mask level and deep implantation.

  20. High-temperature oxidation of ion-implanted tantalum

    International Nuclear Information System (INIS)

    Kaufmann, E.N.; Musket, R.G.; Truhan, J.J.; Grabowski, K.S.; Singer, I.L.; Gossett, C.R.

    1982-01-01

    The oxidation of ion-implanted Ta in two different high temperature regimes has been studied. Oxidations were carried out at 500 0 C in Ar/O 2 mixtures, where oxide growth is known to follow a parabolic rate law in initial stages, and at 1000 0 C in pure O 2 , where a linear-rate behavior obtains. Implanted species include Al, Ce, Cr, Li, Si and Zr at fluences of the order of 10 17 /cm 2 . Oxidized samples were studied using Rutherford backscattering, nuclear reaction analysis, Auger spectroscopy, secondary-ion mass spectroscopy, x-ray diffraction and optical microscopy. Significant differences among the specimens were noted after the milder 500 0 C treatment, specifically, in the amount of oxide formed, the degree of oxygen dissolution in the metal beneath the oxide, and in the redistribution behavior of the implanted solutes. Under the severe 1000 0 C treatment, indications of different solute distributions and of different optical features were found, whereas overall oxidation rate appeared to be unaffected by the presence of the solute. 7 figures

  1. Study of crystal damage by ion implantation using micro RBS/channeling

    International Nuclear Information System (INIS)

    Grambole, D.; Herrmann, F.; Heera, V.; Meijer, J.

    2007-01-01

    The combination of microbeam implantation and in-situ micro RBS/channeling analysis in the Rossendorf nuclear microprobe facility enables crystal damage studies with high current densities not achievable in standard ion implantation experiments. Si(1 0 0) samples were implanted with 600 keV Si + ions and a fluence of 1 x 10 16 cm -2 . Using a beam spot of 200 μm x 200 μm current densities from 4 to 120 μA/cm 2 were obtained. The substrate temperature was varied between RT and 265 deg. C. The implanted regions were subsequently analysed by micro RBS/channeling with a 3 MeV He + beam having a spot size of 50 μm x 50 μm. Crystal damage up to amorphisation was observed in dependence on the substrate temperature. Above a critical temperature T C no amorphisation occurs. T C was determined for each series of samples implanted with the same ion current density j. It was found that the empirical Arrhenius relation j ∼ exp(-E a /kT C ), known from standard implantation experiments, is also valid at high current densities. The observed Arrhenius law can be derived from a model of epitaxial crystallisation stimulated by defect diffusion

  2. Surgical templates for dental implant positioning; current knowledge and clinical perspectives

    Directory of Open Access Journals (Sweden)

    Mohammed Zaheer Kola

    2015-01-01

    Full Text Available Dental implants have been used in a variety of different forms for many years. Since the mid-20 th century, there has been an increase in interest in the implant process for the replacement of missing teeth. Branemark was one of the initial pioneers who applied scientifically based research techniques to develop an endosseous implant that forms an immobile connection with bone. The need for a dental implant to completely address multiple physical and biological factors imposes tremendous constraints on the surgical and handling protocol. Metallic dental implants have been successfully used for decades, but they have serious shortcomings related to their bony union and the fact that their mechanical properties do not match those of bone. However, anatomic limitation and restorative demands encourage the surgeon to gain precision in planning and surgical positioning of dental implants. Ideal placement of the implant facilitates the establishment of favorable forces on the implants and the prosthetic component as well as ensures an aesthetic outcome. Therefore, it is advisable to establish a logical continuity between the planned restoration and the surgical phases, it is essential to use a transfer device that for sure increases the predictability of success. The surgical guide template is fabricated by a dental technician after the presurgical restorative appointments that primarily include determination of occlusal scheme and implant angulations. Here, authors genuinely attempted to review the evolution and clinical applicability of surgical templates used in the placement of dental implants.

  3. Methods to measure stability of dental implants

    Directory of Open Access Journals (Sweden)

    Shruti Digholkar

    2014-01-01

    Full Text Available Dental implant treatment is an excellent option for prosthetic restoration that is associated with high success rates. Implant stability is essential for a good outcome. The clinical assessment of osseointegration is based on mechanical stability rather than histological criteria, considering primary stability (absence of mobility in bone bed after implant insertion and secondary stability (bone formation and remodeling at implant-bone interface. However, due to the invasive nature of the histological methods various other methods have been proposed: Radiographs, the surgeon′s perception, Insertion torque (cutting torque analysis, seating torque, reverse torque testing, percussion testing, impact hammer method, pulsed oscillation waveform, implant mobility checker, Periotest, resonance frequency analysis. This review focuses on the methods currently available for the evaluation of implant stability.

  4. Psychological intervention following implantation of an implantable defibrillator

    DEFF Research Database (Denmark)

    Pedersen, Susanne S.; van den Broek, Krista C; Sears, Samuel F

    2007-01-01

    The medical benefits of the implantable cardioverter defibrillator (ICD) are unequivocal, but a subgroup of patients experiences emotional difficulties following implantation. For this subgroup, some form of psychological intervention may be warranted. This review provides an overview of current ...

  5. Customizable orthopaedic oncology implants: one institution's experience with meeting current IRB and FDA requirements.

    Science.gov (United States)

    Willis, Alexander R; Ippolito, Joseph A; Patterson, Francis R; Benevenia, Joseph; Beebe, Kathleen S

    2016-01-01

    Customizable orthopaedic implants are often needed for patients with primary malignant bone tumors due to unique anatomy or complex mechanical problems. Currently, obtaining customizable orthopaedic implants for orthopaedic oncology patients can be an arduous task involving submitting approval requests to the Institutional Review Board (IRB) and the Food and Drug Administration (FDA). There is great potential for the delay of a patient's surgery and unnecessary paperwork if the submission pathways are misunderstood or a streamlined protocol is not in place. The objective of this study was to review the existing FDA custom implant approval pathways and to determine whether this process was improved with an institutional protocol. An institutional protocol for obtaining IRB and FDA approval for customizable orthopaedic implants was established with the IRB at our institution in 2013. This protocol was approved by the IRB, such that new patients only require submission of a modification to the existing protocol with individualized patient information. During the two-year period of 2013-2014, eight patients were retrospectively identified as having required customizable implants for various orthopaedic oncology surgeries. The dates of request for IRB approval, request for FDA approval, and total time to surgery were recorded, along with the specific pathway utilized for FDA approval. The average patient age was 12 years old (7-21 years old). The average time to IRB approval of a modification to the pre-approved protocol was 14 days (7-21 days). Average time to FDA approval after submission of the IRB approval to the manufacturer was 12.5 days (7-19 days). FDA approval was obtained for all implants as compassionate use requests in accordance with Section 561 of the Federal Food Drug and Cosmetic Act's expanded access provisions. Establishment of an institutional protocol with pre-approval by the IRB can expedite the otherwise time-consuming and complicated

  6. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  7. A novel graded bioactive high adhesion implant coating

    International Nuclear Information System (INIS)

    Brohede, Ulrika; Zhao, Shuxi; Lindberg, Fredrik; Mihranyan, Albert; Forsgren, Johan; Stromme, Maria; Engqvist, Hakan

    2009-01-01

    One method to increase the clinical success rate of metal implants is to increase their bone bonding properties, i.e. to develop a bone bioactive surface leading to reduced risks of interfacial problems. Much research has been devoted to modifying the surface of metals to make them become bioactive. Many of the proposed methods include depositing a coating on the implant. However, there is a risk of coating failure due to low substrate adhesion. This paper describes a method to obtain bioactivity combined with a high coating adhesion via a gradient structure of the coating. Gradient coatings were deposited on Ti (grade 5) using reactive magnetron sputtering with increasing oxygen content. To increase the grain size in the coating, all coatings were post annealed at 385 deg. C. The obtained coating exhibited a gradual transition over 70 nm from crystalline titanium oxide (anatase) at the surface to metallic Ti in the substrate, as shown using cross-section transmission electron microscopy and X-ray photoelectron spectroscopy depth profiling. Using scratch testing, it could be shown that the adhesion to the substrate was well above 1 GPa. The bioactivity of the coating was verified in vitro by the spontaneous formation of hydroxylapatite upon storage in phosphate buffer solution at 37 deg. C for one week. The described process can be applied to implants irrespective of bulk metal in the base and should introduce the possibility to create safer permanent implants like reconstructive devices, dental, or spinal implants.

  8. Surface Passivation and Junction Formation Using Low Energy Hydrogen Implants

    Science.gov (United States)

    Fonash, S. J.

    1985-01-01

    New applications for high current, low energy hydrogen ion implants on single crystal and polycrystal silicon grain boundaries are discussed. The effects of low energy hydrogen ion beams on crystalline Si surfaces are considered. The effect of these beams on bulk defects in crystalline Si is addressed. Specific applications of H+ implants to crystalline Si processing are discussed. In all of the situations reported on, the hydrogen beams were produced using a high current Kaufman ion source.

  9. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    Science.gov (United States)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  10. High surface hole concentration p-type GaN using Mg implantation

    International Nuclear Information System (INIS)

    Long Tao; Yang Zhijian; Zhang Guoyi

    2001-01-01

    Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 17 cm -3 ) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration

  11. Structural investigations of amorphised iron and nickel by high-fluence metalloid ion implantation

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Otto, G.; Hohmuth, K.; Heera, V.

    1987-01-01

    Boron, phosphorus and arsenic ions have been implanted into evaporated iron and nickel thin films at room temperature, and the implantation-induced microstructure has been investigated by high-voltage electron microscopy and transmission high energy electron diffraction. The metal films were implanted with ions to a constant dose of 1 x 10 17 and 5 x 10 17 ions/cm 2 respectively at energy of 50 keV. An amorphous layer was produced by boron and phosphorus ion implantation. Information on the atomic structure of the amorphous layers was obtained from the elastically diffracted electron intensity. On the basis of the correct scattering curves, the total interference function and the pair correlation function were determined. Finally, the atomic arrangement of the implantation-induced amorphous layers is discussed and structure produced by ion irradiation is compared with amorphous structures formed with other techniques. (author)

  12. [Cochlear implant treatment in Germany].

    Science.gov (United States)

    Jacob, R; Stelzig, Y

    2013-01-01

    Restoration of impaired auditory function through cochlear implant is possible, with high reliably and great success. Nevertheless, there are regular disputes between patients and insurance companies due to high costs. In Germany, approx. 1.9 Mio. people are severely hearing impaired. It can be estimated that for adequate hearing rehabilitation about 30,000 cochlear implants/year are necessary. Currently, less than 10% of those affected are offered cochlear implant. A handicap is defined if there is deviation from normal hearing for more than 6 months. This sets a time frame for the supply with cochlear implant after sudden deafness. The professional code requires to advice all medical options to a person seeking help for hearing loss. This includes benefit-risk consideration. At this point, the economic aspect plays no role. The indication for medical treatment is only subject to the treating physician and should not be modified by non-physicians or organizations. It should be noted that a supply of hearing aids is qualitatively different to the help from a cochlear implant, which provides a restoration of lost function. In social law (SGB V and IX) doctors are requested to advise and recommend all measures which contribute to normal hearing (both sides). This indicates that doctors may be prosecuted for not offering help when medically possible, just because health insurance employees did not approve the cost balance. The current situation, with insufficient medical care for the hearing impaired, needs clarifying. To do this, patients, health insurance companies, the political institutions, legislation and professional societies need to accept their responsibilities.

  13. [Study on Microbial Diversity of Peri-implantitis Subgingival by High-throughput Sequencing].

    Science.gov (United States)

    Li, Zhi-jie; Wang, Shao-guo; Li, Yue-hong; Tu, Dong-xiang; Liu, Shi-yun; Nie, Hong-bing; Li, Zhi-qiang; Zhang, Ju-mei

    2015-07-01

    To study microbial diversity of peri-implantitis subgingival with high-throughput sequencing, and investigate microbiological etiology of peri-implantitis. Subgingival plaques were sampled from the patients with peri-implantitis (D group) and non-peri-implantitis subjects (N group). The microbiological diversity of the subgingival plaques was detected by sequencing V4 region of 16S rRNA with Illumina Miseq platform. The diversity of the community structure was analyzed using Mothur software. A total of 156 507 gene sequences were detected in nine samples and 4 402 operational taxonomic units (OTUs) were found. Selenomonas, Pseudomonas, and Fusobacterium were dominant bacteria in D group, while Fusobacterium, Veillonella and Streptococcus were dominant bacteria in N group. Differences between peri-implantitis and non-peri-implantitis bacterial communities were observed at all phylogenetic levels by LEfSe, which was also found in PcoA test. The occurrence of peri-implantitis is not only related to periodontitis pathogenic microbe, but also related with the changes of oral microbial community structure. Treponema, Herbaspirillum, Butyricimonas and Phaeobacte may be closely related to the occurrence and development of peri-implantitis.

  14. A high-power versatile wireless power transfer for biomedical implants.

    Science.gov (United States)

    Jiang, Hao; Zhang, Jun Min; Liou, Shy Shenq; Fechter, Richard; Hirose, Shinjiro; Harrison, Michael; Roy, Shuvo

    2010-01-01

    Implantable biomedical actuators are highly desired in modern medicine. However, how to power up these biomedical implants remains a challenge since most of them need more than several hundreds mW of power. The air-core based radio-frequency transformer (two face-to-face inductive coils) has been the only non-toxic and non-invasive power source for implants for the last three decades [1]. For various technical constraints, the maximum delivered power is limited by this approach. The highest delivered power reported is 275 mW over 1 cm distance [2]. Also, the delivered power is highly vulnerable to the coils' geometrical arrangement and the electrical property of the medium around them. In this paper, a novel rotating-magnets based wireless power transfer that can deliver ∼10 W over 1 cm is demonstrated. The delivered power is significantly higher than the existing start-of-art. Further, the new method is versatile since there is no need to have the impedance matching networks that are highly susceptible to the operating frequency, the coil arrangement and the environment.

  15. High surface hole concentration p-type GaN using Mg implantation

    CERN Document Server

    Long Tao; Zhang Guo Yi

    2001-01-01

    Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 sup 1 sup 7 cm sup - sup 3) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration

  16. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  17. Study of high energy ion implantation of boron and oxygen in silicon

    International Nuclear Information System (INIS)

    Thevenin, P.

    1991-06-01

    Three aspects of high energy (0.5-3 MeV) light ions ( 11 B + and 16 O + ) implantation in silicon are examined: (1)Spatial repartition; (2) Target damage and (3) Synthesis by oxygen implantation of a buried silicon oxide layer

  18. High-fluence implantation in insulators. 1

    International Nuclear Information System (INIS)

    Mazzoldi, P.

    1989-01-01

    The defects which can be formed by ion implantation depend upon the insulator structure and composition. Thus, for glasses and ceramics, different changes are expected in mechanical and tribological properties, network dilatation, induced optical absorption and luminescence, compositional changes and modifications in the chemical behaviour. The modifications induced by ion implantation in the composition of glasses, with particular reference to alkali silicate glasses, the mechanical and tribological properties of ion implanted insulators, in particular glasses and ceramics, and the optical properties are discussed. 56 refs.; 20 figs

  19. Poly Implants Prosthèse Breast Implants: A Case Series and Review of the Literature.

    Science.gov (United States)

    Klein, Doron; Hadad, Eran; Wiser, Itay; Wolf, Omer; Itzhaki Shapira, Ortal; Fucks, Shir; Heller, Lior

    2018-01-01

    Silicone breast implants from the French manufacturer Poly Implants Prosthèse (PIP) were recalled from the European market after the French regulator has revealed the implants contain non-medical-grade silicone filler. In December 2011, following a large increase in reported rupture rate and a possible cancer risk, the French Ministry of Health recommended consideration of the PIP explantation, regardless of their condition. In 2012, the Israel Ministry of Health recommended to replace the implants only upon suspected implant rupture. The aims of this study were to characterize breast-augmented Israeli patients with PIP implants, compare their outcomes with those of breast-augmented patients with different implant types, and review the current PIP literature. Breast-augmented patients who underwent an elective breast implant exchange in Israel between January 2011 and January 2017 were included in the study. Data were collected from electronic and physical medical files. There were 73 breast-augmented female patients with 146 PIP breast implants included in this study. Average implant age was 6.7 ± 2.79 years. Mean implant size was 342.8 ± 52.9 mL. Fourteen women (19 implants [16%]) had a high-grade capsular contracture (Baker grade 3-4). During exchange, 28 implants were found to be ruptured (19.2%). Less than 10 years following breast augmentation, PIP implants demonstrated higher rupture rate compared with other implants. Our data are comparable to overall available rupture rate. Among patients with definitive rupture diagnosis, an elective implant removal should be recommended. In cases of undamaged implants, plastic surgeons should also seriously consider PIP implant explantation. When the patient does not desire to remove the implant, an annual physical examination and breast ultrasound are recommended, beginning a year after augmentation.

  20. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  1. Nanofiber-deposited porous platinum enables glucose fuel cell anodes with high current density in body fluids

    Science.gov (United States)

    Frei, Maxi; Erben, Johannes; Martin, Julian; Zengerle, Roland; Kerzenmacher, Sven

    2017-09-01

    The poisoning of platinum anodes by body-fluid constituents such as amino acids is currently the main hurdle preventing the application of abiotic glucose fuel cells as battery-independent power supply for medical implants. We present a novel anode material that enables continuous operation of glucose oxidation anodes in horse serum for at least 30 days at a current density of (7.2 ± 1.9) μA cm-2. The fabrication process is based on the electro-deposition of highly porous platinum onto a 3-dimensional carbon nanofiber support, leading to approximately 2-fold increased electrode roughness factors (up to 16500 ± 2300). The material's superior performance is not only related to its high specific surface area, but also to an improved catalytic activity and/or poisoning resistance. Presumably, this results from the micro- and nanostructure of the platinum deposits. This represents a major step forward in the development of implantable glucose fuel cells based on long-term stable platinum electrodes.

  2. A study of enhanced diffusion during high dose high flux pulsed metal ion implantation into steel and aluminium

    International Nuclear Information System (INIS)

    Zhang Tonghe; Ji Chengzhou; Shen Jinghua; Chen Jun

    1992-01-01

    The depth profiles of metal ions implanted into steel and aluminium were measured by Rutherford backscattering (RBS). The ions of Mo, W and Y, produced by a metal vapour vacuum are ion source (MEVVA) were implanted at an energy range from 25 to 50 keV for doses of (2-5)x10 17 cm -2 into H13 steel and aluminium. Beam currents were from 0.5 to 1.0 A. The beam flux is in the range of 25 to 75 μAcm -2 . In order to simulate the profiles, a formula which includes the sputtering yield, diffusion coefficients and reaction rate was obtained. The results demonstrate that the penetration depth and retained dose increase with increasing beam flux for Mo implanted into aluminium. The peak concentration of Mo implanted H13 steel increases with increasing ion flux. In contrast to this for Y implantation into steel, the peak concentration of Y decreases with increasing ion flux. For an ion flux of 25 μAcm -2 for Mo, Y and W implantation into steel, the penetration depth and retained dose are 3-5 times greater than the theoretical values. The diffusion coefficients are about 10 -16 to 10 -15 s -1 . If the ion flux is greater than 47 μAcm -2 , the penetration depth and retained dose are 5 to 10 times greater than the theoretical values for Mo implanted aluminium. The diffusion coefficients increase with increasing ion flux for Mo implanted aluminium. The diffusion coefficients hardly change with increasing ion flux for Y and Mo implanted H13 steel. The retained dose increases 0.43 to 1.16 times for Y implanted steel for an ion flux of 25 μAcm -2 . Finally, the influence of phases precipitates, reaction rate and diffusion on retained dose, diffusion coefficient and penetration depth are discussed. (orig.)

  3. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  4. Wireless Power Transfer Strategies for Implantable Bioelectronics.

    Science.gov (United States)

    Agarwal, Kush; Jegadeesan, Rangarajan; Guo, Yong-Xin; Thakor, Nitish V

    2017-01-01

    Neural implants have emerged over the last decade as highly effective solutions for the treatment of dysfunctions and disorders of the nervous system. These implants establish a direct, often bidirectional, interface to the nervous system, both sensing neural signals and providing therapeutic treatments. As a result of the technological progress and successful clinical demonstrations, completely implantable solutions have become a reality and are now commercially available for the treatment of various functional disorders. Central to this development is the wireless power transfer (WPT) that has enabled implantable medical devices (IMDs) to function for extended durations in mobile subjects. In this review, we present the theory, link design, and challenges, along with their probable solutions for the traditional near-field resonant inductively coupled WPT, capacitively coupled short-ranged WPT, and more recently developed ultrasonic, mid-field, and far-field coupled WPT technologies for implantable applications. A comparison of various power transfer methods based on their power budgets and WPT range follows. Power requirements of specific implants like cochlear, retinal, cortical, and peripheral are also considered and currently available IMD solutions are discussed. Patient's safety concerns with respect to electrical, biological, physical, electromagnetic interference, and cyber security from an implanted neurotech device are also explored in this review. Finally, we discuss and anticipate future developments that will enhance the capabilities of current-day wirelessly powered implants and make them more efficient and integrable with other electronic components in IMDs.

  5. Development of high impedance measurement system for water leakage detection in implantable neuroprosthetic devices.

    Science.gov (United States)

    Yousif, Aziz; Kelly, Shawn K

    2016-08-01

    There has been a push for a greater number of channels in implantable neuroprosthetic devices; but, that number has largely been limited by current hermetic packaging technology. Microfabricated packaging is becoming reality, but a standard testing system is needed to prepare these devices for clinical trials. Impedance measurements of electrodes built into the packaging layers may give an early warning of device failure and predict device lifetime. Because the impedance magnitudes of such devices can be on the order of gigaohms, a versatile system was designed to accommodate ultra-high impedances and allow future integrated circuit implementation in current neural prosthetic technologies. Here we present the circuitry, control software, and preliminary testing results of our designed system.

  6. Total Artificial Heart Implantation After Undifferentiated High-Grade Sarcoma Excision.

    Science.gov (United States)

    Kremer, Jamila; Farag, Mina; Arif, Rawa; Brcic, Andreas; Sabashnikov, Anton; Schmack, Bastian; Popov, Aron-Frederik; Karck, Matthias; Dohmen, Pascal M; Ruhparwar, Arjang; Weymann, Alexander

    2016-11-02

    BACKGROUND Total artificial heart (TAH) implantation in patients with aggressive tumor infiltration of the heart can be challenging. CASE REPORT We report on a patient with a rare primary undifferentiated high-grade spindle cell sarcoma of the mitral valve and in the left atrium, first diagnosed in 2014. The referring center did a first resection in 2014. In the course of 17 months, computer tomography (CT) scan again showed massive invasion of the mitral valve and left atrium. Partial resection and mitral valve replacement was not an option. We did a subtotal heart excision with total artificial heart implantation. In this report we discuss complications, risk factors, and perioperative management of this patient. CONCLUSIONS Patients with aggressive tumors of the heart can be considered for TAH implantation.

  7. Application of High Entropy Alloys in Stent Implants

    Science.gov (United States)

    Alagarsamy, Karthik

    High entropy alloys (HEAs) are alloys with five or more principal elements. Due to these distinct concept of alloying, the HEA exhibits unique and superior properties. The outstanding properties of HEA includes higher strength/hardness, superior wear resistance, high temperature stability, higher fatigue life, good corrosion and oxidation resistance. Such characteristics of HEA has been significant interest leading to researches on these emerging field. Even though many works are done to understand the characteristic of these HEAs, very few works are made on how the HEAs can be applied for commercial uses. This work discusses the application of High entropy alloys in biomedical applications. The coronary heart disease, the leading cause of death in the United States kills more than 350,000 persons/year and it costs $108.9 billion for the nation each year in spite of significant advancements in medical care and public awareness. A cardiovascular disease affects heart or blood vessels (arteries, veins and capillaries) or both by blocking the blood flow. As a surgical interventions, stent implants are deployed to cure or ameliorate the disease. However, the high failure rate of stents has lead researchers to give special attention towards analyzing stent structure, materials and characteristics. Many works related to alternate material and/or design are carried out in recent time. This paper discusses the feasibility of CoCrFeNiMn and Al0.1CoCrFeNi HEAs in stent implant application. This work is based on the speculation that CoCrFeNiMn and Al0.1CoCrFeNi HEAs are biocompatible material. These HEAs are characterized to determine the microstructure and mechanical properties. Computational modeling and analysis were carried out on stent implant by applying CoCrFeNiMn and Al0.1CoCrFeNi HEAs as material to understand the structural behavior.

  8. A low-cost, scalable, current-sensing digital headstage for high channel count μECoG

    Science.gov (United States)

    Trumpis, Michael; Insanally, Michele; Zou, Jialin; Elsharif, Ashraf; Ghomashchi, Ali; Sertac Artan, N.; Froemke, Robert C.; Viventi, Jonathan

    2017-04-01

    Objective. High channel count electrode arrays allow for the monitoring of large-scale neural activity at high spatial resolution. Implantable arrays featuring many recording sites require compact, high bandwidth front-end electronics. In the present study, we investigated the use of a small, light weight, and low cost digital current-sensing integrated circuit for acquiring cortical surface signals from a 61-channel micro-electrocorticographic (μECoG) array. Approach. We recorded both acute and chronic μECoG signal from rat auditory cortex using our novel digital current-sensing headstage. For direct comparison, separate recordings were made in the same anesthetized preparations using an analog voltage headstage. A model of electrode impedance explained the transformation between current- and voltage-sensed signals, and was used to reconstruct cortical potential. We evaluated the digital headstage using several metrics of the baseline and response signals. Main results. The digital current headstage recorded neural signal with similar spatiotemporal statistics and auditory frequency tuning compared to the voltage signal. The signal-to-noise ratio of auditory evoked responses (AERs) was significantly stronger in the current signal. Stimulus decoding based on true and reconstructed voltage signals were not significantly different. Recordings from an implanted system showed AERs that were detectable and decodable for 52 d. The reconstruction filter mitigated the thermal current noise of the electrode impedance and enhanced overall SNR. Significance. We developed and validated a novel approach to headstage acquisition that used current-input circuits to independently digitize 61 channels of μECoG measurements of the cortical field. These low-cost circuits, intended to measure photo-currents in digital imaging, not only provided a signal representing the local cortical field with virtually the same sensitivity and specificity as a traditional voltage headstage but

  9. Behavioral and cellular consequences of high-electrode count Utah Arrays chronically implanted in rat sciatic nerve

    Science.gov (United States)

    Wark, H. A. C.; Mathews, K. S.; Normann, R. A.; Fernandez, E.

    2014-08-01

    Objective. Before peripheral nerve electrodes can be used for the restoration of sensory and motor functions in patients with neurological disorders, the behavioral and histological consequences of these devices must be investigated. These indices of biocompatibility can be defined in terms of desired functional outcomes; for example, a device may be considered for use as a therapeutic intervention if the implanted subject retains functional neurons post-implantation even in the presence of a foreign body response. The consequences of an indwelling device may remain localized to cellular responses at the device-tissue interface, such as fibrotic encapsulation of the device, or they may affect the animal more globally, such as impacting behavioral or sensorimotor functions. The objective of this study was to investigate the overall consequences of implantation of high-electrode count intrafascicular peripheral nerve arrays, High Density Utah Slanted Electrode Arrays (HD-USEAs; 25 electrodes mm-2). Approach. HD-USEAs were implanted in rat sciatic nerves for one and two month periods. We monitored wheel running, noxious sensory paw withdrawal reflexes, footprints, nerve morphology and macrophage presence at the tissue-device interface. In addition, we used a novel approach to contain the arrays in actively behaving animals that consisted of an organic nerve wrap. A total of 500 electrodes were implanted across all ten animals. Main results. The results demonstrated that chronic implantation (⩽8 weeks) of HD-USEAs into peripheral nerves can evoke behavioral deficits that recover over time. Morphology of the nerve distal to the implantation site showed variable signs of nerve fiber degeneration and regeneration. Cytology adjacent to the device-tissue interface also showed a variable response, with some electrodes having many macrophages surrounding the electrodes, while other electrodes had few or no macrophages present. This variability was also seen along the length

  10. Fatigue induced changes in conical implant-abutment connections.

    Science.gov (United States)

    Blum, Kai; Wiest, Wolfram; Fella, Christian; Balles, Andreas; Dittmann, Jonas; Rack, Alexander; Maier, Dominik; Thomann, Ralf; Spies, Benedikt Christopher; Kohal, Ralf Joachim; Zabler, Simon; Nelson, Katja

    2015-11-01

    Based on the current lack of data and understanding of the wear behavior of dental two-piece implants, this study aims for evaluating the microgap formation and wear pattern of different implants in the course of cyclic loading. Several implant systems with different conical implant-abutment interfaces were purchased. The implants were first evaluated using synchrotron X-ray high-resolution radiography (SRX) and scanning electron microscopy (SEM). The implant-abutment assemblies were then subjected to cyclic loading at 98N and their microgap was evaluated after 100,000, 200,000 and 1 million cycles using SRX, synchrotron micro-tomography (μCT). Wear mechanisms of the implant-abutment connection (IAC) after 200,000 cycles and 1 million cycles were further characterized using SEM. All implants exhibit a microgap between the implant and abutment prior to loading. The gap size increased with cyclic loading with its changes being significantly higher within the first 200,000 cycles. Wear was seen in all implants regardless of their interface design. The wear pattern comprised adhesive wear and fretting. Wear behavior changed when a different mounting medium was used (brass vs. polymer). A micromotion of the abutment during cyclic loading can induce wear and wear particles in conical dental implant systems. This feature accompanied with the formation of a microgap at the IAC is highly relevant for the longevity of the implants. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  11. New, fast corroding high ductility Mg–Bi–Ca and Mg–Bi–Si alloys, with no clinically observable gas formation in bone implants

    International Nuclear Information System (INIS)

    Remennik, S.; Bartsch, I.; Willbold, E.; Witte, F.; Shechtman, D.

    2011-01-01

    Highlights: ► Biodegradable, biocompatible and highly ductile Mg alloys based on the Mg–Bi system have been produced by rapid solidification and extrusion processes. ► The implants corroded fast within the first 4 weeks after implantation in rabbit bone, but no gas formation has been clinically observed. ► The corrosion rate could be significantly reduced in vitro and in vivo by using high purity magnesium for the alloy production. - Abstract: Current approaches to initial corrosion rate reduction of biodegradable magnesium alloys include alloying with rare earth elements, mechanical processing, coatings and the use of metallic glasses. The latter has limited ductility needed for implant adaptively to various surgery procedures. Furthermore, slow corroding magnesium alloys, coatings or metallic glasses have not proved to be fully dissolvable in vivo. With this in mind, we have developed a new class of biocompatible, biodegradable ductile magnesium alloys with 40% elongation at room temperature. The alloys are based on the Mg–Bi system and undergo a series of production routes, which include rapid solidification (RS) and various extrusion processes. The Mg–Bi–Si (B-BS) system exhibited a high corrosion rates in vitro and was excluded from in vivo screening. In preliminary experiments of Mg–Bi–Ca (B-BX) in rabbit femur bones, the alloy corroded rapidly without any clinically visible gas formation. Only 30% of the B-BX implant remained uncorroded after 4 weeks of implantation. After using low iron Mg for implant preparation the corrosion rate of HP-B-BX was reduced in bone leaving 70% of the implant uncorroded after 4 weeks, while the corrosion in intramuscular and subcutaneous sites were still high leaving only 40% and 10% uncorroded after 4 weeks. The foreign body reaction was very mild and enhanced bone formation could be observed in the vicinity of the corroding implant. Thus, these new magnesium alloys are potentially promising biomaterials

  12. Wear life of sputtered MoSx films extended by high energy ion implantation

    International Nuclear Information System (INIS)

    Okazaki, Yasufumi; Fujiura, Hideo; Nishimura, Makoto

    2000-01-01

    The tribological characteristics of sputtered MoSx films have been reportedly improved by inert gas ion implantation. We tried to extend their wear life by introducing indium, carbon and gallium ion implantation. Pin-on-disk testers were used to measure friction coefficient and wear life in a vacuum, dry and humid air. Comparing with the unimplanted films, we found that the indium ion implanted films showed marked improvement in wear life in a vacuum. Carbon ion implanted films showed improvement in wear life in high humid air. Implantation was effective when it was conducted with maximum concentration at the interface between film and substrate rather than at the neighborhood of the interface inside a film. (author)

  13. High definition surface micromachining of LiNbO 3 by ion implantation

    Science.gov (United States)

    Chiarini, M.; Bentini, G. G.; Bianconi, M.; De Nicola, P.

    2010-10-01

    High Energy Ion Implantation (HEII) of both medium and light mass ions has been successfully applied for the surface micromachining of single crystal LiNbO 3 (LN) substrates. It has been demonstrated that the ion implantation process generates high differential etch rates in the LN implanted areas, when suitable implantation parameters, such as ion species, fluence and energy, are chosen. In particular, when traditional LN etching solutions are applied to suitably ion implanted regions, etch rates values up to three orders of magnitude higher than the typical etching rates of the virgin material, are registered. Further, the enhancement in the etching rate has been observed on x, y and z-cut single crystalline material, and, due to the physical nature of the implantation process, it is expected that it can be equivalently applied also to substrates with different crystallographic orientations. This technique, associated with standard photolithographic technologies, allows to generate in a fast and accurate way very high aspect ratio relief micrometric structures on LN single crystal surface. In this work a description of the developed technology is reported together with some examples of produced micromachined structures: in particular very precisely defined self sustaining suspended structures, such as beams and membranes, generated on LN substrates, are presented. The developed technology opens the way to actual three dimensional micromachining of LN single crystals substrates and, due to the peculiar properties characterising this material, (pyroelectric, electro-optic, acousto-optic, etc.), it allows the design and the production of complex integrated elements, characterised by micrometric features and suitable for the generation of advanced Micro Electro Optical Systems (MEOS).

  14. High-intensity laser for Ta and Ag implantation into different substrates for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Mackova, A.; Malinsky, P. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Sciences, Messina University, V.le F.S. d’Alcontres 31, 98166 S. Agata, Messina (Italy); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-07-01

    High-intensity lasers generating non-equilibrium plasma, can be employed to accelerate ions in the keV–MeV region, useful for many applications. In the present work, we performed study of ion implantation into different substrates by using a high-intensity laser at the PALS laboratory in Prague. Multi-energy ions generated by plasma from Ta and Ag targets were implanted into polyethylene and metallic substrates (Al, Ti) at energies of tens of keV per charge state. The ion emission was monitored online using time-of-flight detectors and electromagnetic deflection systems. Rutherford Backscattering Spectrometry (RBS) was used to characterise the elemental composition in the implanted substrates by ion plasma emission and to provide the implanted ion depth profiling. These last measurements enable offline plasma characterisation and provide information on the useful potentiality of multi-ion species and multi-energy ion implantation into different substrates. XPS analysis gives information on the chemical bonds and their modifications in the first superficial implanted layers. The depth distributions of implanted Ta and Ag ions were compared with the theoretical ones achieved by using the SRIM-2012 simulation code.

  15. High temperature tensile properties of 316 stainless steel implanted with helium

    International Nuclear Information System (INIS)

    Hasegawa, Akira; Yamamoto, Norikazu; Shiraishi, Haruki

    1993-01-01

    Helium embrittlement is one of the problems in structural materials for fusion reactors. Recently, martensitic steels have been developed which have a good resistance to high-temperature helium embrittlement, but the mechanism has not yet been clarified. In this paper, tensile behaviors of helium implanted austenitic stainless steels, which are sensitive to the helium embrittlement, were studied and compared with those of martensitic steels under the same experimental conditions, and the effect of microstructure on helium embrittlement was discussed. Helium was implanted by 300 appm at 573-623 K to miniature tensile speciments of 316 austenitic steels using a cyclotron accelerator. Solution annealed (316SA) and 20% cold worked (316CW) specimens were used. Post-implantation tensile tests were carried out at 573, 873 and 973 K. Yield stress at 573 K increased with the helium implantation in 316SA and 316CW, but the yield stress changes of 316SA at 873 and 973 K were different from that of 316CW. Black-dots were observed in the as-implanted specimen and bubbles were observed in the speciments tensile-tested at 873 and 973 K. Intergranular fracture was observed at only 973 K in both of the 316SA and 316CW specimens. Therefore, cold work did not suppress the high-temperature helium embrittlement under this experimental condition. The difference in the influence of helium on type 316 steel and 9Cr martensitic steels were discussed. Test temperature change of reduction in are showed clearly that helium embrittlement did not occur in 9Cr martensitic steels but occurred in 316 austenitic steels. Fine microstructures of 9Cr martensitic steels should suppress helium embrittlement at high temperatures. (author)

  16. Capacitive Feedthroughs for Medical Implants.

    Science.gov (United States)

    Grob, Sven; Tass, Peter A; Hauptmann, Christian

    2016-01-01

    Important technological advances in the last decades paved the road to a great success story for electrically stimulating medical implants, including cochlear implants or implants for deep brain stimulation. However, there are still many challenges in reducing side effects and improving functionality and comfort for the patient. Two of the main challenges are the wish for smaller implants on one hand, and the demand for more stimulation channels on the other hand. But these two aims lead to a conflict of interests. This paper presents a novel design for an electrical feedthrough, the so called capacitive feedthrough, which allows both reducing the size, and increasing the number of included channels. Capacitive feedthroughs combine the functionality of a coupling capacitor and an electrical feedthrough within one and the same structure. The paper also discusses the progress and the challenges of the first produced demonstrators. The concept bears a high potential in improving current feedthrough technology, and could be applied on all kinds of electrical medical implants, even if its implementation might be challenging.

  17. Highly-focused boron implantation in diamond and imaging using the nuclear reaction {sup 11}B(p, α){sup 8}Be

    Energy Technology Data Exchange (ETDEWEB)

    Ynsa, M.D., E-mail: m.ynsa@uam.es [Centro de Micro-Análisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Departamento de Física Aplicada, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Ramos, M.A. [Centro de Micro-Análisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Departamento de Física de la Materia Condensada and Instituto Nicolás Cabrera, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Skukan, N. [Laboratory for Ion Beam Interactions, Ruđer Bošković Institute, Bijenička 54, HR-10000 Zagreb (Croatia); Torres-Costa, V. [Centro de Micro-Análisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Departamento de Física Aplicada, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Jakšić, M. [Laboratory for Ion Beam Interactions, Ruđer Bošković Institute, Bijenička 54, HR-10000 Zagreb (Croatia)

    2015-04-01

    Diamond is an especially attractive material because of its gemological value as well as its unique mechanical, chemical and physical properties. One of these properties is that boron-doped diamond is an electrically p-type semiconducting material at practically any boron concentration. This property makes it possible to use diamonds for multiple industrial and technological applications. Boron can be incorporated into pure diamond by different techniques including ion implantation. Although typical energies used to dope diamond by ion implantation are about 100 keV, implantations have also been performed with energies above MeV. In this work CMAM microbeam setup has been used to demonstrate capability to implant boron with high energies. An 8 MeV boron beam with a size of about 5 × 3 μm{sup 2} and a beam current higher than 500 pA has been employed while controlling the beam position and fluence at all irradiated areas. The subsequent mapping of the implanted boron in diamond has been obtained using the strong and broad nuclear reaction {sup 11}B(p, α){sup 8}Be at E{sub p} = 660 keV. This reaction has a high Q-value (8.59 MeV for α{sub 0} and 5.68 MeV for α{sub 1}) and thus is almost interference-free. The sensitivity of the technique is studied in this work.

  18. Spinal shape modulation in a porcine model by a highly flexible and extendable non-fusion implant system

    NARCIS (Netherlands)

    Wessels, Martijn; Hekman, Edsko E G; Kruyt, Moyo C.; Castelein, RM; Homminga, Jasper J.; Verkerke, Gijsbertus J.

    2016-01-01

    Purpose: In vivo evaluation of scoliosis treatment using a novel approach in which two posterior implants are implanted: XSLAT (eXtendable implant correcting Scoliosis in LAT bending) and XSTOR (eXtendable implant correcting Scoliosis in TORsion). The highly flexible and extendable implants use only

  19. Positron and nanoindentation study of helium implanted high chromium ODS steels

    Science.gov (United States)

    Veternikova, Jana Simeg; Fides, Martin; Degmova, Jarmila; Sojak, Stanislav; Petriska, Martin; Slugen, Vladimir

    2017-12-01

    Three oxide dispersion strengthened (ODS) steels with different chromium content (MA 956, MA 957 and ODM 751) were studied as candidate materials for new nuclear reactors in term of their radiation stability. The radiation damage was experimentally simulated by helium ion implantation with energy of ions up to 500 keV. The study was focused on surface and sub-surface structural change due to the ion implantation observed by mostly non-destructive techniques: positron annihilation lifetime spectroscopy and nanoindentation. The applied techniques demonstrated the best radiation stability of the steel ODM 751. Blistering effect occurred due to high implantation dose (mostly in MA 956) was studied in details.

  20. The role of the substrate in the high energy boron implantation damage recovering

    International Nuclear Information System (INIS)

    Mica, I.; Di Piazza, L.; Laurin, L.; Mariani, M.; Mauri, A.G.; Polignano, M.L.; Ricci, E.; Sammiceli, F.; Spoldi, G.

    2009-01-01

    In this work the role of the Si substrate in the high energy boron implantation damage recovering is studied. The boron implants were carried out in Czochralski grown (1 0 0) polished Si substrates as well as in epitaxial Si layers grown on (1 0 0) Si by chemical vapor deposition. The boron implantation dose was 2 x 10 14 cm -2 and the implantation energy was 600 keV. The recovery annealing was a furnace annealing at 1000 deg. C for 40 min. The defects formed by high energy boron implantation have been observed with transmission electron microscopy (TEM). In order to increase the statistics some junctions were formed on the buried p-well and electrically characterized. For the epitaxial wafers it was found that the number and the size of the dislocations change according to the doping of the substrate. For the Czochralski wafers it was found that the morphology and the size of the dislocations change according to the presence of the wafer pre-annealing (whether conventional furnace annealing or Magic Denuded Zone process).

  1. Monopolar Stimulation of the Implanted Cochlea: A Synthetic Population-Based Study

    DEFF Research Database (Denmark)

    Mangado Lopez, Nerea; Ceresa, Mario; Dejea Velardo, Hector

    2016-01-01

    Cochlear implantation is carried out to recover the sense of hearing. However, its functional outcome varies highly between patients. In the current work, we present a study to assess the functional outcomes of cochlear implants considering the inter-variability found among a population of patien...

  2. 192Ir high dose rate (HDR) interstitial brain implant: optimisation

    International Nuclear Information System (INIS)

    Tyagi, Anuj; Singh, Dinesh; Chitra, S.; Gupta, J.P.

    2001-01-01

    The new modality of stepping source dosimetry system (SSDs) illustrates a remarkable improvement in attaining the uniform and homogeneous dose distribution within the target volume. The technique enables the physicist to correct for a certain amount of misplacement or curvature of implant geometry. The short course of brachytherapy provides good palliation in terms of functional improvements with low and acceptable toxicity in high-grade glioma. With continual refinements of the technique, brachytherapy performed by a skilled brachytherapy team offers an opportunity to improve patient survival and quality of life. Since 1997, micro selectron HDR 192 Ir treatments are done including gynecological, oesophageal, breast, surface mould, soft tissue sarcoma (STS) and brain in our hospital. In this paper, procedure of interstitial brain implant in glioma as implant technique, simulation and treatment planning will be discussed

  3. Annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    Chivers, D.; Smith, B.J.; Stephen, J.; Fisher, M.

    1980-09-01

    The newer uses of ion implantation require a higher dose rate. This has led to the introduction of high beam current implanters; the wafers move in front of a stationary beam to give a scanning effect. This can lead to non-uniform heating of the wafer. Variations in the sheet resistance of the layers can be very non-uniform following thermal annealing. Non-uniformity in the effective doping both over a single wafer and from one wafer to another, can affect the usefulness of ion implantation in high dose rate applications. Experiments to determine the extent of non-uniformity in sheet resistance, and to see if it is correlated to the annealing scheme have been carried out. Details of the implantation parameters are given. It was found that best results were obtained when layers were annealed at the maximum possible temperature. For arsenic, phosphorus and antimony layers, improvements were observed up to 1200 0 C and boron up to 950 0 C. Usually, it is best to heat the layer directly to the maximum temperature to produce the most uniform layer; with phosphorus layers however it is better to pre-heat to 1050 0 C. (U.K.)

  4. An implantable neurostimulator with an integrated high-voltage inductive power-recovery frontend

    International Nuclear Information System (INIS)

    Wang Yuan; Zhang Xu; Liu Ming; Li Peng; Chen Hongda

    2014-01-01

    This paper present a highly-integrated neurostimulator with an on-chip inductive power-recovery frontend and high-voltage stimulus generator. In particular, the power-recovery frontend includes a high-voltage full-wave rectifier (up to 100 V AC input), high-voltage series regulators (24/5 V outputs) and a linear regulator (1.8/3.3 V output) with bandgap voltage reference. With the high voltage output of the series regulator, the proposed neurostimulator could deliver a considerably large current in high electrode-tissue contact impedance. This neurostimulator has been fabricated in a CSMC 1 μm 5/40/700 V BCD process and the total silicon area including pads is 5.8 mm 2 . Preliminary tests are successful as the neurostimulator shows good stability under a 13.56 MHz AC supply. Compared to previously reported works, our design has advantages of a wide induced voltage range (26–100 V), high output voltage (up to 24 V) and high-level integration, which are suitable for implantable neurostimulators. (semiconductor integrated circuits)

  5. High-current heavy-ion accelerator system and its application to material modification

    International Nuclear Information System (INIS)

    Kishimoto, Naoki; Takeda, Yoshihiko; Lee, C.G.; Umeda, Naoki; Okubo, Nariaki; Iwamoto, Eiji

    2001-01-01

    A high-current heavy-ion accelerator system has been developed to realize intense particle fluxes for material modification. The facility of a tandem accelerator attained 1 mA-class ion current both for negative low-energy ions and positive high-energy ions. The negative ion source of the key device is of the plasma-sputter type, equipped with mutli-cusp magnets and Cs supply. The intense negative ions are either directly used for material irradiation at 60 keV or further accelerated up to 6 MeV after charge transformation. Application of negative ions, which alleviates surface charging, enables us to conduct low-energy high-current irradiation on insulating substrates. Since positive ions above the MeV range are irrelevant for Coulomb repulsion, the facility as a whole meets the needs of high-current irradiation onto insulators over a wide energy range. Application of high flux ions provides technological merits not only for efficient implantation but also for essentially different material kinetics, which may become an important tool of material modification. Other advantages of the system are co-irradiation by intense laser and in-situ detection of kinetic processes. For examples of material modifications, we present nanoparticle fabrication in insulators, and synergistic phenomena by co-irradiation due to ions and photons. (author)

  6. Current situation regarding central venous port implantation procedures and complications: a questionnaire-based survey of 11,693 implantations in Japan.

    Science.gov (United States)

    Shiono, Masatoshi; Takahashi, Shin; Takahashi, Masanobu; Yamaguchi, Takuhiro; Ishioka, Chikashi

    2016-12-01

    We conducted a nationwide questionnaire-based survey to understand the current situation regarding central venous port implantation in order to identify the ideal procedure. Questionnaire sheets concerning the number of implantation procedures and the incidence of complications for all procedures completed in 2012 were sent to 397 nationwide designated cancer care hospitals in Japan in June 2013. Venipuncture sites were categorized as chest, neck, upper arm, forearm, and others. Methods were categorized as landmark, cut-down, ultrasound-mark, real-time ultrasound guided, venography, and other groups. We received 374 responses (11,693 procedures) from 153 centers (38.5 %). The overall complication rates were 7.4 % for the chest (598/8,097 cases); 6.8 % for the neck (157/2325); 5.2 % for the upper arm (54/1,033); 7.3 % for the forearm (9/124); and 6.1 % for the other groups (7/114). Compared to the chest group, only the upper arm group showed a significantly lower incidence of complications (P = 0.010), and multivariate logistic regression (odds ratio 0.69; 95 % confidence interval 0.51-0.91; P = 0.008) also showed similar findings. Real-time ultrasound-guided puncture was most commonly used in the upper arm group (83.8 %), followed by the neck (69.8 %), forearm (53.2 %), chest (41.8 %), and other groups (34.2 %). Upper arm venipuncture with ultrasound guidance seems the most promising technique to prevent complications of central venous port implantation.

  7. Number of implants for mandibular implant overdentures: a systematic review

    Science.gov (United States)

    Lee, Jeong-Yol; Kim, Ha-Young; Bryant, S. Ross

    2012-01-01

    PURPOSE The aim of this systematic review is to address treatment outcomes of Mandibular implant overdentures relative to implant survival rate, maintenance and complications, and patient satisfaction. MATERIALS AND METHODS A systematic literature search was conducted by a PubMed search strategy and hand-searching of relevant journals from included studies. Randomized Clinical Trials (RCT) and comparative clinical trial studies on mandibular implant overdentures until August, 2010 were selected. Eleven studies from 1098 studies were finally selected and data were analyzed relative to number of implants. RESULTS Six studies presented the data of the implant survival rate which ranged from 95% to 100% for 2 and 4 implant group and from 81.8% to 96.1% for 1 and 2 implant group. One study, which statistically compared implant survival rate showed no significant differences relative to the number of implants. The most common type of prosthetic maintenance and complications were replacement or reattaching of loose clips for 2 and 4 implant group, and denture repair due to the fracture around an implant for 1 and 2 implant groups. Most studies showed no significant differences in the rate of prosthetic maintenance and complication, and patient satisfaction regardless the number of implants. CONCLUSION The implant survival rate of mandibular overdentures is high regardless of the number of implants. Denture maintenance is likely not inflenced substantially by the number of implants and patient satisfaction is typically high again regardless os the number of implants. PMID:23236572

  8. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  9. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  10. Modulation Techniques for Biomedical Implanted Devices and Their Challenges

    Directory of Open Access Journals (Sweden)

    Salina A. Samad

    2011-12-01

    Full Text Available Implanted medical devices are very important electronic devices because of their usefulness in monitoring and diagnosis, safety and comfort for patients. Since 1950s, remarkable efforts have been undertaken for the development of bio-medical implanted and wireless telemetry bio-devices. Issues such as design of suitable modulation methods, use of power and monitoring devices, transfer energy from external to internal parts with high efficiency and high data rates and low power consumption all play an important role in the development of implantable devices. This paper provides a comprehensive survey on various modulation and demodulation techniques such as amplitude shift keying (ASK, frequency shift keying (FSK and phase shift keying (PSK of the existing wireless implanted devices. The details of specifications, including carrier frequency, CMOS size, data rate, power consumption and supply, chip area and application of the various modulation schemes of the implanted devices are investigated and summarized in the tables along with the corresponding key references. Current challenges and problems of the typical modulation applications of these technologies are illustrated with a brief suggestions and discussion for the progress of implanted device research in the future. It is observed that the prime requisites for the good quality of the implanted devices and their reliability are the energy transformation, data rate, CMOS size, power consumption and operation frequency. This review will hopefully lead to increasing efforts towards the development of low powered, high efficient, high data rate and reliable implanted devices.

  11. High-grade Angiosarcoma Associated with Ruptured Breast Implants

    Directory of Open Access Journals (Sweden)

    Nicolas R. Smoll, MBBS

    2013-04-01

    Full Text Available Summary: Since the serendipitous discovery that implanted polymers cause sarcomas in rats, much research has been conducted to prove or disprove a link between silicone breast implants and/or polymer-based materials and breast cancer. In light of an initial report that 35% of rats implanted with a variety of polymers developed fibrosarcomas, we report a case of primary angiosarcoma found in a patient presenting with bilateral rupture of gel-filled breast implants.

  12. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  13. A comparison of buried oxide characteristics of single and multiple implant SIMOX and bond and etch back wafers

    International Nuclear Information System (INIS)

    Annamalai, N.K.; Bockman, J.F.; McGruer, N.E.; Chapski, J.

    1990-01-01

    The current through the buried oxides of single and multiple implant SIMOX and bond and etch back silicon-on-insulator (BESOI) wafers were measured as a function of radiation dose. From these measurements, conductivity and static capacitances were derived. High frequency capacitances were also measured. Leakage current through the buried oxide of multiple implant SIMOX is considerably less than that of single implant SIMOX (more than an order of magnitude). High frequency and static capacitances, as a function of total dose, were used to study the buried oxide---top silicon interface and the buried oxide---bottom silicon interface. Multiple implant had fewer interface traps than single implant at pre-rad and after irradiation

  14. Use of cone beam computed tomography in implant dentistry: current concepts, indications and limitations for clinical practice and research.

    Science.gov (United States)

    Bornstein, Michael M; Horner, Keith; Jacobs, Reinhilde

    2017-02-01

    Diagnostic radiology is an essential component of treatment planning in the field of implant dentistry. This narrative review will present current concepts for the use of cone beam computed tomography imaging, before and after implant placement, in daily clinical practice and research. Guidelines for the selection of three-dimensional imaging will be discussed, and limitations will be highlighted. Current concepts of radiation dose optimization, including novel imaging modalities using low-dose protocols, will be presented. For preoperative cross-sectional imaging, data are still not available which demonstrate that cone beam computed tomography results in fewer intraoperative complications such as nerve damage or bleeding incidents, or that implants inserted using preoperative cone beam computed tomography data sets for planning purposes will exhibit higher survival or success rates. The use of cone beam computed tomography following the insertion of dental implants should be restricted to specific postoperative complications, such as damage of neurovascular structures or postoperative infections in relation to the maxillary sinus. Regarding peri-implantitis, the diagnosis and severity of the disease should be evaluated primarily based on clinical parameters and on radiological findings based on periapical radiographs (two dimensional). The use of cone beam computed tomography scans in clinical research might not yield any evident beneficial effect for the patient included. As many of the cone beam computed tomography scans performed for research have no direct therapeutic consequence, dose optimization measures should be implemented by using appropriate exposure parameters and by reducing the field of view to the actual region of interest. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  15. Quality standards for bone conduction implants.

    Science.gov (United States)

    Gavilan, Javier; Adunka, Oliver; Agrawal, Sumit; Atlas, Marcus; Baumgartner, Wolf-Dieter; Brill, Stefan; Bruce, Iain; Buchman, Craig; Caversaccio, Marco; De Bodt, Marc T; Dillon, Meg; Godey, Benoit; Green, Kevin; Gstoettner, Wolfgang; Hagen, Rudolf; Hagr, Abdulrahman; Han, Demin; Kameswaran, Mohan; Karltorp, Eva; Kompis, Martin; Kuzovkov, Vlad; Lassaletta, Luis; Li, Yongxin; Lorens, Artur; Martin, Jane; Manoj, Manikoth; Mertens, Griet; Mlynski, Robert; Mueller, Joachim; O'Driscoll, Martin; Parnes, Lorne; Pulibalathingal, Sasidharan; Radeloff, Andreas; Raine, Christopher H; Rajan, Gunesh; Rajeswaran, Ranjith; Schmutzhard, Joachim; Skarzynski, Henryk; Skarzynski, Piotr; Sprinzl, Georg; Staecker, Hinrich; Stephan, Kurt; Sugarova, Serafima; Tavora, Dayse; Usami, Shin-Ichi; Yanov, Yuri; Zernotti, Mario; Zorowka, Patrick; de Heyning, Paul Van

    2015-01-01

    Bone conduction implants are useful in patients with conductive and mixed hearing loss for whom conventional surgery or hearing aids are no longer an option. They may also be used in patients affected by single-sided deafness. To establish a consensus on the quality standards required for centers willing to create a bone conduction implant program. To ensure a consistently high level of service and to provide patients with the best possible solution the members of the HEARRING network have established a set of quality standards for bone conduction implants. These standards constitute a realistic minimum attainable by all implant clinics and should be employed alongside current best practice guidelines. Fifteen items are thoroughly analyzed. They include team structure, accommodation and clinical facilities, selection criteria, evaluation process, complete preoperative and surgical information, postoperative fitting and assessment, follow-up, device failure, clinical management, transfer of care and patient complaints.

  16. Peculiarities of the electrontransport properties of polyimide films implanted with copper and cobalt ions

    International Nuclear Information System (INIS)

    Nazhim, F.A.; Odzhaev, V.B.; Lukashevich, M.G.; Nuzhdin, V.I.; Khajbullin, R.I.

    2010-01-01

    Thin polyimide foils were implanted with 40 keV Co + and Cu + ions at fluencies of 2,5·1016-1,251017 cm 2 and at ion current densities of 4, 8 and 12 mA cm 2 . Surface dc electric resistance of the implanted polymer samples have been measured in the temperature range 40-300 K. Metal implantation results in decreasing polymer resistance with the dose and current density increasing for the both kinds of metal ions. The decrease of dc electric resistance is caused by radiation-induced carbonization and metal nanoparticle formation in the implanted region of polymer. The transition from the insulating to metallic regime of conductivity is observed in cobalt implanted samples for critical doses above Dc = 1,25?1017 cm 2 at an ion current density of 8 mA cm 2 . In the contrary, high-fluence implantation in the polymer with Cu + ions for the same regimes does not result in the transition. The dominating mechanisms of charge carrier transport and the origin of insulator-to-metal transition in the metal implanted polymer are discussed. (authors)

  17. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Science.gov (United States)

    Sokullu Urkac, E.; Oztarhan, A.; Tihminlioglu, F.; Kaya, N.; Ila, D.; Muntele, C.; Budak, S.; Oks, E.; Nikolaev, A.; Ezdesir, A.; Tek, Z.

    2007-08-01

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 1017 ion/cm2 and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  18. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  19. Current practices in corrosion, surface characterization, and nickel leach testing of cardiovascular metallic implants.

    Science.gov (United States)

    Nagaraja, Srinidhi; Di Prima, Matthew; Saylor, David; Takai, Erica

    2017-08-01

    In an effort to better understand current test practices and improve nonclinical testing of cardiovascular metallic implants, the Food and Drug Administration (FDA) held a public workshop on Cardiovascular Metallic Implants: corrosion, surface characterization, and nickel leaching. The following topics were discussed: (1) methods used for corrosion assessments, surface characterization techniques, and nickel leach testing of metallic cardiovascular implant devices, (2) the limitations of each of these in vitro tests in predicting in vivo performance, (3) the need, utility, and circumstances when each test should be considered, and (4) the potential testing paradigms, including acceptance criteria for each test. In addition to the above topics, best practices for these various tests were discussed, and knowledge gaps were identified. Prior to the workshop, discussants had the option to provide feedback and information on issues relating to each of the topics via a voluntary preworkshop assignment. During the workshop, the pooled responses were presented and a panel of experts discussed the results. This article summarizes the proceedings of this workshop and background information provided by workshop participants. Published 2016. This article is a U.S. Government work and is in the public domain in the USA. J Biomed Mater Res Part B: Appl Biomater, 105B: 1330-1341, 2017. Published 2016. This article is a U.S. Government work and is in the public domain in the USA.

  20. Long-wavelength germanium photodetectors by ion implantation

    International Nuclear Information System (INIS)

    Wu, I.C.; Beeman, J.W.; Luke, P.N.; Hansen, W.L.; Haller, E.E.

    1990-11-01

    Extrinsic far-infrared photoconductivity in thin high-purity germanium wafers implanted with multiple-energy boron ions has been investigated. Initial results from Fourier transform spectrometer(FTS) measurements have demonstrated that photodetectors fabricated from this material have an extended long-wavelength threshold near 192μm. Due to the high-purity substrate, the ability to block the hopping conduction in the implanted IR-active layer yields dark currents of less than 100 electrons/sec at temperatures below 1.3 K under an operating bias of up to 70 mV. Optimum peak responsivity and noise equivalent power (NEP) for these sensitive detectors are 0.9 A/W and 5 x 10 -16 W/Hz 1/2 at 99 μm, respectively. The dependence of the performance of devices on the residual donor concentration in the implanted layer will be discussed. 12 refs., 4 figs

  1. Implantable electronic medical devices

    CERN Document Server

    Fitzpatrick, Dennis

    2014-01-01

    Implantable Electronic Medical Devices provides a thorough review of the application of implantable devices, illustrating the techniques currently being used together with overviews of the latest commercially available medical devices. This book provides an overview of the design of medical devices and is a reference on existing medical devices. The book groups devices with similar functionality into distinct chapters, looking at the latest design ideas and techniques in each area, including retinal implants, glucose biosensors, cochlear implants, pacemakers, electrical stimulation t

  2. Highly antibacterial UHMWPE surfaces by implantation of titanium ions

    Energy Technology Data Exchange (ETDEWEB)

    Delle Side, D., E-mail: domenico.delleside@le.infn.it [LEAS, Dipartimento di Matematica e Fisica “Ennio de Giorgi”, Università del Salento, Lecce (Italy); Istituto Nazionale di Fisica Nucleare – Sezione di Lecce, Lecce (Italy); Nassisi, V.; Giuffreda, E.; Velardi, L. [LEAS, Dipartimento di Matematica e Fisica “Ennio de Giorgi”, Università del Salento, Lecce (Italy); Istituto Nazionale di Fisica Nucleare – Sezione di Lecce, Lecce (Italy); Alifano, P.; Talà, A.; Tredici, S.M. [Dipartimento di Scienze e Tecnologie Biologiche ed Ambientali, Università del Salento, Lecce (Italy)

    2014-07-15

    The spreading of pathogens represents a serious threat for human beings. Consequently, efficient antimicrobial surfaces are needed in order to reduce risks of contracting severe diseases. In this work we present the first evidences of a new technique to obtain a highly antibacterial Ultra High Molecular Weight Polyethylene (UHMWPE) based on a non-stoichiometric titanium oxide coating, visible-light responsive, obtained through ion implantation.

  3. Highly antibacterial UHMWPE surfaces by implantation of titanium ions

    Science.gov (United States)

    Delle Side, D.; Nassisi, V.; Giuffreda, E.; Velardi, L.; Alifano, P.; Talà, A.; Tredici, S. M.

    2014-07-01

    The spreading of pathogens represents a serious threat for human beings. Consequently, efficient antimicrobial surfaces are needed in order to reduce risks of contracting severe diseases. In this work we present the first evidences of a new technique to obtain a highly antibacterial Ultra High Molecular Weight Polyethylene (UHMWPE) based on a non-stoichiometric titanium oxide coating, visible-light responsive, obtained through ion implantation.

  4. Development of microcontroller based instrumentation for low dose implantation

    International Nuclear Information System (INIS)

    Suresh, K.; Saravanan, K.; Panigrahi, B.K.; Nair, K.G.M.

    2011-01-01

    In experiments like ion implantation based ion track formations, the sample is implanted to low doses of the order of 10 10 ions/cm 2 , limiting the ion beam currents to be less than 1-5 x 10 -12 A. However the standard current integrators available are not sensitive to very low currents, causing an unacceptable high level of error in dose measurement. Hence a low dose implantation measurement system has been developed. It consists of a very sensitive low current preamplifier with full scale input 1nA/100pA, a standard current integrator, a microcontroller based interface circuit, which are connected to a personal computer(PC) through USB. Two types of the software are developed for the system: the microcontroller firmware using C and windows based virtual instrument programs using LabVIEW 7.0. Necessary precautions associated with pA level measurement like rigidly fastened good quality cables, low ripple DC power supply, shielding, close mounting of the preamplifier to the sample are adopted. After necessary calibrations with an ECIL make low current source, the system has been put into regular use. Design and development details, salient features are discussed in this paper. (author)

  5. Permanent I-125 interstitial implant in the management of high grade CNS malignancies in children

    International Nuclear Information System (INIS)

    Vaishampayan, N.; Zamorano, L.; Aronin, P.; Gaspar, L.; Canady, A.; Lattin, P.; Ezzell, G.; Yakar, D.; Chungbin, S.; Fontanesi, J.

    1996-01-01

    Purpose/Objective: To evaluate the efficacy and complications associated with the use of permanent I-125 interstitial implants in children with high grade CNS malignancies. Materials and Methods: Between May of 1990 and September of 1994, fourteen children received permanent I-125 interstitial implant brachytherapy as initial therapy (n=8) or at time of recurrence (n=6). Histologies included Glioblastoma Multiforme (n=2), Anaplastic Astrocytoma (n=9) and others (n=3). Pre-implant surgical procedures included: Gross Total Resection (n=2), Subtotal Resection (n=8) or Biopsy alone (n=4). Six patients received pre-implant external beam irradiation (dose range 3,500-6500 cGy) and three patients received post-implant external beam irradiation (dose range 5,040-5,060 cGy). Implant dose range was 8,294-10,368 cGy over the lifetime of the implant (median 10,368 cGy). Results: At last follow-up (median 17.5 months; range 4-56 months), eight children were alive. Six out of the eight had no evidence of disease progression while the remaining had radiologic evidence of progression. Implant complications (n=2) included skin necrosis and bone flap infection. Conclusions: Based on this initial review, we continue to investigate the use of permanent I-125 interstitial brachytherapy in the treatment of high grade CNS malignancies in children and will discuss and compare these results with those of other 'Boost' series

  6. Screening of high-yield GTF yeast by N+-implantation

    International Nuclear Information System (INIS)

    Gao Yanhong; Lv Jiaping; Liu Lu; Li Shurong

    2009-01-01

    In this study, one of the highest chromium-resistant strain was screened from 12 tested brewer's yeast. N + ion implantation was used to mutate this yeast and screened high-yield GTF yeast strains with Chromium tolerance method. The mutagenesis was conducted by 50 KeV N + ion implantation with the doses of 1 x 2.6 x 10 13 , 2 x 2.6 x 10 13 , 3 x 2.6 x 10 13 , 4 x 2.6 x 10 13 , 5 x 2.6 x 10 13 and 6 x 2.6 x 10 13 ion/cm 2 . Results showed that the optimum dose was 4 x 2.6 x 10 13 ion/cm 2 , and a strain M11-1A11 of high-producing GTF was obtained. Its organic Cr content was increased by 22.4% than the original strain. Its fermentation property was stable after 5 generation transfer inoculation. (authors)

  7. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Energy Technology Data Exchange (ETDEWEB)

    Sokullu Urkac, E. [Department of Materials Science, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey)]. E-mail: emelsu@gmail.com; Oztarhan, A. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Tihminlioglu, F. [Department of Chemical Engineering, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey); Kaya, N. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Ila, D. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Muntele, C. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Budak, S. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Oks, E. [H C Electronics Institute, Tomsk (Russian Federation); Nikolaev, A. [H C Electronics Institute, Tomsk (Russian Federation); Ezdesir, A. [R and D Department, PETKIM Holding A.S., Aliaga, Izmir 35801 (Turkey); Tek, Z. [Department of Physics, Celal Bayar University, Manisa (Turkey)

    2007-08-15

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE ). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 10{sup 17} ion/cm{sup 2} and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  8. Extreme implanting in Si: A study of ion-induced damage at high temperature and high dose

    International Nuclear Information System (INIS)

    Holland, O.W.

    1994-01-01

    Ion-solid interactions near room temperature and below have been well studied in single-crystal Si. While this has led to a better understanding of the mechanisms responsible for nucleation and growth of lattice damage during irradiation, these studies have not, in general, been extended to high temperatures (e.g., >200 degrees C). This is the case despite the commercialization of ion beam technologies which utilize high-temperature processing, such as separation by implantation of oxygen (SIMOX). In this process, a silicon-on-insulator (SOI) material is produced by implanting a high dose of oxygen ions into a Si wafer to form a buried, stoichiometric oxide layer. Results will be presented of a study of damage accumulation during high-dose implantation of Si at elevated temperatures. In particular, O + -ions were used because of the potential impact of the results on the SIMOX technology. It will be shown that the nature of the damage accumulation at elevated temperatures is quite distinctive and portends the presence of a new mechanism, one which is only dominant under the extreme conditions encountered during ion beam synthesis (i.e., high temperature and high dose). This mechanism is discussed and shown to be quite general and not dependent on the chemical identity of the ions. Also, techniques for suppressing this mechanism by open-quotes defect engineeringclose quotes are discussed. Such techniques are technologically relevant because they offer the possibility of reducing the defect density of the SOI produced by SIMOX

  9. New orthopaedic implant management tool for computer-assisted planning, navigation, and simulation: from implant CAD files to a standardized XML-based implant database.

    Science.gov (United States)

    Sagbo, S; Blochaou, F; Langlotz, F; Vangenot, C; Nolte, L-P; Zheng, G

    2005-01-01

    Computer-Assisted Orthopaedic Surgery (CAOS) has made much progress over the last 10 years. Navigation systems have been recognized as important tools that help surgeons, and various such systems have been developed. A disadvantage of these systems is that they use non-standard formalisms and techniques. As a result, there are no standard concepts for implant and tool management or data formats to store information for use in 3D planning and navigation. We addressed these limitations and developed a practical and generic solution that offers benefits for surgeons, implant manufacturers, and CAS application developers. We developed a virtual implant database containing geometrical as well as calibration information for orthopedic implants and instruments, with a focus on trauma. This database has been successfully tested for various applications in the client/server mode. The implant information is not static, however, because manufacturers periodically revise their implants, resulting in the deletion of some implants and the introduction of new ones. Tracking these continuous changes and keeping CAS systems up to date is a tedious task if done manually. This leads to additional costs for system development, and some errors are inevitably generated due to the huge amount of information that has to be processed. To ease management with respect to implant life cycle, we developed a tool to assist end-users (surgeons, hospitals, CAS system providers, and implant manufacturers) in managing their implants. Our system can be used for pre-operative planning and intra-operative navigation, and also for any surgical simulation involving orthopedic implants. Currently, this tool allows addition of new implants, modification of existing ones, deletion of obsolete implants, export of a given implant, and also creation of backups. Our implant management system has been successfully tested in the laboratory with very promising results. It makes it possible to fill the current gap

  10. Albumin coatings by alternating current electrophoretic deposition for improving corrosion resistance and bioactivity of titanium implants.

    Science.gov (United States)

    Höhn, Sarah; Braem, Annabel; Neirinck, Bram; Virtanen, Sannakaisa

    2017-04-01

    Although Ti alloys are generally regarded to be highly corrosion resistant, inflammatory conditions following surgery can instigate breakdown of the TiO 2 passivation layer leading to an increased metal ion release. Furthermore proteins present in the surrounding tissue will readily adsorb on a titanium surface after implantation. In this paper alternating current electrophoretic deposition (AC-EPD) of bovine serum albumin (BSA) on Ti6Al4V was investigated in order to increase the corrosion resistance and control the protein adsorption capability of the implant surface. The Ti6Al4V surface was characterized with SEM, XPS and ToF-SIMS after long-term immersion tests under physiological conditions and simulated inflammatory conditions either in Dulbecco's Modified Eagle Medium (DMEM) or DMEM supplemented with fetal calf serum (FCS). The analysis showed an increased adsorption of amino acids and proteins from the different immersion solutions. The BSA coating was shown to prevent selective dissolution of the vanadium (V) rich β-phase, thus effectively limiting metal ion release to the environment. Electrochemical impedance spectroscopy measurements confirmed an increase of the corrosion resistance for BSA coated surfaces as a function of immersion time due to the time-dependent adsorption of the different amino acids (from DMEM) and proteins (from FCS) as observed by ToF-SIMS analysis. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. Procedure for the ion implantation of MOS elements

    International Nuclear Information System (INIS)

    Gessner, T.; Vetter, E.; Tolonics, J.

    1986-01-01

    The ion implantation procedure is applied to the doping of MOS elements. The invention guarantees a homogeneous doping in the dose range from 10 10 to 10 12 ions/cm 2 without additional installations of mechanical orifices in high-current implantation devices. The ion source parameters like cathode heating current, pressure at the ion source, extraction and acceleration voltages correspond to the dose range (10 10 to 10 12 ions/cm 2 ) for single charged ions of the doping agent. Double or triple charged ions generated at the ion source have been separated mass-analytically, accelerated and scanned. Ion densities below 100 nA/cm 2 have been obtained

  12. The development of bioresorbable composite polymeric implants with high mechanical strength

    Science.gov (United States)

    Sharma, Upma; Concagh, Danny; Core, Lee; Kuang, Yina; You, Changcheng; Pham, Quynh; Zugates, Greg; Busold, Rany; Webber, Stephanie; Merlo, Jonathan; Langer, Robert; Whitesides, George M.; Palasis, Maria

    2018-01-01

    Implants for the treatment of tissue defects should mimic the mechanical properties of the native tissue of interest and should be resorbable as well as biocompatible. In this work, we developed a scaffold from variants of poly(glycolic) acid which were braided and coated with an elastomer of poly(glycolide-co-caprolactone) and crosslinked. The coating of the scaffold with the elastomer led to higher mechanical strength in terms of compression, expansion and elasticity compared to braids without the elastomer coating. These composite scaffolds were found to have expansion properties similar to metallic stents, utilizing materials which are typically much weaker than metal. We optimized the mechanical properties of the implant by tuning the elastomer branching structure, crosslink density, and molecular weight. The scaffolds were shown to be highly resorbable following implantation in a porcine femoral artery. Biocompatibility was studied in vivo in an ovine model by implanting the scaffolds into femoral arteries. The scaffolds were able to support an expanded open lumen over 12 months in vivo and also fully resorbed by 18 months in the ovine model.

  13. Scalloped Implant-Abutment Connection Compared to Conventional Flat Implant-Abutment Connection

    DEFF Research Database (Denmark)

    Starch-Jensen, Thomas; Christensen, Ann-Eva; Lorenzen, Henning

    2017-01-01

    OBJECTIVES: The objective was to test the hypothesis of no difference in implant treatment outcome after installation of implants with a scalloped implant-abutment connection compared to a flat implant-abutment connection. MATERIAL AND METHODS: A MEDLINE (PubMed), Embase and Cochrane library search...... of suprastructures has never been compared within the same study. High implant survival rate was reported in all the included studies. Significantly more peri-implant marginal bone loss, higher probing depth score, bleeding score and gingival score was observed around implants with a scalloped implant-abutment...... loss around implants with a scalloped implant-abutment connection. CONCLUSIONS: A scalloped implant-abutment connection seems to be associated with higher peri-implant marginal bone loss compared to a flat implant-abutment connection. Therefore, the hypothesis of the present systematic review must...

  14. Diagnostic Principles of Peri-Implantitis: a Systematic Review and Guidelines for Peri-Implantitis Diagnosis Proposal

    Directory of Open Access Journals (Sweden)

    Ausra Ramanauskaite

    2016-09-01

    Full Text Available Objectives: To review and summarize the literature concerning peri-implantitis diagnostic parameters and to propose guidelines for peri-implantitis diagnosis. Material and Methods: An electronic literature search was conducted of the MEDLINE (Ovid and EMBASE databases for articles published between 2011 and 2016. Sequential screening at the title/abstract and full-text levels was performed. Systematic reviews/guidelines of consensus conferences proposing classification or suggesting diagnostic parameters for peri-implantitis in the English language were included. The review was recorded on PROSPERO system with the code CRD42016033287. Results: The search resulted in 10 articles that met the inclusion criteria. Four were papers from consensus conferences, two recommended diagnostic guidelines, three proposed classification of peri-implantitis, and one suggested an index for implant success. The following parameters were suggested to be used for peri-implantitis diagnosis: pain, mobility, bleeding on probing, probing depth, suppuration/exudate, and radiographic bone loss. In all of the papers, different definitions of peri-implantitis or implant success, as well as different thresholds for the above mentioned clinical and radiographical parameters, were used. Current evidence rationale for the diagnosis of peri-implantitis and classification based on consecutive evaluation of soft-tissue conditions and the amount of bone loss were suggested. Conclusions: Currently there is no single uniform definition of peri-implantitis or the parameters that should be used. Rationale for diagnosis and prognosis of peri-implantitis as well as classification of the disease is proposed.

  15. High energy iron ion implantation into sapphire

    International Nuclear Information System (INIS)

    Allen, W.R.; Pedraza, D.F.

    1990-01-01

    Sapphire specimens of c-axis orientation were implanted at room temperature with iron ions at energies of 1.2 and of 2 MeV to various fluences up to 8 x 10 16 cm -2 . The damage induced by the implantations was assessed by Rutherford backscattering spectroscopy in random and channeling geometries. Dechanneling in both sublattices was observed to saturate for all implantation conditions. Disorder in the aluminum sublattice was found to increase with depth at a significantly slower rate than in the oxygen sublattice. In the oxygen sublattice, a relative yield, χ, of 0.80 ± 0.11 was attained at a depth of 0.1 μm and remained constant up to the measured depth of 0.45 μm. In the aluminum sublattice, the disorder increased with depth and the dechanneling asymptotically approached χ =0.70 ± 0.04 at 0.45 μm. These results are discussed and compared with those for shallower Fe implantations obtained by other researchers

  16. High dose implantations of antimony for buried layer applications

    International Nuclear Information System (INIS)

    Gailliard, J.P.; Dupuy, M.; Garcia, M.; Roussin, J.C.

    1978-01-01

    Electrical and physical properties of high dose implantations of antimony in silicon have been studied for use in buried layer applications. The results have been obtained both on and oriented silicon wafers. Following implantations which lead to amorphization we perform an annealing at 600 0 C for 10 mn in order to recrystallize the layer. The observed electrical properties (μ, R) show that the concentration of electrically active antimony ions is greater than that predicted from the solubility of antimony in silicon. Further annealing (in the range 1050 0 - 1200 0 ) induces: firstly a precipitation of the Sb and secondly a diffusion and dissolution of the precipitates. There is a different evolution of the defects in the and silicon slices. T.E.M. reveals no defects in the wafers after one hour annealing at 1200 0 C, whereas defects and twins remain in wafers. Having obtained the evolution of R with time and temperature it is then determined the implantation and annealing conditions which lead to the low resistivity (R = 10) needed for buried layer applications. Results with very many industrially made devices are discussed

  17. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  18. Direct Metal Laser Sintering Titanium Dental Implants: A Review of the Current Literature

    Science.gov (United States)

    Mangano, F.; Chambrone, L.; van Noort, R.; Miller, C.; Hatton, P.; Mangano, C.

    2014-01-01

    Statement of Problem. Direct metal laser sintering (DMLS) is a technology that allows fabrication of complex-shaped objects from powder-based materials, according to a three-dimensional (3D) computer model. With DMLS, it is possible to fabricate titanium dental implants with an inherently porous surface, a key property required of implantation devices. Objective. The aim of this review was to evaluate the evidence for the reliability of DMLS titanium dental implants and their clinical and histologic/histomorphometric outcomes, as well as their mechanical properties. Materials and Methods. Electronic database searches were performed. Inclusion criteria were clinical and radiographic studies, histologic/histomorphometric studies in humans and animals, mechanical evaluations, and in vitro cell culture studies on DMLS titanium implants. Meta-analysis could be performed only for randomized controlled trials (RCTs); to evaluate the methodological quality of observational human studies, the Newcastle-Ottawa scale (NOS) was used. Results. Twenty-seven studies were included in this review. No RCTs were found, and meta-analysis could not be performed. The outcomes of observational human studies were assessed using the NOS: these studies showed medium methodological quality. Conclusions. Several studies have demonstrated the potential for the use of DMLS titanium implants. However, further studies that demonstrate the benefits of DMLS implants over conventional implants are needed. PMID:25525434

  19. Direct metal laser sintering titanium dental implants: a review of the current literature.

    Science.gov (United States)

    Mangano, F; Chambrone, L; van Noort, R; Miller, C; Hatton, P; Mangano, C

    2014-01-01

    Statement of Problem. Direct metal laser sintering (DMLS) is a technology that allows fabrication of complex-shaped objects from powder-based materials, according to a three-dimensional (3D) computer model. With DMLS, it is possible to fabricate titanium dental implants with an inherently porous surface, a key property required of implantation devices. Objective. The aim of this review was to evaluate the evidence for the reliability of DMLS titanium dental implants and their clinical and histologic/histomorphometric outcomes, as well as their mechanical properties. Materials and Methods. Electronic database searches were performed. Inclusion criteria were clinical and radiographic studies, histologic/histomorphometric studies in humans and animals, mechanical evaluations, and in vitro cell culture studies on DMLS titanium implants. Meta-analysis could be performed only for randomized controlled trials (RCTs); to evaluate the methodological quality of observational human studies, the Newcastle-Ottawa scale (NOS) was used. Results. Twenty-seven studies were included in this review. No RCTs were found, and meta-analysis could not be performed. The outcomes of observational human studies were assessed using the NOS: these studies showed medium methodological quality. Conclusions. Several studies have demonstrated the potential for the use of DMLS titanium implants. However, further studies that demonstrate the benefits of DMLS implants over conventional implants are needed.

  20. Direct Metal Laser Sintering Titanium Dental Implants: A Review of the Current Literature

    Directory of Open Access Journals (Sweden)

    F. Mangano

    2014-01-01

    Full Text Available Statement of Problem. Direct metal laser sintering (DMLS is a technology that allows fabrication of complex-shaped objects from powder-based materials, according to a three-dimensional (3D computer model. With DMLS, it is possible to fabricate titanium dental implants with an inherently porous surface, a key property required of implantation devices. Objective. The aim of this review was to evaluate the evidence for the reliability of DMLS titanium dental implants and their clinical and histologic/histomorphometric outcomes, as well as their mechanical properties. Materials and Methods. Electronic database searches were performed. Inclusion criteria were clinical and radiographic studies, histologic/histomorphometric studies in humans and animals, mechanical evaluations, and in vitro cell culture studies on DMLS titanium implants. Meta-analysis could be performed only for randomized controlled trials (RCTs; to evaluate the methodological quality of observational human studies, the Newcastle-Ottawa scale (NOS was used. Results. Twenty-seven studies were included in this review. No RCTs were found, and meta-analysis could not be performed. The outcomes of observational human studies were assessed using the NOS: these studies showed medium methodological quality. Conclusions. Several studies have demonstrated the potential for the use of DMLS titanium implants. However, further studies that demonstrate the benefits of DMLS implants over conventional implants are needed.

  1. Does surface anodisation of titanium implants change osseointegration and make their extraction from bone any easier?

    OpenAIRE

    Langhoff, J; Mayer, J; Faber, L; Kästner, S B; Guibert, G; Zlinszky, K; Auer, J A; von Rechenberg, B

    2008-01-01

    Objectives: Titanium implants have a tendency for high bone-implant bonding, and, in comparison to stainless steel implants are more difficult to remove. The current study was carried out to evaluate, i) the release strength of three selected anodized titanium surfaces with increased nanohardness and low roughness, and ii) bone-implant bonding in vivo. These modified surfaces were intended to give improved anchorage while facilitating easier removal of temporary implants. Material and methods...

  2. Tribological properties of nitrogen implanted and boron implanted steels

    International Nuclear Information System (INIS)

    Kern, K.T.

    1996-01-01

    Samples of a steel with high chrome content was implanted separately with 75 keV nitrogen ions and with 75 keV boron ions. Implanted doses of each ion species were 2-, 4-, and 8 x 10 17 /cm 2 . Retained doses were measured using resonant non-Rutherford Backscattering Spectrometry. Tribological properties were determined using a pin-on-disk test with a 6-mm diameter ruby pin with a velocity of 0.94 m/min. Testing was done at 10% humidity with a load of 377 g. Wear rate and coefficient of friction were determined from these tests. While reduction in the wear rate for nitrogen implanted materials was observed, greater reduction (more than an order of magnitude) was observed for boron implanted materials. In addition, reduction in the coefficient of friction for high-dose boron implanted materials was observed. Nano-indentation revealed a hardened layer near the surface of the material. Results from grazing incidence x-ray diffraction suggest the formation of Fe 2 N and Fe 3 N in the nitrogen implanted materials and Fe 3 B in the boron implanted materials. Results from transmission electron microscopy will be presented

  3. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  4. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  5. AlGaN/GaN high electron mobility transistors with implanted ohmic contacts

    International Nuclear Information System (INIS)

    Wang, H.T.; Tan, L.S.; Chor, E.F.

    2007-01-01

    Selective area silicon implantation for source/drain regions was integrated into the fabrication of molecular beam epitaxy-grown AlGaN/GaN HEMTs. Dopant activation was achieved by rapid thermal annealing at 1100 deg. C in flowing N 2 ambient for 120 s with an AlN encapsulation. Linear transmission line measurements showed that the resistance of the overlay Ti/Al/Ni/Au ohmic contacts was reduced by 61% compared to the control sample. After the Schottky Ni/Au gate formation, the typical DC characteristics displayed a higher current drive, smaller knee voltage and better gate control properties for HEMTs with implanted source and drain regions

  6. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  7. High level active n+ doping of strained germanium through co-implantation and nanosecond pulsed laser melting

    Science.gov (United States)

    Pastor, David; Gandhi, Hemi H.; Monmeyran, Corentin P.; Akey, Austin J.; Milazzo, Ruggero; Cai, Yan; Napolitani, Enrico; Gwilliam, Russell M.; Crowe, Iain F.; Michel, Jurgen; Kimerling, L. C.; Agarwal, Anuradha; Mazur, Eric; Aziz, Michael J.

    2018-04-01

    Obtaining high level active n+ carrier concentrations in germanium (Ge) has been a significant challenge for further development of Ge devices. By ion implanting phosphorus (P) and fluorine (F) into Ge and restoring crystallinity using Nd:YAG nanosecond pulsed laser melting (PLM), we demonstrate 1020 cm-3 n+ carrier concentration in tensile-strained epitaxial germanium-on-silicon. Scanning electron microscopy shows that after laser treatment, samples implanted with P have an ablated surface, whereas P + F co-implanted samples have good crystallinity and a smooth surface topography. We characterize P and F concentration depth profiles using secondary ion mass spectrometry and spreading resistance profiling. The peak carrier concentration, 1020 cm-3 at 80 nm below the surface, coincides with the peak F concentration, illustrating the key role of F in increasing donor activation. Cross-sectional transmission electron microscopy of the co-implanted sample shows that the Ge epilayer region damaged during implantation is a single crystal after PLM. High-resolution X-ray diffraction and Raman spectroscopy measurements both indicate that the as-grown epitaxial layer strain is preserved after PLM. These results demonstrate that co-implantation and PLM can achieve the combination of n+ carrier concentration and strain in Ge epilayers necessary for next-generation, high-performance Ge-on-Si devices.

  8. Imaging of common breast implants and implant-related complications: A pictorial essay.

    Science.gov (United States)

    Shah, Amisha T; Jankharia, Bijal B

    2016-01-01

    The number of women undergoing breast implant procedures is increasing exponentially. It is, therefore, imperative for a radiologist to be familiar with the normal and abnormal imaging appearances of common breast implants. Diagnostic imaging studies such as mammography, ultrasonography, and magnetic resonance imaging are used to evaluate implant integrity, detect abnormalities of the implant and its surrounding capsule, and detect breast conditions unrelated to implants. Magnetic resonance imaging of silicone breast implants, with its high sensitivity and specificity for detecting implant rupture, is the most reliable modality to asses implant integrity. Whichever imaging modality is used, the overall aim of imaging breast implants is to provide the pertinent information about implant integrity, detect implant failures, and to detect breast conditions unrelated to the implants, such as cancer.

  9. Surface treatment of dental implants with high- power pulsed ion beams

    International Nuclear Information System (INIS)

    Shulov, V.A.; Nochovnaya, N.A.; Remnev, G.E.; Ivanov, S.Y.; Lomakin, M.V.

    2001-01-01

    The objective of the present research is development of HPPIB technology for surface processing of compact components with a complex shape. The surface state of the dental implants from titanium alloys before and after irradiation and long time operation was investigated by Auger electron spectroscopy, scanning electron microscopy, X-ray structural analysis, optical metallography methods. It is shown that the homogeneous state in the surface layer of titanium alloys is formed due to the irradiation (carbon ions and protons, energy of ions is equal to 300 keV, density of ion energy in a pulse achieves 1-5 J/cm 2 ). This state is characterized by a low amount of the impurities and a fine dispersion structure formed as a result of high speed crystallization. Thus, HPPIB irradiation of the dental implants leads to formation of developed micro relief and the decrease of impurities content on the surface. As a result, this treatment allows one to achieve a good cohesion between the implants and a body tissue. The latter allows the conclusion that biocompatibility of the dental titanium implants produced by can be improved using HPPIB treatment

  10. Planar transistors and impatt diodes with ion implantation

    International Nuclear Information System (INIS)

    Dorendorf, H.; Glawischnig, H.; Grasser, L.; Hammerschmitt, J.

    1975-03-01

    Low frequency planar npn and pnp transistors have been developed in which the base and emitter have been fabricated using ion implantation of boron and phosphorus by a drive-in diffusion. Electrical parameters of the transistors are comparable with conventionally produced transistors; the noise figure was improved and production tolerances were significantly reduced. Silicon-impatt diodes for the microwave range were also fabricated with implanted pn junctions and tested for their high frequency characteristics. These diodes, made in an improved upside down technology, delivered output power up to 40 mW (burn out power) at 30 GHz. Reverse leakage current and current carrying capability of these diodes were comparable to diffused structures. (orig.) 891 ORU 892 MB [de

  11. Clinical Application of Screening for GJB2 Mutations before Cochlear Implantation in a Heterogeneous Population with High Rate of Autosomal Recessive Nonsyndromic Hearing Loss

    Directory of Open Access Journals (Sweden)

    Masoud Motasaddi Zarandy

    2011-01-01

    Full Text Available Clinical application of mutation screening and its effect on the outcome of cochlear implantation is widely debated. We investigated the effect of mutations in GJB2 gene on the outcome of cochlear implantation in a population with a high rate of consanguineous marriage and autosomal recessive nonsyndromic hearing loss. Two hundred and one children with profound prelingual sensorineural hearing loss were included. Forty-six patients had 35delG in GJB2. Speech awareness thresholds (SATs and speech recognition thresholds (SRTs improved following implantation, but there was no difference in performance between patients with GJB2-related deafness versus control (all >0.10. Both groups had produced their first comprehensible words within the same period of time following implantation (2.27 months in GJB2-related deaf versus 2.62 months in controls, =0.22. Although our findings demonstrate the need to uncover unidentified genetic causes of hereditary deafness, they do not support the current policy for genetic screening before cochlear implantation, nor prove a prognostic value.

  12. A high-efficiency low-voltage CMOS rectifier for harvesting energy in implantable devices.

    Science.gov (United States)

    Hashemi, S Saeid; Sawan, Mohamad; Savaria, Yvon

    2012-08-01

    We present, in this paper, a new full-wave CMOS rectifier dedicated for wirelessly-powered low-voltage biomedical implants. It uses bootstrapped capacitors to reduce the effective threshold voltage of selected MOS switches. It achieves a significant increase in its overall power efficiency and low voltage-drop. Therefore, the rectifier is good for applications with low-voltage power supplies and large load current. The rectifier topology does not require complex circuit design. The highest voltages available in the circuit are used to drive the gates of selected transistors in order to reduce leakage current and to lower their channel on-resistance, while having high transconductance. The proposed rectifier was fabricated using the standard TSMC 0.18 μm CMOS process. When connected to a sinusoidal source of 3.3 V peak amplitude, it allows improving the overall power efficiency by 11% compared to the best recently published results given by a gate cross-coupled-based structure.

  13. Current state of knowledge and experts' perspective on the subcutaneous implantable cardioverter-defibrillator.

    Science.gov (United States)

    Santini, Massimo; Cappato, Riccardo; Andresen, Dietrich; Brachmann, Johannes; Davies, D Wyn; Cleland, John; Filippi, Alessandro; Gronda, Edoardo; Hauer, Richard; Steinbeck, Gerhard; Steinhaus, David

    2009-06-01

    ICD implantation is today a well-recognized therapy to prevent sudden cardiac death. The available implantable devices at present need the use of permanent endocavitary leads which may cause, in some instances, serious troubles to the patients (lead dislodgement, ventricular perforation, lead infections, etc.). A new implantable defibrillator provided by only a subcutaneous lead is at present under evaluation. Its potential indications, usefulness benefits, and problems represent an interesting field of investigation and discussion. This paper describes the conclusions recently reached by a panel of experts, with regard to the potential role of an implantable subcutaneous defibrillator in the prevention of sudden cardiac death.

  14. Imaging of common breast implants and implant-related complications: A pictorial essay

    Directory of Open Access Journals (Sweden)

    Amisha T Shah

    2016-01-01

    Full Text Available The number of women undergoing breast implant procedures is increasing exponentially. It is, therefore, imperative for a radiologist to be familiar with the normal and abnormal imaging appearances of common breast implants. Diagnostic imaging studies such as mammography, ultrasonography, and magnetic resonance imaging are used to evaluate implant integrity, detect abnormalities of the implant and its surrounding capsule, and detect breast conditions unrelated to implants. Magnetic resonance imaging of silicone breast implants, with its high sensitivity and specificity for detecting implant rupture, is the most reliable modality to asses implant integrity. Whichever imaging modality is used, the overall aim of imaging breast implants is to provide the pertinent information about implant integrity, detect implant failures, and to detect breast conditions unrelated to the implants, such as cancer.

  15. Primary prevention of peri-implantitis: managing peri-implant mucositis.

    Science.gov (United States)

    Jepsen, Søren; Berglundh, Tord; Genco, Robert; Aass, Anne Merete; Demirel, Korkud; Derks, Jan; Figuero, Elena; Giovannoli, Jean Louis; Goldstein, Moshe; Lambert, France; Ortiz-Vigon, Alberto; Polyzois, Ioannis; Salvi, Giovanni E; Schwarz, Frank; Serino, Giovanni; Tomasi, Cristiano; Zitzmann, Nicola U

    2015-04-01

    Over the past decades, the placement of dental implants has become a routine procedure in the oral rehabilitation of fully and partially edentulous patients. However, the number of patients/implants affected by peri-implant diseases is increasing. As there are--in contrast to periodontitis--at present no established and predictable concepts for the treatment of peri-implantitis, primary prevention is of key importance. The management of peri-implant mucositis is considered as a preventive measure for the onset of peri-implantitis. Therefore, the remit of this working group was to assess the prevalence of peri-implant diseases, as well as risks for peri-implant mucositis and to evaluate measures for the management of peri-implant mucositis. Discussions were informed by four systematic reviews on the current epidemiology of peri-implant diseases, on potential risks contributing to the development of peri-implant mucositis, and on the effect of patient and of professionally administered measures to manage peri-implant mucositis. This consensus report is based on the outcomes of these systematic reviews and on the expert opinion of the participants. Key findings included: (i) meta-analysis estimated a weighted mean prevalence for peri-implant mucositis of 43% (CI: 32-54%) and for peri-implantitis of 22% (CI: 14-30%); (ii) bleeding on probing is considered as key clinical measure to distinguish between peri-implant health and disease; (iii) lack of regular supportive therapy in patients with peri-implant mucositis was associated with increased risk for onset of peri-implantitis; (iv) whereas plaque accumulation has been established as aetiological factor, smoking was identified as modifiable patient-related and excess cement as local risk indicator for the development of peri-implant mucositis; (v) patient-administered mechanical plaque control (with manual or powered toothbrushes) has been shown to be an effective preventive measure; (vi) professional intervention

  16. Doping of two-dimensional MoS2 by high energy ion implantation

    Science.gov (United States)

    Xu, Kang; Zhao, Yuda; Lin, Ziyuan; Long, Yan; Wang, Yi; Chan, Mansun; Chai, Yang

    2017-12-01

    Two-dimensional (2D) materials have been demonstrated to be promising candidates for next generation electronic circuits. Analogues to conventional Si-based semiconductors, p- and n-doping of 2D materials are essential for building complementary circuits. Controllable and effective doping strategies require large tunability of the doping level and negligible structural damage to ultrathin 2D materials. In this work, we demonstrate a doping method utilizing a conventional high-energy ion-implantation machine. Before the implantation, a Polymethylmethacrylate (PMMA) protective layer is used to decelerate the dopant ions and minimize the structural damage to MoS2, thus aggregating the dopants inside MoS2 flakes. By optimizing the implantation energy and fluence, phosphorus dopants are incorporated into MoS2 flakes. Our Raman and high-resolution transmission electron microscopy (HRTEM) results show that only negligibly structural damage is introduced to the MoS2 lattice during the implantation. P-doping effect by the incorporation of p+ is demonstrated by Photoluminescence (PL) and electrical characterizations. Thin PMMA protection layer leads to large kinetic damage but also a more significant doping effect. Also, MoS2 with large thickness shows less kinetic damage. This doping method makes use of existing infrastructures in the semiconductor industry and can be extended to other 2D materials and dopant species as well.

  17. Simulation study of a high power density rectenna array for biomedical implantable devices

    Science.gov (United States)

    Day, John; Yoon, Hargsoon; Kim, Jaehwan; Choi, Sang H.; Song, Kyo D.

    2016-04-01

    The integration of wireless power transmission devices using microwaves into the biomedical field is close to a practical reality. Implanted biomedical devices need a long lasting power source or continuous power supply. Recent development of high efficiency rectenna technology enables continuous power supply to these implanted devices. Due to the size limit of most of medical devices, it is imperative to minimize the rectenna as well. The research reported in this paper reviews the effects of close packing the rectenna elements which show the potential of directly empowering the implanted devices, especially within a confined area. The rectenna array is tested in the X band frequency range.

  18. Temperature evaluation of dental implant surface irradiated with high-power diode laser.

    Science.gov (United States)

    Rios, F G; Viana, E R; Ribeiro, G M; González, J C; Abelenda, A; Peruzzo, D C

    2016-09-01

    The prevalence of peri-implantitis and the absence of a standard approach for decontamination of the dental implant surface have led to searches for effective therapies. Since the source of diode lasers is portable, has reduced cost, and does not cause damage to the titanium surface of the implant, high-power diode lasers have been used for this purpose. The effect of laser irradiation on the implants is the elevation of the temperature surface. If this elevation exceeds 47 °C, the bone tissue is irreversibly damaged, so for a safety therapy, the laser parameters should be controlled. In this study, a diode laser of GaAsAl was used to irradiate titanium dental implants, for powers 1.32 to 2.64 W (real) or 2.00 to 4.00 W (nominal), in continuous/pulsed mode DC/AC, with exposure time of 5/10 s, with/without air flow for cooling. The elevation of the temperature was monitored in real time in two positions: cervical and apical. The best results for decontamination using a 968-nm diode laser were obtained for a power of 1.65 and 1.98 W (real) for 10 s, in DC or AC mode, with an air flow of 2.5 l/min. In our perspective in this article, we determine a suggested approach for decontamination of the dental implant surface using a 968-nm diode laser.

  19. Ion implantation in advanced planar and vertical devices

    International Nuclear Information System (INIS)

    Gossmann, Hans-Joachim L.

    2005-01-01

    The extent ('gate overlap') and slope ('abruptness') of the lateral junction are quickly replacing vertical junction depth as the most important physical junction metrics in advanced device architectures. This is in particular true for ultra-thin body devices, where the vertical junction is limited by a geometric constraint. The optimum gate overlap is quite small, or may even be negative, making a process without the need of high-tilt implantation feasible, even for dopant activation with negligible diffusion by flash annealing or laser thermal processing. Dopant activation by solid phase epitaxial regrowth might require high-tilt implants for a positive overlap. The use of such implants, however, is expected to lead to severe gate-poly and gate-oxide degradation. Scaling the 150 nm technology has drastically shrunk the overlap, accomplished by an equally aggressive reduction in thermal budget. For a 65 nm node device, a significant fraction of the overlap originates in the as-implanted dopant profile and the importance of diffusion is diminished. As a consequence small changes in the as-implanted profile are beginning to have a disproportionate impact on device characteristics. Small angular deviations of the incident beam from normal incidence, as seen by the wafer, lead to large changes in on-current. This can be alleviated significantly by a quad implant provided the tilt-angle is sufficiently large, in the order >5 deg.

  20. Martensitic transformation of type 304 stainless steel by high-energy ion implantation

    International Nuclear Information System (INIS)

    Chayahara, A.; Satou, M.; Nakashima, S.; Hashimoto, M.; Sasaki, T.; Kurokawa, M.; Kiyama, S.

    1991-01-01

    The effect of high-energy ion implantation on the structural changes of type 304 stainless steel were investigated. Gold, copper and silicon ions with an energy of 1.5 MeV was implanted into stainless steel. The fluences were in the range from 5x10 15 to 10 17 ions/cm 2 . It was found that the structure of stainless steel was transformed form the austenitic to the martensitic structure by these ion implantations. This structural change was investigated by means of X-ray diffraction and transmission electron microscopy (TEM). The depth profile of the irradiated ions was also analyzed by secondary ion mass spectroscopy (SIMS) and glow discharge spectroscopy (GDS). The degree of martensitic transformation was found to be strongly dependent on the surface pretreatment, either mechanical or electrolytic polishing. When the surface damages or strains by mechanical polishing were present, the martensitic transformation was greatly accelerated presumably due to the combined action of ion irradiation and strain-enhanced transformation. Heavier ions exhibit a high efficiency for the transformation. (orig.)

  1. Carbon redistribution and precipitation in high temperature ion-implanted strained Si/SiGe/Si multi-layered structures

    DEFF Research Database (Denmark)

    Gaiduk, Peter; Hansen, John Lundsgaard; Nylandsted Larsen, Arne

    2014-01-01

    Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects.......Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects....

  2. Reading the small print - labelling recommendations for orthopaedic implants.

    Science.gov (United States)

    Haene, Roger A; Sandhu, Ranbir S; Baxandall, Richard

    2009-11-01

    There exist, currently, no clear guidelines regarding standards for surgical implant labelling. Dimensions of the laminar flow canopies in orthopaedic use fixes the distance at which implant labels can be read. Mistakes when reading the label on an implant box can pose health risks for patients, and financial consequences for medical institutions. Using scientifically validated tools such as the Snellen Chart Formula, a theoretical minimum standard for text on implant labels was reached. This theoretical standard was then tested under real operating conditions. After discovering a minimum practical standard for implant labels, the authors then audited current labels in use on a wide range of orthopaedic implant packages. Furthermore, other non-text-related labelling problems were also noted. There is a definite minimum standard which should be observed when implant labels are manufactured. Implants in current use bear labels on the packaging that are of an insufficient standard to ensure patient safety in theatre. The authors have established text parameters that will increase the legibility of implant labels. In the interests of improving risk management in theatre, therefore, the authors propose a standard for orthopaedic implant labelling, and believe this will provide a useful foundation for further discussion between the orthopaedic community and implant manufacturers.

  3. Short dental implants: an emerging concept in implant treatment.

    Science.gov (United States)

    Al-Hashedi, Ashwaq Ali; Taiyeb Ali, Tara Bai; Yunus, Norsiah

    2014-06-01

    Short implants have been advocated as a treatment option in many clinical situations where the use of conventional implants is limited. This review outlines the effectiveness and clinical outcomes of using short implants as a valid treatment option in the rehabilitation of edentulous atrophic alveolar ridges. Initially, an electronic search was performed on the following databases: Medline, PubMed, Embase, Cochrane Database of Systematic Reviews, and DARE using key words from January 1990 until May 2012. An additional hand search was included for the relevant articles in the following journals: International Journal of Oral and Maxillofacial Implants, Clinical Oral Implants Research, Journal of Clinical Periodontology, International Journal of Periodontics, Journal of Periodontology, and Clinical Implant Dentistry and Related Research. Any relevant papers from the journals' references were hand searched. Articles were included if they provided detailed data on implant length, reported survival rates, mentioned measures for implant failure, were in the English language, involved human subjects, and researched implants inserted in healed atrophic ridges with a follow-up period of at least 1 year after implant-prosthesis loading. Short implants demonstrated a high rate of success in the replacement of missing teeth in especially atrophic alveolar ridges. The advanced technology and improvement of the implant surfaces have encouraged the success of short implants to a comparable level to that of standard implants. However, further randomized controlled clinical trials and prospective studies with longer follow-up periods are needed.

  4. Remote monitoring of implantable cardiac devices: current state and future directions.

    Science.gov (United States)

    Ganeshan, Raj; Enriquez, Alan D; Freeman, James V

    2018-01-01

    Recent evidence has demonstrated substantial benefits associated with remote monitoring of cardiac implantable electronic devices (CIEDs), and treatment guidelines have endorsed the use of remote monitoring. Familiarity with the features of remote monitoring systems and the data supporting its use are vital for physicians' care for patients with CEIDs. Remote monitoring remains underutilized, but its use is expanding including in new practice settings including emergency departments. Patient experience and outcomes are positive, with earlier detection of clinical events such as atrial fibrillation, reductions in inappropriate implantable cardioverter-defibrillator (ICD) shocks and potentially a decrease in mortality with frequent remote monitoring utilizaiton. Rates of hospitalization are reduced among remote monitoring users, and the replacement of outpatient follow-up visits with remote monitoring transmissions has been shown to be well tolerated. In addition, health resource utilization is lower and remote monitoring has been associated with considerable cost savings. A dose relationship exists between use of remote monitoring and patient outcomes, and those with early and high transmission rates have superior outcomes. Remote monitoring provides clinicians with the ability to provide comprehensive follow-up care for patients with CIEDs. Patient outcomes are improved, and resource utilization is decreased with appropriate use of remote monitoring. Future efforts must focus on improving the utilization and efficiency of remote monitoring.

  5. Electromagnetic interference of mobile phones with electronic implants

    International Nuclear Information System (INIS)

    Kainz, W.

    2000-03-01

    transmission power were carried out to determine when and what kind of failures occur. The tests showed that inhibition occurs when the transmit power exceeded a defined level. When the transmit power was reduced the output signal appeared again. The program parameters were not changed. Subsequently the current density in tissue in the head was measured at a frequency of 900 MHz with two different implant electrode geometry: loop and meander. The source was a 900 MHz half-wave dipole with 787 mW transmit power. In the area around the electrode tip the current density increased locally 2.7-fold in comparison with the current density without implant. There was also an increase in current density in the area of the loop and the meander. The increase in current density in the area around the electrode tip was lower with the meander configuration. This electrode configuration should therefore be preferred if the induced current is to be minimized. Chapter 3:Simulation: The Finite Difference Time Domain (FDTD) method was used to determine the current density in three phantoms (without implant, 'loop' and 'meander' electrode geometry). The theoretical results for the current density in the area around the electrode tip tallied well with the measured results. Because of the different measuring and calculation coordinates for the loop and the meander, no comparison was made of the current density in the area around the loop and the meander. The relationship between the frequencies and the currents induced in the electrodes of both geometry was also determined by calculating the current density near the lead tip at 20 frequencies between 500 MHz and 2400 MHz. The resonance behavior of the loop geometry was greater and the corresponding current density 40% higher. This result confirms the advantage of meander electrode geometry. (author)

  6. Recent advances in dental implants.

    Science.gov (United States)

    Hong, Do Gia Khang; Oh, Ji-Hyeon

    2017-12-01

    Dental implants are a common treatment for the loss of teeth. This paper summarizes current knowledge on implant surfaces, immediate loading versus conventional loading, short implants, sinus lifting, and custom implants using three-dimensional printing. Most of the implant surface modifications showed good osseointegration results. Regarding biomolecular coatings, which have been recently developed and studied, good results were observed in animal experiments. Immediate loading had similar clinical outcomes compared to conventional loading and can be used as a successful treatment because it has the advantage of reducing treatment times and providing early function and aesthetics. Short implants showed similar clinical outcomes compared to standard implants. A variety of sinus augmentation techniques, grafting materials, and alternative techniques, such as tilted implants, zygomatic implants, and short implants, can be used. With the development of new technologies in three-dimension and computer-aided design/computer-aided manufacturing (CAD/CAM) customized implants can be used as an alternative to conventional implant designs. However, there are limitations due to the lack of long-term studies or clinical studies. A long-term clinical trial and a more predictive study are needed.

  7. Ion-implanted PLZT ceramics: a new high-sensitivity image storage medium

    International Nuclear Information System (INIS)

    Peercy, P.S.; Land, C.E.

    1980-01-01

    Results were presented of our studies of photoferroelectric (PFE) image storage in H- and He-ion implanted PLZT (lead lanthanum zirconate titanate) ceramics which demonstrate that the photosensitivity of PLZT can be significantly increased by ion implantation in the ceramic surface to be exposed to image light. More recently, implantations of Ar and Ar + Ne into the PLZT surface have produced much greater photosensitivity enhancement. For example, the photosensitivity after implantation with 1.5 x 10 14 350 keV Ar/cm 2 + 1 x 10 15 500 keV Ne/cm 2 is increased by about four orders of magnitude over that of unimplanted PLZT. Measurements indicate that the photosensitivity enhancement in ion-implanted PLZT is controlled by implantation-produced disorder which results in marked decreases in dielectric constant and dark conductivity and changes in photoconductivity of the implanted layer. The effects of Ar- and Ar + Ne-implantation are presented along with a phenomenological model which describes the enhancement in photosensitivity obtained by ion implantation. This model takes into account both light- and implantation-induced changes in conductivity and gives quantitative agreement with the measured changes in the coercive voltage V/sub c/ as a function of near-uv light intensity for both unimplanted and implanted PLZT. The model, used in conjunction with calculations of the profiles of implantation-produced disorder, has provided the information needed for co-implanting ions of different masses, e.g., Ar and Ne, to improve photosensitivity

  8. High current ion sources

    International Nuclear Information System (INIS)

    Brown, I.G.

    1989-06-01

    The concept of high current ion source is both relative and evolutionary. Within the domain of one particular kind of ion source technology a current of microamperers might be 'high', while in another area a current of 10 Amperes could 'low'. Even within the domain of a single ion source type, what is considered high current performance today is routinely eclipsed by better performance and higher current output within a short period of time. Within their fields of application, there is a large number of kinds of ion sources that can justifiably be called high current. Thus, as a very limited example only, PIGs, Freemen sources, ECR sources, duoplasmatrons, field emission sources, and a great many more all have their high current variants. High current ion beams of gaseous and metallic species can be generated in a number of different ways. Ion sources of the kind developed at various laboratories around the world for the production of intense neutral beams for controlled fusion experiments are used to form large area proton deuteron beams of may tens of Amperes, and this technology can be used for other applications also. There has been significant progress in recent years in the use of microwave ion sources for high current ion beam generation, and this method is likely to find wide application in various different field application. Finally, high current beams of metal ions can be produced using metal vapor vacuum arc ion source technology. After a brief consideration of high current ion source design concepts, these three particular methods are reviewed in this paper

  9. Micro-cutting of silicon implanted with hydrogen and post-implantation thermal treatment

    Science.gov (United States)

    Jelenković, Emil V.; To, Suet; Sundaravel, B.; Xiao, Gaobo; Huang, Hu

    2016-07-01

    It was reported that non-amorphizing implantation by hydrogen has a potential in improving silicon machining. Post-implantation high-temperature treatment will affect implantation-induced damage, which can have impact on silicon machining. In this article, a relation of a thermal annealing of hydrogen implanted in silicon to micro-cutting experiment is investigated. Hydrogen ions were implanted into 4″ silicon wafers with 175 keV, 150 keV, 125 keV and doses of 2 × 1016 cm-2, 2 × 1016 cm-2 and 3 × 1016 cm-2, respectively. In this way, low hydrogen atom-low defect concentration was created in the region less than ~0.8 μm deep and high hydrogen atom-high defect concentration was obtained at silicon depth of ~0.8-1.5 μm. The post-implantation annealing was carried out at 300 and 400 °C in nitrogen for 1 h. Physical and electrical properties of implanted and annealed samples were characterized by secondary ion mass spectroscopy (SIMS), X-ray diffraction (XRD), Rutherford backscattering (RBS) and nanoindentation. Plunge cutting experiment was carried out in and silicon crystal direction. The critical depth of cut and cutting force were monitored and found to be influenced by the annealing. The limits of hydrogen implantation annealing contribution to the cutting characteristics of silicon are discussed in light of implantation process and redistribution of hydrogen and defects generation during annealing process.

  10. Nanoscale Topographical Characterization of Orbital Implant Materials

    Directory of Open Access Journals (Sweden)

    Marco Salerno

    2018-04-01

    Full Text Available The search for an ideal orbital implant is still ongoing in the field of ocular biomaterials. Major limitations of currently-available porous implants include the high cost along with a non-negligible risk of exposure and postoperative infection due to conjunctival abrasion. In the effort to develop better alternatives to the existing devices, two types of new glass-ceramic porous implants were fabricated by sponge replication, which is a relatively inexpensive method. Then, they were characterized by direct three-dimensional (3D contact probe mapping in real space by means of atomic force microscopy in order to assess their surface micro- and nano-features, which were quantitatively compared to those of the most commonly-used orbital implants. These silicate glass-ceramic materials exhibit a surface roughness in the range of a few hundred nanometers (Sq within 500–700 nm and topographical features comparable to those of clinically-used “gold-standard” alumina and polyethylene porous orbital implants. However, it was noted that both experimental and commercial non-porous implants were significantly smoother than all the porous ones. The results achieved in this work reveal that these porous glass-ceramic materials show promise for the intended application and encourage further investigation of their clinical suitability.

  11. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  12. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  13. Effects of ion implantation on the electrochemical characteristics of carbon electrodes

    International Nuclear Information System (INIS)

    Takahashi, Katsuo; Iwaki, Masaya

    1994-01-01

    Various carbon materials are important electrode materials for electrochemical field. By ion implantation, the surface layer reforming of carbon materials (mainly galssy carbon) was carried out, and the effect that it exerts to their electrode characteristics was investigated. As the results of the ion implantation of Li, N, O, K, Ti, Zn, Cd and others performed so far, it was found that mainly by the change of the surface layer to amorphous state, there were the effects of the lowering of base current and the lowering of electrode reaction rate, and it was known that the surface layers of carbon materials doped with various kinds of ions showed high chemical stability. The use of carbon materials as electrodes in electrochemistry is roughly divided into the electrodes for electrolytic industry and fuel cells for large current and those for the measurement in electrochemical reaction for small current. The structure of carbon materials and electrode characteristics, and the reforming effect by ion implantation are reported. (K.I.)

  14. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  15. High current, high bandwidth laser diode current driver

    Science.gov (United States)

    Copeland, David J.; Zimmerman, Robert K., Jr.

    1991-01-01

    A laser diode current driver has been developed for free space laser communications. The driver provides 300 mA peak modulation current and exhibits an optical risetime of less than 400 ps. The current and optical pulses are well behaved and show minimal ringing. The driver is well suited for QPPM modulation at data rates up to 440 Mbit/s. Much previous work has championed current steering circuits; in contrast, the present driver is a single-ended on/off switch. This results in twice the power efficiency as a current steering driver. The driver electrical efficiency for QPPM data is 34 percent. The high speed switch is realized with a Ku-band GaAsFET transistor, with a suitable pre-drive circuit, on a hybrid microcircuit adjacent to the laser diode.

  16. Multi-energy ion implantation from high-intensity laser

    Czech Academy of Sciences Publication Activity Database

    Cutroneo, Mariapompea; Torrisi, L.; Ullschmied, Jiří; Dudžák, Roman

    2016-01-01

    Roč. 61, č. 2 (2016), s. 109-113 ISSN 0029-5922. [PLASMA 2015 : International Conference on Research and Applications of Plasmas. Warsaw, 07.09.2015-11.09.2015] R&D Projects: GA MŠk(CZ) LM2011019; GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389021 ; RVO:61389005 Keywords : high-intensity laser * implantation * material modification Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders; BL - Plasma and Gas Discharge Physics (UFP-V) Impact factor: 0.760, year: 2016

  17. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  18. Current Trend of Antimicrobial Prescription for Oral Implant Surgery Among Dentists in India.

    Science.gov (United States)

    Datta, Rahul; Grewal, Yasmin; Batth, J S; Singh, Amandeep

    2014-12-01

    The aim of our study was to evaluate antimicrobial prescription behaviour amongst dentists performing oral implant surgery in India. Dentists performing oral implant surgery from different parts of India were personally approached during various national events such as conferences and academic meetings and information regarding their prescription habits for antimicrobial agents in routine oral implant surgery was collected using a structured questionnaire. Out of a total sample of 332 dentists, 85.5 % prescribed 17 different groups or combinations of antibiotics routinely for oral implant surgery in the normal healthy patient. Majority preferred the peri-operative protocol of drug therapy (72.2 %) with variable and prolonged duration of therapy after surgery, ranging from 3 to 10 days. An antimicrobial mouthwash was routinely prescribed by all the doctors (14.5 %) not in favour of prescribing antimicrobials in a normal healthy patient. Our findings suggest that there is a trend of antimicrobial agent misuse by dentists performing oral implant surgery in India, both in terms of drugs used and the protocols prescribed. The majority of these dentists prescribed a variety of antimicrobial agents for prolonged durations routinely even in the normal, healthy patients.

  19. Optical properties tailoring by high fluence implantation of Ag ions on sapphire

    International Nuclear Information System (INIS)

    Marques, C.; Silva, R.C. da; Wemans, A.; Maneira, M.J.P.; Kozanecki, A.; Alves, E.

    2006-01-01

    Optical and structural properties of single crystalline α-Al 2 O 3 were changed by the implantation of high fluences of Ag ions. Colourless transparent (101-bar 0) sapphire samples were implanted at room temperature with 160keV silver ions and fluences up to 1x10 17 Agcm -2 . Surface amorphization is observed at the fluence of 6x10 16 Agcm -2 . Except for the lower fluences (below 6x10 16 Agcm -2 ) the optical absorption spectra reveal the presence of a band peaking in the region 450-500nm, depending on the retained fluence. This band has been attributed to the presence of silver colloids, being thus 1x10 16 Agcm -2 below the threshold for colloid formation during the implantation. Annealing in oxidizing atmosphere promotes the recrystallization along with segregation of Ag followed by loss through evaporation. Recrystallization is retarded for annealing in reducing atmosphere and the Ag profile displays now a double peak structure after evaporation. Playing with the implantation fluence, temperature and annealing atmosphere controllable shifts of the position and intensity of the optical bands in the visible were achieved

  20. Albumin coatings by alternating current electrophoretic deposition for improving corrosion resistance and bioactivity of titanium implants

    International Nuclear Information System (INIS)

    Höhn, Sarah; Braem, Annabel; Neirinck, Bram; Virtanen, Sannakaisa

    2017-01-01

    Although Ti alloys are generally regarded to be highly corrosion resistant, inflammatory conditions following surgery can instigate breakdown of the TiO 2 passivation layer leading to an increased metal ion release. Furthermore proteins present in the surrounding tissue will readily adsorb on a titanium surface after implantation. In this paper alternating current electrophoretic deposition (AC-EPD) of bovine serum albumin (BSA) on Ti6Al4V was investigated in order to increase the corrosion resistance and control the protein adsorption capability of the implant surface. The Ti6Al4V surface was characterized with SEM, XPS and ToF-SIMS after long-term immersion tests under physiological conditions and simulated inflammatory conditions either in Dulbecco's Modified Eagle Medium (DMEM) or DMEM supplemented with fetal calf serum (FCS). The analysis showed an increased adsorption of amino acids and proteins from the different immersion solutions. The BSA coating was shown to prevent selective dissolution of the vanadium (V) rich β-phase, thus effectively limiting metal ion release to the environment. Electrochemical impedance spectroscopy measurements confirmed an increase of the corrosion resistance for BSA coated surfaces as a function of immersion time due to the time-dependent adsorption of the different amino acids (from DMEM) and proteins (from FCS) as observed by ToF-SIMS analysis. - Highlights: • Alternating current electrophoretic deposition (AC-EPD) of bovine serum albumin was investigated on Ti6Al4V. • The surface was characterized with SEM, XPS and ToF-SIMS after long-term immersion tests at pH 7 and pH 5. • The analysis showed an increased adsorption of amino acids (DMEM) and proteins (DMEM + FCS). • BSA was shown to prevent dissolution of the β-phase, limiting metal ion release and increase of corrosion resistance. • Ratios calculated by means of ToF-SIMS show that the protein will have different orientations during adsorption.

  1. Albumin coatings by alternating current electrophoretic deposition for improving corrosion resistance and bioactivity of titanium implants

    Energy Technology Data Exchange (ETDEWEB)

    Höhn, Sarah, E-mail: sarah.hoehn@fau.de [Institute for Surface Science and Corrosion, Dept. of Mat. Science, University of Erlangen-Nürnberg, 91058 Erlangen, Germany. (Germany); Braem, Annabel, E-mail: annabel.braem@kuleuven.be [KU Leuven Department of Materials Engineering, Kasteelpark Arenberg 44, Box 2450, 3001 Leuven (Belgium); Neirinck, Bram, E-mail: bram.neirinck@3DSystems.com [KU Leuven Department of Materials Engineering, Kasteelpark Arenberg 44, Box 2450, 3001 Leuven (Belgium); Virtanen, Sannakaisa, E-mail: virtanen@ww.uni-erlangen.de [Institute for Surface Science and Corrosion, Dept. of Mat. Science, University of Erlangen-Nürnberg, 91058 Erlangen, Germany. (Germany)

    2017-04-01

    Although Ti alloys are generally regarded to be highly corrosion resistant, inflammatory conditions following surgery can instigate breakdown of the TiO{sub 2} passivation layer leading to an increased metal ion release. Furthermore proteins present in the surrounding tissue will readily adsorb on a titanium surface after implantation. In this paper alternating current electrophoretic deposition (AC-EPD) of bovine serum albumin (BSA) on Ti6Al4V was investigated in order to increase the corrosion resistance and control the protein adsorption capability of the implant surface. The Ti6Al4V surface was characterized with SEM, XPS and ToF-SIMS after long-term immersion tests under physiological conditions and simulated inflammatory conditions either in Dulbecco's Modified Eagle Medium (DMEM) or DMEM supplemented with fetal calf serum (FCS). The analysis showed an increased adsorption of amino acids and proteins from the different immersion solutions. The BSA coating was shown to prevent selective dissolution of the vanadium (V) rich β-phase, thus effectively limiting metal ion release to the environment. Electrochemical impedance spectroscopy measurements confirmed an increase of the corrosion resistance for BSA coated surfaces as a function of immersion time due to the time-dependent adsorption of the different amino acids (from DMEM) and proteins (from FCS) as observed by ToF-SIMS analysis. - Highlights: • Alternating current electrophoretic deposition (AC-EPD) of bovine serum albumin was investigated on Ti6Al4V. • The surface was characterized with SEM, XPS and ToF-SIMS after long-term immersion tests at pH 7 and pH 5. • The analysis showed an increased adsorption of amino acids (DMEM) and proteins (DMEM + FCS). • BSA was shown to prevent dissolution of the β-phase, limiting metal ion release and increase of corrosion resistance. • Ratios calculated by means of ToF-SIMS show that the protein will have different orientations during adsorption.

  2. Straightforward Case of Dental Implant in General Dentistry

    Directory of Open Access Journals (Sweden)

    Aji P. Tjikman

    2013-07-01

    Full Text Available Dental implant has become a fast developing and dynamic field in dental practice. It is acknowledged as a predictable treatment modality with high clinical success rates. Conventional fixed prostheses are no longer considered to be the first choice of treatment for replacing a missing tooth. Despite the increasing number of patients requesting dental implant treatments, there are only some clinicians who are offering implant therapy in their daily practice. The International team for Implantology described a straightforward case as a simple case such as implant placements in adquate soft and hard tissue conditions and single-tooth restorations in a non-aesthetic zone. A review of the current literature discussed the implementation of implant dentistry in universities worldwide into their curriculum for both undergraduate and postgraduate programs in general dentistry. The European consensus in implant dentistry education concluded that it is desirable to include the surgical technique for implant placement for straightforward cases into the dental curriculum. The levels and limitations to which the various aspects of implant dentistry and related skills are taught to be determined by the academic community. This review aimed at promoting awareness amongst dental practitioners and institutions in Indonesia of the shifting treatment paradigm in the maangement of a missing tooth. Hence clinicians will be able to include implant dentistry in the treatment planning of their patients and also undertake a significant part in the execution of such treatments.

  3. Imaging of tritium implanted into graphite

    International Nuclear Information System (INIS)

    Malinowski, M.E.; Causey, R.A.

    1988-01-01

    The extensive use of graphite in plasma-facing surfaces of tokamaks such as the Tokamak Fusion Test Reactor, which has planned tritium discharges, makes two-dimensional tritium detection techniques important in helping to determine torus tritium inventories. We have performed experiments in which highly oriented pyrolytic graphite (HOPG) samples were first tritium implanted with fluences of ∼10 16 T/cm 2 at energies approx. 0 C resulted in no discernible motion of tritium along the basal plane, but did show that significant desorption of the implanted tritium occurred. The current results indicate that tritium in quantities of 10 12 T/cm 2 in tritiated components could be readily detected by imaging at lower magnifications

  4. High-resolution scanning near-field EBIC microscopy: Application to the characterisation of a shallow ion implanted p+-n silicon junction

    International Nuclear Information System (INIS)

    Smaali, K.; Faure, J.; El Hdiy, A.; Troyon, M.

    2008-01-01

    High-resolution electron beam induced current (EBIC) analyses were carried out on a shallow ion implanted p + -n silicon junction in a scanning electron microscope (SEM) and a scanning probe microscope (SPM) hybrid system. With this scanning near-field EBIC microscope, a sample can be conventionally imaged by SEM, its local topography investigated by SPM and high-resolution EBIC image simultaneously obtained. It is shown that the EBIC imaging capabilities of this combined instrument allows the study of p-n junctions with a resolution of about 20 nm

  5. The effect of thread pattern upon implant osseointegration.

    Science.gov (United States)

    Abuhussein, Heba; Pagni, Giorgio; Rebaudi, Alberto; Wang, Hom-Lay

    2010-02-01

    Implant design features such as macro- and micro-design may influence overall implant success. Limited information is currently available. Therefore, it is the purpose of this paper to examine these factors such as thread pitch, thread geometry, helix angle, thread depth and width as well as implant crestal module may affect implant stability. A literature search was conducted using MEDLINE to identify studies, from simulated laboratory models, animal, to human, related to this topic using the keywords of implant thread, implant macrodesign, thread pitch, thread geometry, helix angle, thread depth, thread width and implant crestal module. The results showed how thread geometry affects the distribution of stress forces around the implant. A decreased thread pitch may positively influence implant stability. Excess helix angles in spite of a faster insertion may jeopardize the ability of implants to sustain axial load. Deeper threads seem to have an important effect on the stabilization in poorer bone quality situations. The addition of threads or microthreads up to the crestal module of an implant might provide a potential positive contribution on bone-to to-implant contact as well as on the preservation of marginal bone; nonetheless this remains to be determined. Appraising the current literature on this subject and combining existing data to verify the presence of any association between the selected characteristics may be critical in the achievement of overall implant success.

  6. The emittance of high current heavy ion beams

    International Nuclear Information System (INIS)

    White, N.R.; Devaney, A.S.

    1989-01-01

    Ion implantation is the main application for high current heavy ion beams. Transfer ratio is defined as the ratio of the total ion current leaving the ion source to the current delivered to the endstation. This ratio is monitored and logged and its importance is explained. It is also affected by other factors, such as the isotopic and molecular composition of the total ion beam. The transfer ratio reveals the fraction of ions which are intercepted by parts of the beamline system. The effects of these ions are discussed in two categories: processing purity and reliability. In discussing the emittance of ribbon beams, the two orthogonal planes are usually considered separately. Longitudinal emittance is determined by slot length and by plasma ion temperature. It has already been revealed that the longitudinal divergence of the beams from BF3 is perhaps double that of the beam from arsenic vapour or argon, at the same total perveance from the ion source. This poses the question: why is the ion temperature higher for BF3 than for As or Ar? The transverse emittance is in practical terms dominated by the divergence. It is the most fruitful area for improvement in most real-world systems. There is an intrinsic divergence arising from initial ion energies within the plasma, and there is emittance growth that can occur as a result of aberration in the beam extraction optics. (N.K.)

  7. Interfraction patient motion and implant displacement in prostate high dose rate brachytherapy

    Energy Technology Data Exchange (ETDEWEB)

    Fox, C. D.; Kron, T.; Leahy, M.; Duchesne, G.; Williams, S.; Tai, K. H.; Haworth, A.; Herschtal, A.; Foroudi, F. [Department of Physical Sciences, Peter MacCallum Cancer Centre, Melbourne, Victoria 3002 (Australia); Nursing Service, Peter MacCallum Cancer Centre, Melbourne, Victoria 3002 (Australia); Department of Radiation Oncology, Peter MacCallum Cancer Centre and University of Melbourne, Melbourne, Victoria 3002 (Australia); Department of Physical Sciences, Peter MacCallum Cancer Centre and Royal Melbourne Insititute of Technology, Melbourne, Victoria 3000 (Australia); Department of Biostatistics and Clinical Trials, Peter MacCallum Cancer Centre, Melbourne, Victoria 3002 (Australia); Department of Radiation Oncology, Peter MacCallum Cancer Centre and University of Melbourne, Melbourne, Victoria 3010 (Australia)

    2011-11-15

    Purpose: To quantify movement of prostate cancer patients undergoing treatment, using an in-house developed motion sensor in order to determine a relationship between patient movement and high dose rate (HDR) brachytherapy implant displacement. Methods: An electronic motion sensor was developed based on a three axis accelerometer. HDR brachytherapy treatment for prostate is delivered at this institution in two fractions 24 h apart and 22 patients were monitored for movement over the interval between fractions. The motion sensors functioned as inclinometers, monitoring inclination of both thighs, and the inclination and roll of the abdomen. The implanted HDR brachytherapy catheter set was assessed for displacement relative to fiducial markers in the prostate. Angle measurements and angle differences over a 2 s time base were binned, and the standard deviations of the resulting frequency distributions used as a metric for patient motion in each monitored axis. These parameters were correlated to measured catheter displacement using regression modeling. Results: The mean implant displacement was 12.6 mm in the caudal direction. A mean of 19.95 h data was recorded for the patient cohort. Patients generally moved through a limited range of angles with a mean of the exception of two patients who spent in excess of 2 h lying on their side. When tested for a relationship between movement in any of the four monitored axes and the implant displacement, none was significant. Conclusions: It is not likely that patient movement influences HDR prostate implant displacement. There may be benefits to patient comfort if nursing protocols were relaxed to allow patients greater freedom to move while the implant is in situ.

  8. Interfraction patient motion and implant displacement in prostate high dose rate brachytherapy

    International Nuclear Information System (INIS)

    Fox, C. D.; Kron, T.; Leahy, M.; Duchesne, G.; Williams, S.; Tai, K. H.; Haworth, A.; Herschtal, A.; Foroudi, F.

    2011-01-01

    Purpose: To quantify movement of prostate cancer patients undergoing treatment, using an in-house developed motion sensor in order to determine a relationship between patient movement and high dose rate (HDR) brachytherapy implant displacement. Methods: An electronic motion sensor was developed based on a three axis accelerometer. HDR brachytherapy treatment for prostate is delivered at this institution in two fractions 24 h apart and 22 patients were monitored for movement over the interval between fractions. The motion sensors functioned as inclinometers, monitoring inclination of both thighs, and the inclination and roll of the abdomen. The implanted HDR brachytherapy catheter set was assessed for displacement relative to fiducial markers in the prostate. Angle measurements and angle differences over a 2 s time base were binned, and the standard deviations of the resulting frequency distributions used as a metric for patient motion in each monitored axis. These parameters were correlated to measured catheter displacement using regression modeling. Results: The mean implant displacement was 12.6 mm in the caudal direction. A mean of 19.95 h data was recorded for the patient cohort. Patients generally moved through a limited range of angles with a mean of the exception of two patients who spent in excess of 2 h lying on their side. When tested for a relationship between movement in any of the four monitored axes and the implant displacement, none was significant. Conclusions: It is not likely that patient movement influences HDR prostate implant displacement. There may be benefits to patient comfort if nursing protocols were relaxed to allow patients greater freedom to move while the implant is in situ.

  9. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  10. Breast reconstruction with anatomical implants: A review of indications and techniques based on current literature.

    Science.gov (United States)

    Gardani, Marco; Bertozzi, Nicolò; Grieco, Michele Pio; Pesce, Marianna; Simonacci, Francesco; Santi, PierLuigi; Raposio, Edoardo

    2017-09-01

    One important modality of breast cancer therapy is surgical treatment, which has become increasingly less mutilating over the last century. Breast reconstruction has become an integrated part of breast cancer treatment due to long-term psychosexual health factors and its importance for breast cancer survivors. Both autogenous tissue-based and implant-based reconstruction provides satisfactory reconstructive options due to better surgeon awareness of "the ideal breast size", although each has its own advantages and disadvantages. An overview of the current options in breast reconstruction is presented in this article.

  11. Structural-phase changes of α-Fe implanted with high ion doses

    International Nuclear Information System (INIS)

    Ivanov, Y.F.; Pogrebnyak, A.; Martynenko, V.

    2001-01-01

    The CEMS method was used to examine and implanted layer of α-Fe with a thickness of up to 100 nm. The radiation of α-Fe with carbon ions results of the formation of the solid solution of carbon in α-Fe and the precipitation of the iron carbides Fe 2 C. The implantation of aluminium in the α-Fe is accompanied by the formation of the order phase Fe 3 Al. The results of show that the phase the composition of the surface layer of α-Fe, irradiated with titanium, is represented by the solid solution of the titanium in α-Fe, and also by the micro-clusters of iron characterised by different environment of the titanium atoms of the level of several co-ordination spheres. The presence of these micro-clusters indicates the non-uniform distribution of titanium in α-Fe. The additional Auger analysis of the specimens of α-Fe, implanted with titanium with a dose of 5 x 10 -17 cm -2 , showed a high concentration of carbon (up to 20 at.%) in the layer up to 50 nm thick. The authors of 2 assumed that the carbon, implanted from the residual atmosphere, affects not only the resultant profile of the distribution of titanium in the depth of α-Fe, but also the change of the physical-mechanical properties of the surface layer. The main aim of the investigations was to examine the phase composition and the formation of secondary defects (dislocations and dislocation sub structures) in the surface layer of α-Fe, implanted with titanium, aluminium, carbon, with a dose of 5 x 10 -17 cm -2

  12. Dose volume assessment of high dose rate 192IR endobronchial implants

    International Nuclear Information System (INIS)

    Cheng, B. Saw; Korb, Leroy J.; Pawlicki, Todd; Wu, Andrew

    1996-01-01

    Purpose: To study the dose distributions of high dose rate (HDR) endobronchial implants using the dose nonuniformity ratio (DNR) and three volumetric irradiation indices. Methods and Materials: Multiple implants were configured by allowing a single HDR 192 Ir source to step through a length of 6 cm along an endobronchial catheter. Dwell times were computed to deliver a dose of 5 Gy to points 1 cm away from the catheter axis. Five sets of source configurations, each with different dwell position spacings from 0.5 to 3.0 cm, were evaluated. Three-dimensional (3D) dose distributions were then generated for each source configuration. Differential and cumulative dose-volume curves were generated to quantify the degree of target volume coverage, dose nonuniformity within the target volume, and irradiation of tissues outside the target volume. Evaluation of the implants were made using the DNR and three volumetric irradiation indices. Results: The observed isodose distributions were not able to satisfy all the dose constraints. The ability to optimally satisfy the dose constraints depended on the choice of dwell position spacing and the specification of the dose constraint points. The DNR and irradiation indices suggest that small dwell position spacing does not result in a more homogeneous dose distribution for the implant. This study supports the existence of a relationship between the dwell position spacing and the distance from the catheter axis to the reference dose or dose constraint points. Better dose homogeneity for an implant can be obtained if the spacing of the dwell positions are about twice the distance from the catheter axis to the reference dose or dose constraint points

  13. Wireless ultrasound-powered biotelemetry for implants.

    Science.gov (United States)

    Towe, Bruce C; Larson, Patrick J; Gulick, Daniel W

    2009-01-01

    A miniature piezoelectric receiver coupled to a diode is evaluated as a simple device for wireless transmission of bioelectric events to the body surface. The device converts the energy of a surface-applied ultrasound beam to a high frequency carrier current in solution. Bioelectrical currents near the implant modulate the carrier amplitude, and this signal is remotely detected and demodulated to recover the biopotential waveform. This technique achieves millivolt sensitivity in saline tank tests, and further attention to system design is expected to improve sensitivity.

  14. Is a high serum copper concentration a risk factor for implantation failure?

    Science.gov (United States)

    Matsubayashi, Hidehiko; Kitaya, Kotaro; Yamaguchi, Kohei; Nishiyama, Rie; Takaya, Yukiko; Ishikawa, Tomomoto

    2017-08-10

    Copper-containing contraceptive devices may deposit copper ions in the endometrium, resulting in implantation failure. The deposition of copper ions in many organs has been reported in patients with untreated Wilson's disease. Since these patients sometimes exhibit subfertility and/or early pregnancy loss, copper ions were also considered to accumulate in the uterine endometrium. Wilson's disease patients treated with zinc successfully delivered babies because zinc interfered with the absorption of copper from the gastrointestinal tract. These findings led to the hypothesis that infertile patients with high serum copper concentrations may have implantation failure due to the excess accumulation of copper ions. The relationship between implantation (pregnancy) rates and serum copper concentrations has not yet been examined. The Japanese government recently stated that actual copper intake was higher among Japanese than needed. Therefore, the aim of the present study was to investigate whether serum copper concentrations are related to the implantation (pregnancy) rates of human embryos in vivo. We included 269 patients (age copper, and zinc concentrations were measured 16 days after the first date of progesterone replacement. We compared 96 women who were pregnant without miscarriage at 10 weeks of gestation (group P) and 173 women who were not pregnant (group NP). No significant differences were observed in age or BMI between the groups. Copper concentrations were significantly higher in group NP (average 193.2 μg/dL) than in group P (average 178.1 μg/dL). According to the area under the curve (AUC) on the receiver operating characteristic curve for the prediction of clinical pregnancy rates, the Cu/Zn ratio (AUC 0.64, 95% CI 0.54-0.71) was a better predictor than copper or zinc. When we set the cut-off as 1.59/1.60 for the Cu/Zn ratio, sensitivity, specificity, the positive predictive value, and negative predictive value were 0.98, 0.29, 0.71, and 0

  15. A computational method for comparing the behavior and possible failure of prosthetic implants

    Energy Technology Data Exchange (ETDEWEB)

    Nielsen, C.; Hollerbach, K.; Perfect, S.; Underhill, K.

    1995-05-01

    Prosthetic joint implants currently in use exhibit high Realistic computer modeling of prosthetic implants provides an opportunity for orthopedic biomechanics researchers and physicians to understand possible in vivo failure modes, without having to resort to lengthy and costly clinical trials. The research presented here is part of a larger effort to develop realistic models of implanted joint prostheses. The example used here is the thumb carpo-metacarpal (cmc) joint. The work, however, can be applied to any other human joints for which prosthetic implants have been designed. Preliminary results of prosthetic joint loading, without surrounding human tissue (i.e., simulating conditions under which the prosthetic joint has not yet been implanted into the human joint), are presented, based on a three-dimensional, nonlinear finite element analysis of three different joint implant designs.

  16. Modification of high density polyethylene by gold implantation using different ion energies

    Energy Technology Data Exchange (ETDEWEB)

    Nenadović, M.; Potočnik, J. [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Mitrić, M. [INS Vinca, Condensed Matter Physics Laboratory, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Štrbac, S. [ICTM Institute of Electrochemistry, University of Belgrade, Njegoseva 12, 11001 Belgrade (Serbia); Rakočević, Z., E-mail: zlatkora@vinca.rs [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia)

    2013-11-01

    High density polyethylene (HDPE) samples were modified by Au{sup +} ion implantation at a dose of 5 × 10{sup 15} ions cm{sup −2}, using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au{sup +} ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies.

  17. Modification of high density polyethylene by gold implantation using different ion energies

    International Nuclear Information System (INIS)

    Nenadović, M.; Potočnik, J.; Mitrić, M.; Štrbac, S.; Rakočević, Z.

    2013-01-01

    High density polyethylene (HDPE) samples were modified by Au + ion implantation at a dose of 5 × 10 15 ions cm −2 , using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au + ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies

  18. Cluster Implantation and Deposition Apparatus

    DEFF Research Database (Denmark)

    Hanif, Muhammad; Popok, Vladimir

    2015-01-01

    In the current report, a design and capabilities of a cluster implantation and deposition apparatus (CIDA) involving two different cluster sources are described. The clusters produced from gas precursors (Ar, N etc.) by PuCluS-2 can be used to study cluster ion implantation in order to develop...

  19. Cochlear Implant Electrode Localization Using an Ultra-High Resolution Scan Mode on Conventional 64-Slice and New Generation 192-Slice Multi-Detector Computed Tomography.

    Science.gov (United States)

    Carlson, Matthew L; Leng, Shuai; Diehn, Felix E; Witte, Robert J; Krecke, Karl N; Grimes, Josh; Koeller, Kelly K; Bruesewitz, Michael R; McCollough, Cynthia H; Lane, John I

    2017-08-01

    A new generation 192-slice multi-detector computed tomography (MDCT) clinical scanner provides enhanced image quality and superior electrode localization over conventional MDCT. Currently, accurate and reliable cochlear implant electrode localization using conventional MDCT scanners remains elusive. Eight fresh-frozen cadaveric temporal bones were implanted with full-length cochlear implant electrodes. Specimens were subsequently scanned with conventional 64-slice and new generation 192-slice MDCT scanners utilizing ultra-high resolution modes. Additionally, all specimens were scanned with micro-CT to provide a reference criterion for electrode position. Images were reconstructed according to routine temporal bone clinical protocols. Three neuroradiologists, blinded to scanner type, reviewed images independently to assess resolution of individual electrodes, scalar localization, and severity of image artifact. Serving as the reference standard, micro-CT identified scalar crossover in one specimen; imaging of all remaining cochleae demonstrated complete scala tympani insertions. The 192-slice MDCT scanner exhibited improved resolution of individual electrodes (p implant imaging compared with conventional MDCT. This technology provides important feedback regarding electrode position and course, which may help in future optimization of surgical technique and electrode design.

  20. Radioactive implants for medical applications; Radioaktive Implantate fuer medizinische Anwendungen

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, M.

    2008-07-01

    The long-term success of surgery is often diminished by excessive wound healing, which makes another intervention necessary. Locally applied radionuclides with short range radiation can prevent such benign hyperproliferation. As pure electron emitter with a half-life of 14.3 days and a mean energy of 694.9 keV (E{sub max}=1710.48 keV) {sup 32}P is a suitable radionuclide which can be produced from the stable {sup 31}P by the capture of thermal neutrons (1 x 10{sup 14} /s/cm{sup 2}) in a nuclear reactor. After a typical irradiation time (14 days) the ratio of {sup 32}P to {sup 31}P is 1.4 x 10{sup -5} to 1. Implants made of polymer and/or bioabsorbable material functioning as a carrier of the radioactive emitter allow - as opposed to metallic implants - for new applications for this type of radiotherapy. In this thesis a manufacturing method for previously not available organic, radioactive implants has been developed and a corresponding dosimetry system has been established. By means of ion implantation, {sup 32}P ions with up to 180 keV can be shot some 100 nm deep into organic implant materials. For a typical dose (15 Gy over 7 days, 1 mm distance from the implant) an activity of 75 kBq is needed corresponding to 1.3 x 10{sup 11} {sup 32}P ions. The sputter ion gun, which has been optimized for this application, creates an ion beam with high beam current (> 14 {mu}A P{sup -}) and low emittance (< 4 {pi} mm mrad {radical}(MeV)). Because of the good beam quality also small implants (<1 mm{sup 2}) can be manufactured with high efficiency. The unintentionally co-implanted portion of molecules and nuclides of the same mass (e.g. {sup 31}PH, {sup 16}O{sub 2} and {sup 32}S) could be reduced from approximately 500 to 50 by an improvement of the isotope selection at {sup 32}P beam creation. Hence, in comparison with the best hitherto existing implantation methods, the radiation dose of the implant could be reduced by an order of magnitude. With regard to the beta

  1. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  2. Influence of high-energy ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy

    International Nuclear Information System (INIS)

    Gusakova, O.V.

    2016-01-01

    The results of investigation of influence of Xe ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy are represented/ Analysis of the experimental results shows that the high-energy ion implantation of Xe causes a change in the particle size of zinc. (authors)

  3. Review of the regulations for the use of stainless steels for orthopedic implants in Argentina

    International Nuclear Information System (INIS)

    Daga, Bernardo; Rivera, Graciela; Boeri, Roberto

    2007-01-01

    Motivated by the relatively high rate of failure of orthopedic implants in Argentina, the authors review the current normative regulating the use of stainless steels in the fabrication of these metallic parts in the country, and compare it with the regulations currently in use in other countries. The analysis shows that several standards in effect in the country do not comply with broadly recognized international standards. This situation is aggravated by a recent revision of the normative that failed to improve the quality standards to reach levels similar to those applied in developed countries or even in MERCOSUR associates. The national organization in charge of implant certification in Argentina, complying with the law, accepts the applicability of IRAM standards to certify stainless steels implants. In the opinion of the authors, the current practice used to certify implants does not guarantee the structural stability and biocompatibility of the devices, increasing the risk of failure in service, and escalating the cost of the public health care system

  4. Metallic oxide nano-clusters synthesis by ion implantation in high purity Fe10Cr alloy

    International Nuclear Information System (INIS)

    Zheng, Ce

    2015-01-01

    ODS (Oxide Dispersed Strengthened) steels, which are reinforced with metal dispersions of nano-oxides (based on Y, Ti and O elements), are promising materials for future nuclear reactors. The detailed understanding of the mechanisms involved in the precipitation of these nano-oxides would improve manufacturing and mechanical properties of these ODS steels, with a strong economic impact for their industrialization. To experimentally study these mechanisms, an analytical approach by ion implantation is used, to control various parameters of synthesis of these precipitates as the temperature and concentration. This study demonstrated the feasibility of this method and concerned the behaviour of alloys models (based on aluminium oxide) under thermal annealing. High purity Fe-10Cr alloys were implanted with Al and O ions at room temperature. Transmission electron microscopy observations showed that the nano-oxides appear in the Fe-10Cr matrix upon ion implantation at room temperature without subsequent annealing. The mobility of implanted elements is caused by the defects created during ion implantation, allowing the nucleation of these nanoparticles, of a few nm in diameter. These nanoparticles are composed of aluminium and oxygen, and also chromium. The high-resolution experiments show that their crystallographic structure is that of a non-equilibrium compound of aluminium oxide (cubic γ-Al 2 O 3 type). The heat treatment performed after implantation induces the growth of the nano-sized oxides, and a phase change that tends to balance to the equilibrium structure (hexagonal α-Al 2 O 3 type). These results on model alloys are fully applicable to industrial materials: indeed ion implantation reproduces the conditions of milling and heat treatments are at equivalent temperatures to those of thermo-mechanical treatments. A mechanism involving the precipitation of nano-oxide dispersed in ODS alloys is proposed in this manuscript based on the obtained experimental results

  5. Combined ultrasound and fluoroscopy guided port catheter implantation-High success and low complication rate

    International Nuclear Information System (INIS)

    Gebauer, Bernhard; El-Sheik, Michael; Vogt, Michael; Wagner, Hans-Joachim

    2009-01-01

    Purpose: To evaluate peri-procedural, early and late complications as well as patients' acceptance of combined ultrasound and fluoroscopy guided radiological port catheter implantation. Materials and methods: In a retrospective analysis, all consecutive radiological port catheter implantations (n = 299) between August 2002 and December 2004 were analyzed. All implantations were performed in an angio suite under analgosedation and antibiotic prophylaxis. Port insertion was guided by ultrasonographic puncture of the jugular (n = 298) or subclavian (n = 1) vein and fluoroscopic guidance of catheter placement. All data of the port implantation had been prospectively entered into a database for interventional radiological procedures. To assess long-term results, patients, relatives or primary physicians were interviewed by telephone; additional data were generated from the hospital information system. Patients and/or the relatives were asked about their satisfaction with the port implantion procedure and long-term results. Results: The technical success rate was 99% (298/299). There were no major complications according to the grading system of SIR. A total of 23 (0.33 per 1000 catheter days) complications (early (n = 4), late (n = 19)) were recorded in the follow-period of a total of 72,727 indwelling catheter days. Infectious complications accounted for 0.15, thrombotic for 0.07 and migration for 0.04 complications per 1000 catheter days. Most complications were successfully treated by interventional measures. Twelve port catheters had to be explanted due to complications, mainly because of infection (n = 9). Patients' and relatives' satisfaction with the port catheter system was very high, even if complications occurred. Conclusion: Combined ultrasound and fluoroscopy guided port catheter implantation is a very safe and reliable procedure with low peri-procedural, early and late complication rate. The intervention achieves very high acceptance by the patients and

  6. Ion implantation control system

    International Nuclear Information System (INIS)

    Gault, R. B.; Keutzer, L. L.

    1985-01-01

    A control system is disclosed for an ion implantation system of the type in which the wafers to be implanted are mounted around the periphery of a disk which rotates and also moves in a radial direction relative to an ion beam to expose successive sections of each wafer to the radiation. The control system senses beam current which passes through one or more apertures in the disk and is collected by a Faraday cup. This current is integrated to obtain a measure of charge which is compared with a calculated value based upon the desired ion dosage and other parameters. The resultant controls the number of incremental steps the rotating disk moves radially to expose the adjacent sections of each wafer. This process is continued usually with two or more traverses until the entire surface of each wafer has been implanted with the proper ion dosage

  7. Correction of High Astigmatism after Penetrating Keratoplasty with Toric Multifocal Intraocular Lens Implantation

    Directory of Open Access Journals (Sweden)

    Raffaele Nuzzi

    2017-07-01

    Full Text Available After penetrating keratoplasty (PK, high astigmatism is often induced, being frequently about 4–6 dpt. According to the entity and typology of astigmatism, different methods of correction can be used. Selective suture removal, relaxing incisions, wedge resections, compression sutures, photorefractive keratectomy, and laser-assisted in situ keratomileusis can reduce corneal astigmatism and ametropia, but meanwhile they can cause a reduction in the corneal integrity and cause an over- or undercorrection. In case of moderate-to-high regular astigmatisms, the authors propose a toric multifocal intraocular lens (IOL implantation to preserve the corneal integrity (especially in PK after herpetic corneal leukoma keratitis. We evaluated a 45-year-old patient who at the age of 30 was subjected to PK in his left eye due to corneal leukoma herpetic keratitis, which led to high astigmatism (7.50 dpt cyl. 5°. The patient was subjected to phacoemulsification and customized toric multifocal IOL implantation in his left eye. The correction of PK-induced residual astigmatism with a toric IOL implantation is an excellent choice but has to be evaluated in relation to patient age, corneal integrity, longevity graft, and surgical risk. It seems to be a well-tolerated therapeutic choice and with good results.

  8. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  9. Change of chemical bond and wettability of polylacticacid implanted with high-flux carbon ion

    International Nuclear Information System (INIS)

    Zhang Jizhong; Kang Jiachen; Zhang Xiaoji; Zhou Hongyu

    2008-01-01

    Polylacticacid (PLA) was submitted to high-flux carbon ion implantation with energy of 40 keV. It was investigated to the effect of ion fluence (1 x 10 12 -1 x 10 15 ions/cm 2 ) on the properties of the polymer. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), wettability, and roughness were employed to study change of structure and properties of the as-implanted PLA samples. Six carbon bonds, that is, C, C-H, C-O-C, C-O, O-C-O, and >C=O, were observed on surfaces of the as-implanted PLA samples. The intensities of various chemical bonds changed with increasing ion fluence. AFM images displayed that there was irradiation damage and that it was related closely with ion fluence. At fluence as high as 1 x 10 15 ions/cm 2 surface-restructuring phenomenum took place on the surface of the PLA. Wettability was also affected by the variation on the fluence. With increasing ion fluence, the water contact angle of the as-implanted PLA samples changed gradually reaching a maximum of 76.5 deg. with 1 x 10 13 ions/cm 2 . The experimental results revealed that carbon ion fluence strongly affected surface chemical bond, morphology, wettability, and roughness of the PLA samples

  10. Cochlear implantation in children and adults in Switzerland.

    Science.gov (United States)

    Brand, Yves; Senn, Pascal; Kompis, Martin; Dillier, Norbert; Allum, John H J

    2014-02-04

    The cochlear implant (CI) is one of the most successful neural prostheses developed to date. It offers artificial hearing to individuals with profound sensorineural hearing loss and with insufficient benefit from conventional hearing aids. The first implants available some 30 years ago provided a limited sensation of sound. The benefit for users of these early systems was mostly a facilitation of lip-reading based communication rather than an understanding of speech. Considerable progress has been made since then. Modern, multichannel implant systems feature complex speech processing strategies, high stimulation rates and multiple sites of stimulation in the cochlea. Equipped with such a state-of-the-art system, the majority of recipients today can communicate orally without visual cues and can even use the telephone. The impact of CIs on deaf individuals and on the deaf community has thus been exceptional. To date, more than 300,000 patients worldwide have received CIs. In Switzerland, the first implantation was performed in 1977 and, as of 2012, over 2,000 systems have been implanted with a current rate of around 150 CIs per year. The primary purpose of this article is to provide a contemporary overview of cochlear implantation, emphasising the situation in Switzerland.

  11. Modification of electrical properties of polymer membranes by ion implantation

    International Nuclear Information System (INIS)

    Dworecki, K.; Hasegawa, T.; Sudlitz, K.; Wasik, S.

    2000-01-01

    This paper presents an experimental study of the electrical properties of polymer ion irradiated polyethylene terephthalate (PET) membranes. The polymer samples have been implanted with a variety of ions (O 5+ , N 4+ , Kr 9+ ) by the energy of 10 keV/q up to doses of 10 15 ions/cm 2 and then they were polarized in an electric field of 4.16x10 6 V/m at non-isothermal conditions. The electrical properties and the changes in the chemical structure of implanted membrane were measured by conductivity and discharge currents and FTIR spectra. Electrical conductivity of the membranes PET increases to 1-3 orders of magnitude after implantation and is determined by the charge transport caused by free space charge and by thermal detrapping of charge carriers. The spectra of thermally induced discharge current (TDC) shows that ion irradiated PET membranes are characterized by high ability to accumulate charge

  12. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  13. Cryogenic high current discharges

    International Nuclear Information System (INIS)

    Meierovich, B.E.

    1994-01-01

    Z-pinches formed from frozen deuterium fibers by a rapidly rising current have enhanced stability and high neutron yield. The efforts to understand the enhanced stability and neutron yield on the basis of classical picture of Bennett equilibrium of the current channel has not given satisfactory results. The traditional approach does not take into account the essential difference between the frozen deuterium fiber Z-pinches and the usual Z-pinches such as exploding wires or classical gas-puffed Z-pinches. The very low temperature of the fiber atoms (10 K), together with the rapidly rising current, result in the coexistence of a high current channel with unionized fiber atoms for a substantial period of time. This phenomena lasts during the risetime. This approach takes into account the difference of the breakdown in a dielectric deuterium fiber and the breakdown in a metallic wire. This difference is essential to the understanding of specific features of cryogenic high current discharges. Z-pinches in frozen deuterium fibers should be considered as a qualitatively new phenomenon on the boundary of cryogenic and high current physics. It is a start of a new branch in plasma physics: the physics of cryogenic high current discharges

  14. X-ray photoelectron spectroscopy characterization of high dose carbon-implanted steel and titanium alloys

    Science.gov (United States)

    Viviente, J. L.; García, A.; Alonso, F.; Braceras, I.; Oñate, J. I.

    1999-04-01

    A study has been made of the depth dependence of the atomic fraction and chemical bonding states of AISI 440C martensitic stainless steel and Ti-6Al-4V alloy implanted with 75 keV C + at very high doses (above 10 18 ions cm -2), by means of X-ray photoelectron spectroscopy combined with an Ar + sputtering. A Gaussian-like carbon distribution was observed on both materials at the lowest implanted dose. More trapezoidal carbon depth-profiles were found with increasing implanted doses, and a pure carbon layer was observed only on the titanium alloy implanted at the highest dose. The implanted carbon was combined with both base metal and carbon itself to form metallic carbides and graphitic carbon. Furthermore, carbon-enriched carbides were also found by curve fitting the C 1s spectra. The titanium alloy showed a higher carbidic contribution than the steel implanted at the same C + doses. A critical carbon concentrations of about 33 at.% and 23 at.% were measured for the formation of C-C bonds in Ti-6Al-4V and steel samples, respectively. The carbon atoms were bound with metal to form carbidic compounds until these critical concentrations were reached; when this C concentration was exceeded the proportion of C-C bonds increased and resulted in the growth of carbonaceous layers.

  15. Controlled localised melting in silicon by high dose germanium implantation and flash lamp annealing

    International Nuclear Information System (INIS)

    Voelskow, Matthias; Skorupa, Wolfgang; Pezoldt, Joerg; Kups, Thomas

    2009-01-01

    High intensity light pulse irradiation of monocrystalline silicon wafers is usually accompanied by inhomogeneous surface melting. The aim of the present work is to induce homogeneous buried melting in silicon by germanium implantation and subsequent flash lamp annealing. For this purpose high dose, high energy germanium implantation has been employed to lower the melting temperature of silicon in a predetermined depth region. Subsequent flash lamp irradiation at high energy densities leads to local melting of the germanium rich buried layer, whereby the thickness of the molten layer depends on the irradiation energy density. During the cooling down epitaxial crystallization takes place resulting in a largely defect-free layer. The combination of buried melting and dopant segregation has the potential to produce unusually buried doping profiles or to create strained silicon structures.

  16. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    International Nuclear Information System (INIS)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin

    2010-01-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10 10 -10 11 cm -2 . The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  17. Bond formation in hafnium atom implantation into SiC induced by high-energy electron irradiation

    International Nuclear Information System (INIS)

    Yasuda, H.; Mori, H.; Sakata, T.; Naka, M.; Fujita, H.

    1992-01-01

    Bilayer films of Hf (target atoms)/α-SiC (substrate) were irradiated with 2 MeV electrons in an ultra-high voltage electron microscope (UHVEM), with the electron beam incident on the hafnium layer. As a result of the irradiation, hafnium atoms were implanted into the SiC substrate. Changes in the microstructure and valence electronic states associated with the implantation were studied by a combination of UHVEM and Auger valence electron spectroscopy. The implantation process is summarized as follows. (1) Irradiation with 2 MeV electrons first induces a crystalline-to-amorphous transition in α-SiC. (2) Hafnium atoms which have been knocked-off from the hafnium layer by collision with the 2 MeV electrons are implanted into the resultant amorphous SiC. (3) The implanted hafnium atoms make preferential bonding to carbon atoms. (4) With continued irradiation, the hafnium atoms repeat the displacement along the beam direction and the subsequent bonding with the dangling hybrids of carbon and silicon. The repetition of the displacement and subsequent bonding lead to the deep implantation of hafnium atoms into the SiC substrate. It is concluded that implantation successfully occurs when the bond strength between a constituent atom of a substrate and an injected atom is stronger than that between constituent atoms of a substrate. (Author)

  18. Ion implantation into iron

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1978-01-01

    The distribution of implanted ions in iron, the friction characteristics and the corrosion of iron were studied. The distribution of Ni or Cr ions implanted into mild steel was measured. The accelerated voltage was 150 keV, and the beam current density was about 2 microampere/cm 2 . The measurement was made with an ion microanalyzer. The measured distribution was compared with that of LSS theory. Deep invasion of Ni was seen in the measured distribution. The distribution of Cr ions was different from the distribution calculated by the LSS theory. The relative friction coefficient of mild steel varied according to the dose of implanted Cu or N ions, and to the accelerating voltage. Formation of compound metals on the surfaces of metals by ion-implantation was investigated for the purpose to prevent the corrosion of metals. The resistance of mild steel in which Ni ions were implanted was larger than that of mild steel without any treatment. (Kato, T.)

  19. X-ray diffraction patterns of single crystals implanted with high-energy light ions

    International Nuclear Information System (INIS)

    Wieteska, K.

    1998-01-01

    X-ray diffraction patterns of silicon and gallium arsenide single crystals implanted with high-energy protons and α-particles were studied. A various models of lattice parameter changes were analysed. The agreement between the simulation and experiment proves that the lattice parameter depth-distribution can be assumed to be proportional to vacancy distribution obtained by Monte-Carlo method and from the Biersack-Ziegler theory. Most of the X-ray experiments were performed using synchrotron source of X-ray radiation in particular in the case of back-reflection and transmission section topographic methods. The new method of direct determination of the implanted ion ranges was proposed using synchrotron radiation back-reflection section topography. A number of new interference phenomena was revealed and explained. These interferences are important in the applications of diffraction theory in studying of the real structure of implanted layers. (author)

  20. Structural and compositional characterization of LiNbO{sub 3} crystals implanted with high energy iron ions

    Energy Technology Data Exchange (ETDEWEB)

    Sada, C., E-mail: cinzia.sada@unipd.i [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Argiolas, N.; Bazzan, M.; Ciampolillo, M.V.; Zaltron, A.M.; Mazzoldi, P. [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Agarwal, D.C.; Avastshi, D.K. [Inter-University Accelerator Centre, Post Box-10502, New Delhi 110067 (India)

    2010-10-01

    Iron ions were implanted with a total fluence of 6 x 10{sup 17} ions/m{sup 2} into lithium niobate crystals by way of a sequential implantation at different energies of 95, 100 and 105 MeV respectively through an energy retarder Fe foil to get a uniform Fe doping of about few microns from the surface. The implanted crystals were then annealed in air in the range 200-400 {sup o}C for different durations to promote the crystalline quality that was damaged by implantation. In order to understand the basic phenomena underlying the implantation process, compositional in-depth profiles obtained by the secondary ion mass spectrometry were correlated to the structural properties of the implanted region measured by the high resolution X-ray diffraction depending on the process parameters. The optimised preparation conditions are outlined in order to recover the crystalline quality, essential for integrated photorefractive applications.

  1. High-voltage high-current triggering vacuum switch

    International Nuclear Information System (INIS)

    Alferov, D.F.; Bunin, R.A.; Evsin, D.V.; Sidorov, V.A.

    2012-01-01

    Experimental investigations of switching and breaking capacities of the new high current triggered vacuum switch (TVS) are carried out at various parameters of discharge current. It has been shown that the high current triggered vacuum switch TVS can switch repeatedly a current from units up to ten kiloampers with duration up to ten millisecond [ru

  2. High Current Oxide Cathodes

    National Research Council Canada - National Science Library

    Luhmann, N

    2000-01-01

    The aim of the AASERT supported research is to develop the plasma deposition/implantation process for coating barium, strontium and calcium oxides on nickel substrates and to perform detailed surface...

  3. Implante transcateter de valva aórtica: resultados atuais do desenvolvimento e implante de um nova prótese brasileira Transcatheter aortic valve implantation: results of the current development and implantation of a new Brazilian prosthesis

    Directory of Open Access Journals (Sweden)

    Diego Felipe Gaia

    2011-09-01

    . Minimally invasive transcatheter aortic valve implantation has emerged as an alternative, with lower morbidity and mortality. The aim of this study was clinical, safety and efficacy assessment. METHODS: Thirty-three high risk patients underwent transcatheter balloon expandable aortic valve implantation. Mean Logistic EuroScore risk was 39.30% and STS score 30.28%. Eight patients presented with dysfunctional bioprosthesis, remaining ones presented calcified aortic stenosis. Procedures were performed in a hybrid OR under fluoroscopic and echocardiography guidance. Using a left minithoracotomy the prosthesis were implanted trough the ventricular apex under rapid ventricular pacing or hemorrhagic shock. Echocardiographic and angiographic controls were performed. RESULTS: Implant was feasible in 30 cases. Three conversions occured. There was only one case of operative death. Median transvalvular aortic gradient reduced from 43.58 mmHg to 10.54 mmHg. Left ventricular function improved in the first 7 postoperative days. Paravalvular aortic regurgitation was mild and present in 30.30%. One case presented major vascular complication and another one permanent pacemaker implant. One major stroke case occurred. Overall 30-day mortality was 18.18%. CONCLUSION: The transapical implantation of catheter mounted bioprosthesis is a safe procedure with acceptable midterm results. Long term follow-up with increased sample power is mandatory in order to access hemodynamic, life quality and survival

  4. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  5. Wide-Range Highly-Efficient Wireless Power Receivers for Implantable Biomedical Sensors

    KAUST Repository

    Ouda, Mahmoud

    2016-11-01

    Wireless power transfer (WPT) is the key enabler for a myriad of applications, from low-power RFIDs, and wireless sensors, to wirelessly charged electric vehicles, and even massive power transmission from space solar cells. One of the major challenges in designing implantable biomedical devices is the size and lifetime of the battery. Thus, replacing the battery with a miniaturized wireless power receiver (WPRx) facilitates designing sustainable biomedical implants in smaller volumes for sentient medical applications. In the first part of this dissertation, we propose a miniaturized, fully integrated, wirelessly powered implantable sensor with on-chip antenna, designed and implemented in a standard 0.18μm CMOS process. As a batteryless device, it can be implanted once inside the body with no need for further invasive surgeries to replace batteries. The proposed single-chip solution is designed for intraocular pressure monitoring (IOPM), and can serve as a sustainable platform for implantable devices or IoT nodes. A custom setup is developed to test the chip in a saline solution with electrical properties similar to those of the aqueous humor of the eye. The proposed chip, in this eye-like setup, is wirelessly charged to 1V from a 5W transmitter 3cm away from the chip. In the second part, we propose a self-biased, differential rectifier with enhanced efficiency over an extended range of input power. A prototype is designed for the medical implant communication service (MICS) band at 433MHz. It demonstrates an efficiency improvement of more than 40% in the rectifier power conversion efficiency (PCE) and a dynamic range extension of more than 50% relative to the conventional cross-coupled rectifier. A sensitivity of -15.2dBm input power for 1V output voltage and a peak PCE of 65% are achieved for a 50k load. In the third part, we propose a wide-range, differential RF-to-DC power converter using an adaptive, self-biasing technique. The proposed architecture doubles

  6. Magnetic resonance imaging of breast implants.

    Science.gov (United States)

    Shah, Mala; Tanna, Neil; Margolies, Laurie

    2014-12-01

    Silicone breast implants have significantly evolved since their introduction half a century ago, yet implant rupture remains a common and expected complication, especially in patients with earlier-generation implants. Magnetic resonance imaging is the primary modality for assessing the integrity of silicone implants and has excellent sensitivity and specificity, and the Food and Drug Administration currently recommends periodic magnetic resonance imaging screening for silent silicone breast implant rupture. Familiarity with the types of silicone implants and potential complications is essential for the radiologist. Signs of intracapsular rupture include the noose, droplet, subcapsular line, and linguine signs. Signs of extracapsular rupture include herniation of silicone with a capsular defect and extruded silicone material. Specific sequences including water and silicone suppression are essential for distinguishing rupture from other pathologies and artifacts. Magnetic resonance imaging provides valuable information about the integrity of silicone implants and associated complications.

  7. Measurement technology of RF interference current in high current system

    Science.gov (United States)

    Zhao, Zhihua; Li, Jianxuan; Zhang, Xiangming; Zhang, Lei

    2018-06-01

    Current probe is a detection method commonly used in electromagnetic compatibility. With the development of power electronics technology, the power level of power conversion devices is constantly increasing, and the power current of the electric energy conversion device in the electromagnetic launch system can reach 10kA. Current probe conventionally used in EMC (electromagnetic compatibility) detection cannot meet the test requirements on high current system due to the magnetic saturation problem. The conventional high current sensor is also not suitable for the RF (Radio Frequency) interference current measurement in high current power device due to the high noise level in the output of active amplifier. In this paper, a passive flexible current probe based on Rogowski coil and matching resistance is proposed that can withstand high current and has low noise level, to solve the measurement problems of interference current in high current power converter. And both differential mode and common mode current detection can be easily carried out with the proposed probe because of the probe's flexible structure.

  8. Ion implantation enhanced metal-Si-metal photodetectors

    Science.gov (United States)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  9. High power pulse electron beam modification and ion implantation of Hg{sub 1-x}Cd{sub x}Te crystals

    Energy Technology Data Exchange (ETDEWEB)

    Vojtsekhovskij, A V; Remnev, G E [Tomsk Polytechnic Univ. (Russian Federation). Nuclear Physics Inst.; Opekunov, M S; Kokhanenko, A P; Korotaev, A G; Denisov, Yu A; Oucherenko, D A [Tomsk State Univ. (Russian Federation). Dept. of Radiophysics

    1997-12-31

    Hg{sub 1-x}Cd{sub x}Te (MCT) samples (x = 0.21 - 0.22) were irradiated by pulse electron beams at doses of 10{sup 13} to 10{sup 17} cm{sup -2}. The electron beams possessed the following parameters: 500 keV electron energy (30-40 A/cm{sup 2} electron current density, 60-80 ns current pulse); 200 keV electron energy (8- 10 A/cm{sup 2} electron current density, 100-200 ns current pulse). The electric conductivity and recombination of modified samples were investigated by the Hall effect and photoconductivity methods. For the 200 keV electron energy beam irradiation, the n-type surface regions were obtained under threshold mechanisms of donor defect generation. For the 500 keV electron energy beam irradiation, the maximum value of charge carrier lifetimes occur in the p- to n-type conductivity conversion range for the initial p-type crystals due to the conductivity compensation. MCT samples (x = 0.21 - 0.22) were implanted with Al ions at doses of 10{sup 12}-10{sup 16} cm{sup -2}. The ion beams possessed the following parameters: (1-10) A/cm{sup 2} ion current density, (100-200) ns current pulse; (150-450) keV Al ion (Al{sup +},Al{sup ++}, Al{sup +++}). The ion distribution and doping profiles were investigated by PIGE and Hall effect methods. Comparison between MCT samples after power pulse ion implantation and after standard ion implantation demonstrates differences in ion distribution, doping profiles and defect formation radiation mechanisms. (author). 3 figs., 8 refs.

  10. Challenges in Improving Cochlear Implant Performance and Accessibility.

    Science.gov (United States)

    Zeng, Fan-Gang

    2017-08-01

    Here I identify two gaps in cochlear implants that have been limiting their performance and acceptance. First, cochlear implant performance has remained largely unchanged, despite the number of publications tripling per decade in the last 30 years. Little has been done so far to address a fundamental limitation in the electrode-to-neuron interface, with the electrode size being a thousand times larger than the neuron diameter while the number of electrodes being a thousand times less. Both the small number and the large size of electrodes produce broad spatial activation and poor frequency resolution that limit current cochlear implant performance. Second, a similarly rapid growth in cochlear implant volume has not produced an expected decrease in unit price in the same period. The high cost contributes to low market penetration rate, which is about 20% in developed countries and less than 1% in developing countries. I will discuss changes needed in both research strategy and business practice to close the gap between prosthetic and normal hearing as well as that between haves and have-nots.

  11. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    Science.gov (United States)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  12. High-voltage, high-current, solid-state closing switch

    Science.gov (United States)

    Focia, Ronald Jeffrey

    2017-08-22

    A high-voltage, high-current, solid-state closing switch uses a field-effect transistor (e.g., a MOSFET) to trigger a high-voltage stack of thyristors. The switch can have a high hold-off voltage, high current carrying capacity, and high time-rate-of-change of current, di/dt. The fast closing switch can be used in pulsed power applications.

  13. Screening of a lactobacillus plantarum mutant with high cla productivity induced by n+ implantation

    International Nuclear Information System (INIS)

    Li Shurong; Meng Xianjun; Zhang Tao; Zhao Hongwei; Lu Jiaping; Zhao Yun; Gao Yanhong; Li Qingpeng

    2009-01-01

    The initial lactic acid bacteria strain A6-1 was treated by N + ions implantation of 50 keV with doses of 1 x 10 13 , 3 x 10 13 , 5 x 10 13 , 8 x 10 13 , 10 x 10 13 , 30 x 10 13 , 50 x 10 13 , 80 x 10 13 , and 100 x 10 13 ions/cm 2 . The survival curve showed a saddle model, and the high survival rate was 20% ∼ 35% from the treatments of 30 x 10 13 ions/cm 2 and 50 x 10 13 ions/cm 2 implantation. Considering the survival rate, positive mutation and range of mutation rate, N + ions implantation of 30 x 10 13 ions/cm 2 was recommended for mutation breeding of lactic acid bacteria. Selected mutants with high ability of producing CLA after fermentation. Generic stable was observed until 8 generations of F mutant, and average yield of CLA was 162.5 μg/ml, which was 69.87% higher than the original stain. F mutant was named A6-1F. (authors)

  14. Influence of controlled immediate loading and implant design on peri-implant bone formation.

    Science.gov (United States)

    Vandamme, Katleen; Naert, Ignace; Geris, Liesbet; Vander Sloten, Jozef; Puers, Robert; Duyck, Joke

    2007-02-01

    Tissue formation at the implant interface is known to be sensitive to mechanical stimuli. The aim of the study was to compare the bone formation around immediately loaded versus unloaded implants in two different implant macro-designs. A repeated sampling bone chamber with a central implant was installed in the tibia of 10 rabbits. Highly controlled loading experiments were designed for a cylindrical (CL) and screw-shaped (SL) implant, while the unloaded screw-shaped (SU) implant served as a control. An F-statistic model with alpha=5% determined statistical significance. A significantly higher bone area fraction was observed for SL compared with SU (pimplant contact occurred was the highest for SL and significantly different from SU (pimplant contact was observed, a loading (SL versus SU: p=0.0049) as well as an implant geometry effect (SL versus CL: p=0.01) was found, in favour of the SL condition. Well-controlled immediate implant loading accelerates tissue mineralization at the interface. Adequate bone stimulation via mechanical coupling may account for the larger bone response around the screw-type implant compared with the cylindrical implant.

  15. Formation of aluminium nitride and segregation of Cu impurity atoms in aluminium implanted by high dose nitrogen ions

    International Nuclear Information System (INIS)

    Lin Chenglu; Hemment, P.L.F.; Li Jinhua; Zou Shichang

    1994-01-01

    Aluminium films with a thickness of 7000 A (containing 0.85% copper) were deposited on silicon substrates. 400 keV N 2 + or 350 keV N + ions were implanted into the aluminium films or at the interface between the aluminium and silicon, respectively. Automatic spreading resistance (ASR), Fourier transform infrared spectroscopy (FTIR) and Rutherford backscattering (RBS) and channelling were used to characterize the formation of aluminium nitride and the depth distribution of the Cu impurity in the aluminium films after ion implantation and post-annealing. The formation of a stoichiometric AlN layer with high resistance was evident from ASR, RBS analysis and FTIR measurements by the presence of the absorption band at 650 cm -1 . When the implanted nitrogen is near the interface between the aluminium and silicon, a multilayer structure can be obtained, which consists of aluminium, aluminium nitride and the silicon substrate. Cu, which is a background impurity in the deposited aluminium films, segregated into the synthesised aluminium nitride during high dose nitrogen ion implantation. This is due to irradiation-induced segregation during ion implantation. (orig.)

  16. Cochlear implant users' spectral ripple resolution.

    Science.gov (United States)

    Jeon, Eun Kyung; Turner, Christopher W; Karsten, Sue A; Henry, Belinda A; Gantz, Bruce J

    2015-10-01

    This study revisits the issue of the spectral ripple resolution abilities of cochlear implant (CI) users. The spectral ripple resolution of recently implanted CI recipients (implanted during the last 10 years) were compared to those of CI recipients implanted 15 to 20 years ago, as well as those of normal-hearing and hearing-impaired listeners from previously published data from Henry, Turner, and Behrens [J. Acoust. Soc. Am. 118, 1111-1121 (2005)]. More recently, implanted CI recipients showed significantly better spectral ripple resolution. There is no significant difference in spectral ripple resolution for these recently implanted subjects compared to hearing-impaired (acoustic) listeners. The more recently implanted CI users had significantly better pre-operative speech perception than previously reported CI users. These better pre-operative speech perception scores in CI users from the current study may be related to better performance on the spectral ripple discrimination task; however, other possible factors such as improvements in internal and external devices cannot be excluded.

  17. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    Energy Technology Data Exchange (ETDEWEB)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin, E-mail: musman@kth.s [Microelectronics and Applied Physics, School of Communication and Information Technology, Royal Institute of Technology (KTH), Electrum 229, 16440 Kista (Sweden)

    2010-11-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10{sup 10}-10{sup 11} cm{sup -2}. The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  18. Improvement of kink characteristic of proton-implanted VCSEL with ITO overcoating

    Science.gov (United States)

    Lai, Fang-I.; Chang, Ya-Hsien; Laih, Li-Hong; Kuo, Hao-chung; Wang, S. C.

    2004-06-01

    Proton implanted VCSEL has been demonstrated with good reliability and decent modulation speed up to 1.25 Gb/s. However, kinks in current vs light output (L-I) has been always an issue in the gain-guided proton implant VCSEL. The kink related jitter and noise performance made it difficult to meet 2.5 Gb/s (OC-48) requirement. The kinks in L-I curve can be attributed to non-uniform carrier distribution induced non-uniform gain distribution within emission area. In this paper, the effects of a Ti/ITO transparent over-coating on the proton-implanted AlGaAs/GaAs VCSELs (15um diameter aperture) are investigated. The kinks distribution in L-I characteristics from a 2 inch wafer is greatly improved compared to conventional process. These VCSELs exhibit nearly kink-free L-I output performance with threshold currents ~3 mA, and the slope efficiencies ~ 0.25 W/A. The near-field emission patterns suggest the Ti/ITO over-coating facilitates the current spreading and uniform carrier distribution of the top VCSEL contact thus enhancing the laser performance. Finally, we performed high speed modulation measurement. The eye diagram of proton-implanted VCSELs with Ti/ITO transparent over-coating operating at 2.125 Gb/s with 10mA bias and 9dB extinction ratio shows very clean eye with jitter less than 35 ps.

  19. Ion implantation in superconducting niobium and Nb3 Sn thin films: adjustment of Josephson microbridges and SQUID devices

    International Nuclear Information System (INIS)

    Robic, J.Y.; Piaguet, J.; Duret, D.; Veler, J.C.; Veran, J.L.; Zenatti, D.

    1978-01-01

    The principles of operation of Josephson junctions and SQUIDS are resumed. An ion implantation technique for the adjustment of the critical current is presented. High quality superconducting thin films were obtained by electron gun evaporation of niobium on heated substrates. Polycrystalline Nb 3 Sn was made by annealing (1000 K, 10 -6 Torr) a multilayer structure of successively evaporated niobium and thin films. Selected ions (helium, neon, argon) were implanted at doses ranging from 10 13 to 10 17 cm -2 . After implantation the critical temperature, the critical current and the normal resistivity were measured on special photoetched geometries. The variations of these electrical properties depend on the nuclear energy loss. The critical temperature of Nb 3 Sn is decreased by ion implantation and can be increased again by a new annealing. The parameters of the ion implantation were defined in order to obtain a critical temperature slightly higher than the operating temperature. The geometries of the microbridges and the implanted areas where then chosen to obtain appropriate criticals currents (approximately 10 μA) at the operating temperature. The obtained microbridges were used as junction elements in superconducting quantum interference devices (SQUID)

  20. Investigation of high-current low pressure quasistationary volume discharge in cross-field ExH

    International Nuclear Information System (INIS)

    Bashutin, O.A.; Vovchenko, E.D.; Kirnev, G.S.

    1995-01-01

    Different types of high current discharge permitted to create large volume of high density homogeneous plasma are widely used in modern technique. Such discharges are applied as plasma emitters of charged particles and also in various technologies for sputtering, implantation and etching of materials. The results of a plasma electron density dynamics investigation of low pressure quasistationary volume discharge in cross-field E x H is described in this paper. The discharge was created in a quadrupole magnetic system with special form electrodes and has following characteristics current up to 1,8 kA, voltage on the interval 80-120 V, existence time up to 1,5 ms. The discharge conserves diffusive character of plasma and cathode layer on all current range. On a first research stage plasma parameters of discharge were determined by means of Langmuir probe, that could been used in central discharge region only, where magnetic field was equal to zero. An obtained plasma density was reached 1,5*10 15 cm -3 with electron temperature T e =10 eV. The research of discharge plasma in regions with magnetic field had required to use interferometric measurement technique

  1. On stress/strain shielding and the material stiffness paradigm for dental implants.

    Science.gov (United States)

    Korabi, Raoof; Shemtov-Yona, Keren; Rittel, Daniel

    2017-10-01

    Stress shielding considerations suggest that the dental implant material's compliance should be matched to that of the host bone. However, this belief has not been confirmed from a general perspective, either clinically or numerically. To characterize the influence of the implant stiffness on its functionality using the failure envelope concept that examines all possible combinations of mechanical load and application angle for selected stress, strain and displacement-based bone failure criteria. Those criteria represent bone yielding, remodeling, and implant primary stability, respectively MATERIALS AND METHODS: We performed numerical simulations to generate failure envelopes for all possible loading configurations of dental implants, with stiffness ranging from very low (polymer) to extremely high, through that of bone, titanium, and ceramics. Irrespective of the failure criterion, stiffer implants allow for improved implant functionality. The latter reduces with increasing compliance, while the trabecular bone experiences higher strains, albeit of an overall small level. Micromotions remain quite small irrespective of the implant's stiffness. The current paradigm favoring reduced implant material's stiffness out of concern for stress or strain shielding, or even excessive micromotions, is not supported by the present calculations, that point exactly to the opposite. © 2017 Wiley Periodicals, Inc.

  2. Implantable enzyme amperometric biosensors.

    Science.gov (United States)

    Kotanen, Christian N; Moussy, Francis Gabriel; Carrara, Sandro; Guiseppi-Elie, Anthony

    2012-05-15

    The implantable enzyme amperometric biosensor continues as the dominant in vivo format for the detection, monitoring and reporting of biochemical analytes related to a wide range of pathologies. Widely used in animal studies, there is increasing emphasis on their use in diabetes care and management, the management of trauma-associated hemorrhage and in critical care monitoring by intensivists in the ICU. These frontier opportunities demand continuous indwelling performance for up to several years, well in excess of the currently approved seven days. This review outlines the many challenges to successful deployment of chronically implantable amperometric enzyme biosensors and emphasizes the emerging technological approaches in their continued development. The foreign body response plays a prominent role in implantable biotransducer failure. Topics considering the approaches to mitigate the inflammatory response, use of biomimetic chemistries, nanostructured topographies, drug eluting constructs, and tissue-to-device interface modulus matching are reviewed. Similarly, factors that influence biotransducer performance such as enzyme stability, substrate interference, mediator selection and calibration are reviewed. For the biosensor system, the opportunities and challenges of integration, guided by footprint requirements, the limitations of mixed signal electronics, and power requirements, has produced three systems approaches. The potential is great. However, integration along the multiple length scales needed to address fundamental issues and integration across the diverse disciplines needed to achieve success of these highly integrated systems, continues to be a challenge in the development and deployment of implantable amperometric enzyme biosensor systems. Copyright © 2012 Elsevier B.V. All rights reserved.

  3. High dose, heavy ion implantation into metals: the use of sacrificial surface layers to enhance retention

    International Nuclear Information System (INIS)

    Clapham, L.

    1994-01-01

    While of considerable interest for the production of metallic alloys, high dose, heavy ion implantation is highly problematical, since the process is limited by sputtering effects. Sputtering is less significant, however, for light target materials, such as C and Al. This paper summarizes studies involving the use of light materials (such as C and Al) which act as slowly sputtering ''sacrificial layers'' when deposited on metallic targets prior to heavy ion implantation. The use of C and Al sacrificial coatings has enabled implanted ion retentions of 100% to be obtained in a number of ion-metal target systems, where the retentions in uncoated samples were as low as 20%. Ion implantation invariably leads to mixing at the sacrificial layer-metal target interface. This mixing may be detrimental in certain systems, so it is useful to be able to minimize or remove this mixed region. To achieve this, a number of techniques have been investigated: (1) removal of the mixed region in the latter stages of the implant; (2) using a barrier layer or chemical effects to minimize mixing at the sacrificial layer-metal interface; (3) choosing a sacrificial layer material which forms a mixed region which has desirable properties. The results of these investigations, for a number of different ion-target systems, are outlined in this paper. (orig.)

  4. Infectious complications of pediatric cochlear implants are highly influenced by otitis media.

    Science.gov (United States)

    Vila, Peter M; Ghogomu, Nsangou T; Odom-John, Audrey R; Hullar, Timothy E; Hirose, Keiko

    2017-06-01

    Determine the incidence of ear infections in cochlear implant patients, evaluate the contribution of otitis media to complications, describe the bacteriology of otitis media in the cochlear implant population, the treatment provided at our center, and the long term outcome. Data collected included age at implantation, history of otitis media or ear tubes, etiology of hearing loss, inner ear anatomy, postoperative infections, time to infection, route of antibiotic administration, and interventions for infections. Categories of infection were acute otitis media, otitis media with effusion, tube otorrhea, meningitis, scalp cellulitis, and infection at the implant site. Middle ear infections were diagnosed in 37% of implanted ears. Extension of middle ear infections into the implant site occurred in 2.8% of all implants (n = 16). Of the 16 infected devices, 10 were successfully treated with antibiotic therapy and did not require explantation. The retained implant group and explanted group both included some middle ear microbes such as Haemophilus influenzae and Streptococcus pneumoniae, as well as skin flora such as Staphylococcus aureus. Otitis media in pediatric cochlear implant patients is a common event and usually does not lead to complications of the cochlear implant. However, when the ear infection spreads to the scalp and the implant site, it is still possible to eliminate the infection using antibiotic therapy, particularly when treatment is directed to the specific organism that is recovered from the infected space and the duration and route of antibiotic treatment is carefully considered. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Influences of microgap and micromotion of implant-abutment interface on marginal bone loss around implant neck.

    Science.gov (United States)

    Liu, Yang; Wang, Jiawei

    2017-11-01

    To review the influences and clinical implications of micro-gap and micro-motion of implant-abutment interface on marginal bone loss around the neck of implant. Literatures were searched based on the following Keywords: implant-abutment interface/implant-abutment connection/implant-abutment conjunction, microgap, micromotion/micromovement, microleakage, and current control methods available. The papers were then screened through titles, abstracts, and full texts. A total of 83 studies were included in the literature review. Two-piece implant systems are widely used in clinics. However, the production error and masticatory load result in the presence of microgap and micromotion between the implant and the abutment, which directly or indirectly causes microleakage and mechanical damage. Consequently, the degrees of microgap and micromotion further increase, and marginal bone absorption finally occurs. We summarize the influences of microgap and micromotion at the implant-abutment interface on marginal bone loss around the neck of the implant. We also recommend some feasible methods to reduce their effect. Clinicians and patients should pay more attention to the mechanisms as well as the control methods of microgap and micromotion. To reduce the corresponding detriment to the implant marginal bone, suitable Morse taper or hybrid connection implants and platform switching abutments should be selected, as well as other potential methods. Copyright © 2017 Elsevier Ltd. All rights reserved.

  6. Ion implantation into diamond

    International Nuclear Information System (INIS)

    Sato, Susumu

    1994-01-01

    The graphitization and the change to amorphous state of diamond surface layer by ion implantation and its characteristics are reported. In the diamond surface, into which more than 10 16 ions/cm 2 was implanted, the diamond crystals are broken, and the structure changes to other carbon structure such as amorphous state or graphite. Accompanying this change of structure, the electric conductivity of the implanted layer shows two discontinuous values due to high resistance and low resistance. This control of structure can be done by the temperature of the base during the ion implantation into diamond. Also it is referred to that by the base temperature during implantation, the mutual change of the structure between amorphous state and graphite can be controlled. The change of the electric resistance and the optical characteristics by the ion implantation into diamond surface, the structural analysis by Raman spectroscopy, and the control of the structure of the implanted layer by the base temperature during implantation are reported. (K.I.)

  7. Increased crown-to-implant ratio may not be a risk factor for dental implant failure under appropriate plaque control.

    Science.gov (United States)

    Okada, Shinsuke; Koretake, Katsunori; Miyamoto, Yasunari; Oue, Hiroshi; Akagawa, Yasumasa

    2013-01-01

    The aim of this study was to evaluate whether increased crown-to-implant (C/I) ratio influences implant stability or not under proper healthy control of peri-implant mucosa. The hypothesis of this study is that implant stability can be maintained despite High C/I, under appropriate plaque control. Five male Beagle-Labrador hybrid dogs (2 years old) were used. Their bilateral mandibular premolar extraction was performed. After allowing 12 weeks for bone healing, 3 types of vertical marginal bone loss were simultaneously prepared randomly. Then, 30 titanium implants were placed in the edentulous areas and defined as High C/I, Mid C/I and Low C/I groups. This time point was designated as the baseline (0 Week). Twelve weeks after implant placement, metal superstructures were cemented to the implants and an occlusal plate was set at the opposite side. At the same time, Calcein green was injected for remodeling evaluation. Implants were loaded by feeding the dogs a hard pellet diet. Tooth brushing was performed 5 days per week during the study to maintain healthy peri-implant mucosa. Twenty-four weeks following implant placement, the interface structure was evaluated clinically, radiologically, and histologically. Implant stability quotient (ISQ) increased with time in all 3 groups, without any significant correlation with the C/I value (p >0.05). Moreover, mean marginal bone loss adjacent around implants in all 3 groups ranged between 0.11 and 0.19 mm, with no significant difference (p >0.05). Many fluorescence-labeled bones are shown in the High C/I group. It is considered that high remodeling activity prevent marginal bone loss in the High C/I group and this may provide favorable implant stability under proper plaque control. These findings suggest that increased C/I may not be a risk factor for implant failure if the peri-implant mucosa is kept healthy, as was the case in this animal model.

  8. Increased crown-to-implant ratio may not be a risk factor for dental implant failure under appropriate plaque control.

    Directory of Open Access Journals (Sweden)

    Shinsuke Okada

    Full Text Available OBJECTIVE: The aim of this study was to evaluate whether increased crown-to-implant (C/I ratio influences implant stability or not under proper healthy control of peri-implant mucosa. The hypothesis of this study is that implant stability can be maintained despite High C/I, under appropriate plaque control. MATERIALS AND METHODS: Five male Beagle-Labrador hybrid dogs (2 years old were used. Their bilateral mandibular premolar extraction was performed. After allowing 12 weeks for bone healing, 3 types of vertical marginal bone loss were simultaneously prepared randomly. Then, 30 titanium implants were placed in the edentulous areas and defined as High C/I, Mid C/I and Low C/I groups. This time point was designated as the baseline (0 Week. Twelve weeks after implant placement, metal superstructures were cemented to the implants and an occlusal plate was set at the opposite side. At the same time, Calcein green was injected for remodeling evaluation. Implants were loaded by feeding the dogs a hard pellet diet. Tooth brushing was performed 5 days per week during the study to maintain healthy peri-implant mucosa. Twenty-four weeks following implant placement, the interface structure was evaluated clinically, radiologically, and histologically. RESULT: Implant stability quotient (ISQ increased with time in all 3 groups, without any significant correlation with the C/I value (p >0.05. Moreover, mean marginal bone loss adjacent around implants in all 3 groups ranged between 0.11 and 0.19 mm, with no significant difference (p >0.05. Many fluorescence-labeled bones are shown in the High C/I group. It is considered that high remodeling activity prevent marginal bone loss in the High C/I group and this may provide favorable implant stability under proper plaque control. CONCLUSION: These findings suggest that increased C/I may not be a risk factor for implant failure if the peri-implant mucosa is kept healthy, as was the case in this animal model.

  9. C-V and G-V characteristics of ion-implanted MOS structures depending upon the geometrical structure of the implanted region

    International Nuclear Information System (INIS)

    Zohta, Y.

    1977-01-01

    It is found that the capacitance-voltage (C-V) and conductance-voltage (G-V) characteristics of MOS capacitors, into which ions of the opposite conductivity type are implanted, depend strongly upon the geometrical structure of the ion-implanted region. This phenomenon can be analyzed in terms of lateral current flow which connects an inversion layer formed in the ion-implanted region to a surrounding nonimplanted substrate. On the basis of this model, the C-V and G-V characteristics are calculated using a simple equivalent circuit, and general relationships inherent in this model are obtained. MOS capacitors with an ion-implanted layer of different geometries have been prepared to measure their C-V and G-V characteristics. Comparison of experimental measurements with theory substantiates the lateral current flow model

  10. Experimental study on bone tissue reaction around HA implants radiated after implantation

    International Nuclear Information System (INIS)

    Kudo, Masato; Matsui, Yoshiro; Tamura, Sayaka; Chen, Xuan; Uchida, Haruo; Mori, Kimie; Ohno, Kohsuke; Michi, Ken-ichi

    1998-01-01

    This study was conducted to investigate histologically and histomorphometrically the tissue reaction around hydroxylapatite (HA) implants that underwent irradiation in 3 different periods in the course of bone healing after implantation. The cylindrical high-density HA implants were implanted in 48 Japanese white rabbit mandibles. A single 15 Gy dose was applied to the mandible 5, 14, or 28 days after implantation. The rabbits were sacrificed 7, 14, 28, and 90 days after irradiation. Nonirradiated rabbits were used as controls. CMR, labeling with tetracycline and calcein, and non-decalcified specimens stained with toluidine blue were used for histological analyses and histomorphometric measurements. The results were as follows: In the rabbits irradiated 5 days after implantation, the HA-bone contact was observed later than that in the controls and the bone-implant contact surface ratio was lower than that in the controls at examination because necrosis of the newly-formed bone occurred just after irradiation. HA-bone contact of the rabbits irradiated 14 and 28 days after implantation was similar to that of the controls. And, bone remodeling was suppressed in rabbits of each group sacrificed at 90 days after irradiation. The results suggested that a short interval between implantation and irradiation causes direct contact between HA implant and bone and a long lapse of time before irradiation hardly affects the bone-implant contact, but delays bone remodeling. Therefore, it is necessary to prevent overloading the HA implants irradiated after implantation and pay utmost attention to conditions around the bone-implant contact. (author)

  11. High resolution X-ray imaging of bone-implant interface by large area flat-panel detector

    International Nuclear Information System (INIS)

    Kytyr, D; Jirousek, O; Dammer, J

    2011-01-01

    The aim of the research was to investigate the cemented bone-implant interface behavior (cement layer degradation and bone-cement interface debonding) with emphasis on imaging techniques suitable to detect the early defects in the cement layer. To simulate in vivo conditions a human pelvic bone was implanted with polyurethane acetabular cup using commercial acrylic bone cement. The implanted cup was then loaded in a custom hip simulator to initiate fatigue crack propagation in the bone cement. The pelvic bone was then repetitively scanned in a micro-tomography device. Reconstructed tomography images showed failure processes that occurred in the cement layer during the first 250,000 cycles. A failure in cemented acetabular implant - debonding, crumbling and smeared cracks - has been found to be at the bone-cement interface. Use of micro-focus source and high resolution flat panel detector of large physical dimensions allowed to reconstruct the micro-structural models suitable for investigation of migration, micro-motions and consecutive loosening of the implant. The large area flat panel detector with physical dimensions 120 x 120mm with 50μm pixel size provided a superior image quality compared to clinical CT systems with 300-150μm pixel size.

  12. Spontaneous progression of ligature induced peri-implantitis at implants with different surface roughness: an experimental study in dogs

    DEFF Research Database (Denmark)

    Berglundh, T; Gotfredsen, K; Zitzmann, N U

    2007-01-01

    : The aim of the current experiment was to study the progression of peri-implantitis around implants with different surface roughness. MATERIAL AND METHODS: In five beagle dogs, three implants with either a sandblasted acid-etched surface (SLA) or a polished surface (P) were installed bilaterally......BACKGROUND: Peri-implantitis is associated with the presence of submarginal plaque, soft-tissue inflammation and advanced breakdown of the supporting bone. The progression of peri-implantitis following varying periods of continuing plaque accumulation has been studied in animal models. OBJECTIVE...... in the edentulous premolar regions. After 3 months on a plaque control regimen, experimental peri-implantitis was induced by ligature placement and plaque accumulation was allowed to progress until about 40% of the height of the supporting bone had been lost. After this 4-month period, ligatures were removed...

  13. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  14. Finite element analysis of the stress distributions in peri-implant bone in modified and standard-threaded dental implants

    Directory of Open Access Journals (Sweden)

    Serkan Dundar

    2016-01-01

    Full Text Available The aim of this study was to examine the stress distributions with three different loads in two different geometric and threaded types of dental implants by finite element analysis. For this purpose, two different implant models, Nobel Replace and Nobel Active (Nobel Biocare, Zurich, Switzerland, which are currently used in clinical cases, were constructed by using ANSYS Workbench 12.1. The stress distributions on components of the implant system under three different static loadings were analysed for the two models. The maximum stress values that occurred in all components were observed in FIII (300 N. The maximum stress values occurred in FIII (300 N when the Nobel Replace implant is used, whereas the lowest ones, in the case of FI (150 N loading in the Nobel Active implant. In all models, the maximum tensions were observed to be in the neck region of the implants. Increasing the connection between the implant and the bone surface may allow more uniform distribution of the forces of the dental implant and may protect the bone around the implant. Thus, the implant could remain in the mouth for longer periods. Variable-thread tapered implants can increase the implant and bone contact.

  15. Simulation of peri-implant bone healing due to immediate loading in dental implant treatments.

    Science.gov (United States)

    Chou, Hsuan-Yu; Müftü, Sinan

    2013-03-15

    The goal of this work was to investigate the role of immediate loading on the peri-implant bone healing in dental implant treatments. A mechano-regulatory tissue differentiation model that takes into account the stimuli through the solid and the fluid components of the healing tissue, and the diffusion of pluripotent stem cells into the healing callus was used. A two-dimensional axisymmetric model consisting of a dental implant, the healing callus tissue and the host bone tissue was constructed for the finite element analysis. Poroelastic material properties were assigned to the healing callus and the bone tissue. The effects of micro-motion, healing callus size, and implant thread design on the length of the bone-to-implant contact (BIC) and the bone volume (BV) formed in the healing callus were investigated. In general, the analysis predicted formation of a continuous layer of soft tissue along the faces of the implant which are parallel to the loading direction. This was predicted to be correlated with the high levels of distortional strain transferred through the solid component of the stimulus. It was also predicted that the external threads on the implant, redistribute the interfacial load, thus help reduce the high distortional stimulus and also help the cells to differentiate to bone tissue. In addition, the region underneath the implant apex was predicted to experience high fluid stimulus that results in the development of soft tissue. The relationship between the variables considered in this study and the outcome measures, BV and BIC, was found to be highly nonlinear. A three-way analysis of variance (ANOVA) of the results was conducted and it showed that micro-motion presents the largest hindrance to bone formation during healing. Copyright © 2013 Elsevier Ltd. All rights reserved.

  16. Early stages of oxidation of ion-implanted nickel at high temperature

    International Nuclear Information System (INIS)

    Peide, Z.; Grant, W.A.; Procter, R.P.M.

    1981-01-01

    The early stages of oxidation of nickel implanted with nickel, chromium, or lithium ions in oxygen at 1100 0 C have been studied using various electron-optical techniques. The unimplanted metal develops initially a fine-grained, convoluted scale having a ridged, cellular structure. Subsequently, the oxide grains increase in size significantly and oxidation becomes predominantly controlled by diffusion of Ni /sup 2+/ ions across a compact, columnar scale. Implantation of the surface with nickel ions has no significant effect on the initial oxidation behavior. However, after implantation with chromium or lithium ions, the development of the NiO scale is, in the early stages of oxidation, suppressed by formation of NiCr 2 O 4 or LiO 2 nodules, respectively. Subsequently, the implanted species are incorporated into the steady-state NiO scale where they dope the oxide and thus influence the diffusion rate of Ni /sup 2+/ ions through it. As would be predicted, the steady-state oxidation rate of chromium-implanted nickel is increased while that of lithium- implanted nickel is decreased compared with that of the unimplanted metal

  17. High energy metal ion implantation using 'Magis', a novel, broad-beam, Marx-generator-based ion source

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.G.; Dickinson, M.R.; MacGill, R.A.

    1996-08-01

    Ion energy of the beam formed by an ion source is proportional to extractor voltage and ion charge state. Increasing the voltage is difficult and costly for extraction voltage over 100 kV. Here we explore the possibility of increasing the charge states of metal ions to facilitate high-energy, broad beam ion implantation at a moderate voltage level. Strategies to enhance the ion charge state include operating in the regimes of high-current vacuum sparks and short pulses. Using a time-of-flight technique we have measured charge states as high as 7+ (73 kA vacuum spark discharge) and 4+ (14 kA short pulse arc discharge), both for copper, with the mean ion charge states about 6.0 and 2.5, respectively. Pulsed discharges can conveniently be driven by a modified Marx generator, allowing operation of ''Magis'' with a single power supply (at ground potential) for both plasma production and ion extraction

  18. Meta-Analysis of Correlations Between Marginal Bone Resorption and High Insertion Torque of Dental Implants.

    Science.gov (United States)

    Li, Haoyan; Liang, Yongqiang; Zheng, Qiang

    2015-01-01

    To evaluate correlations between marginal bone resorption and high insertion torque value (> 50 Ncm) of dental implants and to assess the significance of immediate and early/conventional loading of implants under a certain range torque value. Specific inclusion and exclusion criteria were used to retrieve eligible articles from Ovid, PubMed, and EBSCO up to December 2013. Screening of eligible studies, quality assessment, and data extraction were conducted in duplicate. The results were expressed as random/fixed-effects models using weighted mean differences for continuous outcomes with 95% confidence intervals. Initially, 154 articles were selected (11 from Ovid, 112 from PubMed, and 31 from EBSCO). After exclusion of duplicate articles and articles that did not meet the inclusion criteria, six clinical studies were selected. Assessment of P values revealed that correlations between marginal bone resorption and high insertion torque were not statistically significant and that there was no difference between immediately versus early/conventionally loaded implants under a certain range of torque. None of the meta-analyses revealed any statistically significant differences between high insertion torque and conventional insertion torque in terms of effects on marginal bone resorption.

  19. Improving speech perception in noise with current focusing in cochlear implant users.

    Science.gov (United States)

    Srinivasan, Arthi G; Padilla, Monica; Shannon, Robert V; Landsberger, David M

    2013-05-01

    Cochlear implant (CI) users typically have excellent speech recognition in quiet but struggle with understanding speech in noise. It is thought that broad current spread from stimulating electrodes causes adjacent electrodes to activate overlapping populations of neurons which results in interactions across adjacent channels. Current focusing has been studied as a way to reduce spread of excitation, and therefore, reduce channel interactions. In particular, partial tripolar stimulation has been shown to reduce spread of excitation relative to monopolar stimulation. However, the crucial question is whether this benefit translates to improvements in speech perception. In this study, we compared speech perception in noise with experimental monopolar and partial tripolar speech processing strategies. The two strategies were matched in terms of number of active electrodes, microphone, filterbanks, stimulation rate and loudness (although both strategies used a lower stimulation rate than typical clinical strategies). The results of this study showed a significant improvement in speech perception in noise with partial tripolar stimulation. All subjects benefited from the current focused speech processing strategy. There was a mean improvement in speech recognition threshold of 2.7 dB in a digits in noise task and a mean improvement of 3 dB in a sentences in noise task with partial tripolar stimulation relative to monopolar stimulation. Although the experimental monopolar strategy was worse than the clinical, presumably due to different microphones, frequency allocations and stimulation rates, the experimental partial-tripolar strategy, which had the same changes, showed no acute deficit relative to the clinical. Copyright © 2013 Elsevier B.V. All rights reserved.

  20. Cochlear Implants Keep Twin Sisters Learning, Discovering Together

    Science.gov (United States)

    ... Current Issue Past Issues Special Section: Focus on Communication Cochlear Implants Past Issues / Fall 2008 Table of ... in noisy environments—a critical ability in a classroom. Before the second implant, Mia was pulling back ...

  1. Bioelectric analyses of an osseointegrated intelligent implant design system for amputees.

    Science.gov (United States)

    Isaacson, Brad M; Stinstra, Jeroen G; MacLeod, Rob S; Webster, Joseph B; Beck, James P; Bloebaum, Roy D

    2009-07-15

    The projected number of American amputees is expected to rise to 3.6 million by 2050. Many of these individuals depend on artificial limbs to perform routine activities, but prosthetic suspensions using traditional socket technology can prove to be cumbersome and uncomfortable for a person with limb loss. Moreover, for those with high proximal amputations, limited residual limb length may prevent exoprosthesis attachment all together. Osseointegrated implant technology is a novel operative procedure which allows firm skeletal attachment between the host bone and an implant. Preliminary results in European amputees with osseointegrated implants have shown improved clinical outcomes by allowing direct transfer of loads to the bone-implant interface. Despite the apparent advantages of osseointegration over socket technology, the current rehabilitation procedures require long periods of restrictive load bearing prior which may be reduced with expedited skeletal attachment via electrical stimulation. The goal of the osseointegrated intelligent implant design (OIID) system is to make the implant part of an electrical system to accelerate skeletal attachment and help prevent periprosthetic infection. To determine optimal electrode size and placement, we initiated proof of concept with computational modeling of the electric fields and current densities that arise during electrical stimulation of amputee residual limbs. In order to provide insure patient safety, subjects with retrospective computed tomography scans were selected and three dimensional reconstructions were created using customized software programs to ensure anatomical accuracy (Seg3D and SCIRun) in an IRB and HIPAA approved study. These software packages supported the development of patient specific models and allowed for interactive manipulation of electrode position and size. Preliminary results indicate that electric fields and current densities can be generated at the implant interface to achieve the

  2. Consensus report - reconstructions on implants. The Third EAO Consensus Conference 2012

    DEFF Research Database (Denmark)

    Gotfredsen, Klaus; Wiskott, Anselm

    2012-01-01

    This group was assigned the task to review the current knowledge in the areas of implant connections to abutments/reconstructions, fixation methods (cement vs. screw retained) for implant-supported reconstructions, as well as the optimal number of implants for fixed dental prosthesis and implant...

  3. Low energy ion implantation and high energy heavy ion irradiation in C60 films

    International Nuclear Information System (INIS)

    Narayanan, K.L.; Yamaguchi, M.; Dharmarasu, N.; Kojima, N.; Kanjilal, D.

    2001-01-01

    C 60 films have been bombarded with low energy boron ions and high energy swift heavy ions (SHI) of silver and oxygen at different doses. Raman scattering and Fourier transform infrared (FTIR) studies were carried out on the virgin and irradiated films and the results are in good agreement with each other. The films subject to low energy boron ion implantation showed destruction of the bukky balls whereas the films subject to high energy ion irradiation did not show appreciable effects on their structure. These results indicate that C 60 films are more prone to defects by elastic collision and subsequent implantation at lower energy. Irradiation at higher energy was less effective in creating appreciable defects through electronic excitation by inelastic collisions at similar energy density

  4. A new testing protocol for zirconia dental implants.

    Science.gov (United States)

    Sanon, Clarisse; Chevalier, Jérôme; Douillard, Thierry; Cattani-Lorente, Maria; Scherrer, Susanne S; Gremillard, Laurent

    2015-01-01

    Based on the current lack of standards concerning zirconia dental implants, we aim at developing a protocol to validate their functionality and safety prior their clinical use. The protocol is designed to account for the specific brittle nature of ceramics and the specific behavior of zirconia in terms of phase transformation. Several types of zirconia dental implants with different surface textures (porous, alveolar, rough) were assessed. The implants were first characterized in their as-received state by Scanning Electron Microscopy (SEM), Focused Ion Beam (FIB), X-Ray Diffraction (XRD). Fracture tests following a method adapted from ISO 14801 were conducted to evaluate their initial mechanical properties. Accelerated aging was performed on the implants, and XRD monoclinic content measured directly at their surface instead of using polished samples as in ISO 13356. The implants were then characterized again after aging. Implants with an alveolar surface presented large defects. The protocol shows that such defects compromise the long-term mechanical properties. Implants with a porous surface exhibited sufficient strength but a significant sensitivity to aging. Even if associated to micro cracking clearly observed by FIB, aging did not decrease mechanical strength of the implants. As each dental implant company has its own process, all zirconia implants may behave differently, even if the starting powder is the same. Especially, surface modifications have a large influence on strength and aging resistance, which is not taken into account by the current standards. Protocols adapted from this work could be useful. Copyright © 2014 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  5. High-Average, High-Peak Current Injector Design

    CERN Document Server

    Biedron, S G; Virgo, M

    2005-01-01

    There is increasing interest in high-average-power (>100 kW), um-range FELs. These machines require high peak current (~1 kA), modest transverse emittance, and beam energies of ~100 MeV. High average currents (~1 A) place additional constraints on the design of the injector. We present a design for an injector intended to produce the required peak currents at the injector, eliminating the need for magnetic compression within the linac. This reduces the potential for beam quality degradation due to CSR and space charge effects within magnetic chicanes.

  6. [Magnetic resonance imaging in patients with implantable devices for treatment of disturbed heart rhythm: review of the current situation].

    Science.gov (United States)

    Sviridova, A A

    The question of the possibility of MRI scanning in patients with cardiac implantable electronic devices (CIED) appeared simultaneously with the introduction of MRI in clinical practice. A lot of in-vitro, in-vivo and clinical researches were performed to estimate wat going on with CIED in strong magnetic field and is it possible to perform some unified protocol of safe MRI-scanning for these patients. Recommendations were provided, but not for the wide practice. MRI remained strongly contraindicated for CIED patient. To meet the clinical need CIEM manufacturers changed the design of devices to made them MRI-compatible, including reducing of ferromagnetic components, additional filters, new software. Lead coil design was changed as well to minimize lead heating and electrical current induction. Now all leaders of CIED industry have in their portfolio all types of MRI-conditional implanted cardiac rhythm management devices (pacemakers, ICDs, CRTs). "Conditional" means MRI scanning can be done only under specific condition. For MRI device and lead in one system have to be from the same manufacturer. Now, if you need to implant the device, you must proceed from the fact that the patient is more likely to need an MRI in the future and choose the appropriate model, not forgetting that the electrodes should also be MRI-compatible.

  7. High PRF high current switch

    Science.gov (United States)

    Moran, Stuart L.; Hutcherson, R. Kenneth

    1990-03-27

    A triggerable, high voltage, high current, spark gap switch for use in pu power systems. The device comprises a pair of electrodes in a high pressure hydrogen environment that is triggered by introducing an arc between one electrode and a trigger pin. Unusually high repetition rates may be obtained by undervolting the switch, i.e., operating the trigger at voltages much below the self-breakdown voltage of the device.

  8. Brachytherapy optimization using radiobiological-based planning for high dose rate and permanent implants for prostate cancer treatment

    Science.gov (United States)

    Seeley, Kaelyn; Cunha, J. Adam; Hong, Tae Min

    2017-01-01

    We discuss an improvement in brachytherapy--a prostate cancer treatment method that directly places radioactive seeds inside target cancerous regions--by optimizing the current standard for delivering dose. Currently, the seeds' spatiotemporal placement is determined by optimizing the dose based on a set of physical, user-defined constraints. One particular approach is the ``inverse planning'' algorithms that allow for tightly fit isodose lines around the target volumes in order to reduce dose to the patient's organs at risk. However, these dose distributions are typically computed assuming the same biological response to radiation for different types of tissues. In our work, we consider radiobiological parameters to account for the differences in the individual sensitivities and responses to radiation for tissues surrounding the target. Among the benefits are a more accurate toxicity rate and more coverage to target regions for planning high-dose-rate treatments as well as permanent implants.

  9. Tribological studies of ion-implanted steel constituents

    International Nuclear Information System (INIS)

    Wei, Ronghau.

    1990-01-01

    Tribological properties of ion-implanted ferrite and austenite were studied systematically using a unique oscillating pin-on-disc wear tester. Results show that nitrogen implantation at elevated temperatures to high doses dramatically improves the adhesive wear resistance of ferrite and the critical load at which the adhesive wear mechanism changes from mild to severe for austenite. The wear resistance of nitrogen-implanted ferrite is determined by the nitride formed. Extremely hard solid solutions of nitrogen develop on the implanted austenite surfaces and induce three orders of magnitude reductions in wear rates. The implantation conditions that should be used to produce deep, wear-resistant layers for both steels are discussed in detail. Oscillating pin-on-disc wear tests demonstrate that nitrogen does not diffuse during the wearing process although tests conducted using conventional fixed pin-on-disc test equipment could erroneously suggest this occurs. Taken together, the results show that high-dose-rate implantation at low energies yields very-high-quality implanted surfaces at low cost

  10. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  11. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  12. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  13. TiZrNbTaMo high-entropy alloy designed for orthopedic implants: As-cast microstructure and mechanical properties.

    Science.gov (United States)

    Wang, Shao-Ping; Xu, Jian

    2017-04-01

    Combining the high-entropy alloy (HEA) concept with property requirement for orthopedic implants, we designed a Ti 20 Zr 20 Nb 20 Ta 20 Mo 20 equiatomic HEA. The arc-melted microstructures, compressive properties and potentiodynamic polarization behavior in phosphate buffer solution (PBS) were studied in detail. It was revealed that the as-cast TiZrNbTaMo HEA consisted of dual phases with bcc structure, major bcc1 and minor bcc2 phases with the lattice parameters of 0.3310nm and 0.3379nm, respectively. As confirmed by nanoindentation tests, the bcc1 phase is somewhat harder and stiffer than the bcc2 phase. The TiZrNbTaMo HEA exhibited Young's modulus of 153GPa, Vickers microhardness of 4.9GPa, compressive yield strength of σ y =1390MPa and apparent plastic strain of ε p ≈6% prior to failure. Moreover, the TiZrNbTaMo HEA manifested excellent corrosion resistance in PBS, comparable to the Ti6Al4V alloy, and pitting resistance remarkably superior to the 316L SS and CoCrMo alloys. These preliminary advantages of the TiZrNbTaMo HEA over the current orthopedic implant metals in mechanical properties and corrosion resistance offer an opportunity to explore new orthopedic-implant alloys based on the TiZrNbTaMo concentrated composition. Copyright © 2016 Elsevier B.V. All rights reserved.

  14. Does surface anodisation of titanium implants change osseointegration and make their extraction from bone any easier?

    Science.gov (United States)

    Langhoff, J D; Mayer, J; Faber, L; Kaestner, S B; Guibert, G; Zlinszky, K; Auer, J A; von Rechenberg, B

    2008-01-01

    Titanium implants have a tendency for high bone-implant bonding, and, in comparison to stainless steel implants are more difficult to remove. The current study was carried out to evaluate, i) the release strength of three selected anodized titanium surfaces with increased nanohardness and low roughness, and ii) bone-implant bonding in vivo. These modified surfaces were intended to give improved anchorage while facilitating easier removal of temporary implants. The new surfaces were referenced to a stainless steel implant and a standard titanium implant surface (TiMAX). In a sheep limb model, healing period was 3 months. Bone-implant bonding was evaluated either biomechanically or histologically. The new surface anodized screws demonstrated similar or slightly higher bone-implant-contact (BIC) and torque release forces than the titanium reference. The BIC of the stainless steel implants was significant lower than two of the anodized surfaces (p = 0.04), but differences between stainless steel and all titanium implants in torque release forces were not significant (p = 0.06). The new anodized titanium surfaces showed good bone-implant bonding despite a smooth surface and increased nanohardness. However, they failed to facilitate implant removal at 3 months.

  15. Implant materials modified by colloids

    Directory of Open Access Journals (Sweden)

    Zboromirska-Wnukiewicz Beata

    2016-03-01

    Full Text Available Recent advances in general medicine led to the development of biomaterials. Implant material should be characterized by a high biocompatibility to the tissue and appropriate functionality, i.e. to have high mechanical and electrical strength and be stable in an electrolyte environment – these are the most important properties of bioceramic materials. Considerations of biomaterials design embrace also electrical properties occurring on the implant-body fluid interface and consequently the electrokinetic potential, which can be altered by modifying the surface of the implant. In this work, the surface of the implants was modified to decrease the risk of infection by using metal colloids. Nanocolloids were obtained using different chemical and electrical methods. It was found that the colloids obtained by physical and electrical methods are more stable than colloids obtained by chemical route. In this work the surface of modified corundum implants was investigated. The implant modified by nanosilver, obtained by electrical method was selected. The in vivo research on animals was carried out. Clinical observations showed that the implants with modified surface could be applied to wounds caused by atherosclerotic skeleton, for curing the chronic and bacterial inflammations as well as for skeletal reconstruction surgery.

  16. A preliminary report on the usage of an intracorporal antibiotic cast with synthetic high purity CaSO4 for the treatment of infected penile implant.

    Science.gov (United States)

    Swords, Kelly; Martinez, Daniel R; Lockhart, Jorge L; Carrion, Rafael

    2013-04-01

    Currently, the surgical treatment of infected penile prostheses is complete removal and either immediate salvage procedure, which carries a significant infection risk, or delayed implantation. With delayed implantation the risk of infection is lower, but the patient loses penile length and width due to corporal fibrosis. We present our experience with the use of a novel temporary synthetic high purity calcium sulfate (SHPCaSO4) component that acts as a "spacer" at the time of removal of an infected prosthesis while providing constant delivery of local antibiotic elution to the infected area. Demonstrate that the use of a novel material, SHPCaSO4, can be an innovative way to bridge the gap between removal of an infected penile implant and delayed reimplantation. Two patients (Patient A and B) presented with pain and erythema and were found to have infected malleable penile prosthesis. Both underwent removal of all infected components, and sent for tissue culture. The SHPCaSO4 was mixed with vancomycin and tobramycin, allowed to set up for 5 minutes, and then injected into the corporal space followed by closure with 2-0 Vicryl sutures. The injected SHPCaSO4 was palpable in the penile shaft both proximally and distally, as an "intracorporal casts." Patients denied pain postoperatively. Delayed implantation occurred at 6 weeks for patient A. This went uneventful and a new three-piece inflatable implant was inserted. Patient B underwent salvage placement of right malleable implant at 15 weeks, and here significant corporal fibrosis was encountered. Patients have had no infection since their delayed implantation (mean follow-up 4 months). Data in reference to SHPCaSO4 shows that this product dissolves in approximately 4-6 weeks. This may account for the difference in the ease of delayed implantation between the two patients. Further investigation is warranted. © 2013 International Society for Sexual Medicine.

  17. Maximization of DRAM yield by control of surface charge and particle addition during high dose implantation

    Science.gov (United States)

    Horvath, J.; Moffatt, S.

    1991-04-01

    Ion implantation processing exposes semiconductor devices to an energetic ion beam in order to deposit dopant ions in shallow layers. In addition to this primary process, foreign materials are deposited as particles and surface films. The deposition of particles is a major cause of IC yield loss and becomes even more significant as device dimensions are decreased. Control of particle addition in a high-volume production environment requires procedures to limit beamline and endstation sources, control of particle transport, cleaning procedures and a well grounded preventative maintenance philosophy. Control of surface charge by optimization of the ion beam and electron shower conditions and measurement with a real-time charge sensor has been effective in improving the yield of NMOS and CMOS DRAMs. Control of surface voltages to a range between 0 and -20 V was correlated with good implant yield with PI9200 implanters for p + and n + source-drain implants.

  18. Plasma source ion implantation of metal ions: Synchronization of cathodic-arc plasma production and target bias pulses

    International Nuclear Information System (INIS)

    Wood, B.P.; Reass, W.A.; Henins, I.

    1995-01-01

    An erbium cathodic-arc has been installed on a Plasma Source Ion Implantation (PSII) experiment to allow the implantation of erbium metal and the growth of adherent erbia (erbium oxide) films on a variety of substrates. Operation of the PSII pulser and the cathodic-arc are synchronized to achieve pure implantation, rather than the hybrid implantation/deposition being investigated in other laboratories. The relative phase of the 20 μs PSII and cathodic-arc pulses can to adjusted to tailor the energy distribution of implanted ions and suppress the initial high-current drain on the pulse modulator. The authors present experimental data on this effect and make a comparison to results from particle-in-cell simulations

  19. Biofunctionalization of surfaces by energetic ion implantation: Review of progress on applications in implantable biomedical devices and antibody microarrays

    Science.gov (United States)

    Bilek, Marcela M. M.

    2014-08-01

    Despite major research efforts in the field of biomaterials, rejection, severe immune responses, scar tissue and poor integration continue to seriously limit the performance of today's implantable biomedical devices. Implantable biomaterials that interact with their host via an interfacial layer of active biomolecules to direct a desired cellular response to the implant would represent a major and much sought after improvement. Another, perhaps equally revolutionary, development that is on the biomedical horizon is the introduction of cost-effective microarrays for fast, highly multiplexed screening for biomarkers on cell membranes and in a variety of analyte solutions. Both of these advances will rely on effective methods of functionalizing surfaces with bioactive molecules. After a brief introduction to other methods currently available, this review will describe recently developed approaches that use energetic ions extracted from plasma to facilitate simple, one-step covalent surface immobilization of bioactive molecules. A kinetic theory model of the immobilization process by reactions with long-lived, mobile, surface-embedded radicals will be presented. The roles of surface chemistry and microstructure of the ion treated layer will be discussed. Early progress on applications of this technology to create diagnostic microarrays and to engineer bioactive surfaces for implantable biomedical devices will be reviewed.

  20. Managing high risk glaucoma with the Ahmed valve implant: 20 years of experience.

    Science.gov (United States)

    Rotsos, Tryfon; Tsioga, Anastasia; Andreanos, Konstantinos; Diagourtas, Andreas; Petrou, Petros; Georgalas, Ilias; Papaconstantinou, Dimitrios

    2018-01-01

    To estimate the efficacy and safety of the Ahmed implant in patients with high risk for failure after glaucoma surgery. In 342 eyes of 342 patients with refractory glaucoma, even with application of medical treatment, the Ahmed valve was introduced for intraocular pressure (IOP) control, in the period of the last 20y. The nature of glaucoma was neovascular in 162 eyes, pseudophakic or aphakic in 49 eyes, inflammatory in 29 eyes and non working previous antiglaucomatic surgical interventions in 102 eyes. Follow-up ranged from 18 to 120mo with a mean follow-up of 63.2mo. IOP before the operation decreased from 31.6±10.4 mm Hg to 18.3±5.4 mm Hg (no systemic treatment) at the end of follow up period. When we compared the IOP values before the operation using ANOVA showed statistically significant difference ( P glaucoma, 63.2% in aphakic glaucoma and 73.8% in non working previous antiglaucomatic surgical interventions. Complications due to the implant were: serous choroidal detachment in 14.8%, blockage of the tube in 2.8%, malposition of the tube in 4.9%, suprachoroidal hemorrhage in 2.1%, cataract progression in 39.6% (phakic eyes), shallow anterior chamber in 9.2%, hyphaema in 28.9%, exposure of valve in 2.6%, exposure of tube in 9.3%, hypotony in 4.9% and conjunctival fibrosis in 41.5%. Despite the fact that Ahmed valve implant had suchlike results as other implants concerning the IOP control, complications rate due to hypotony or over filtration in the first days after the intervention are not that frequent as with other valve implants.

  1. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  2. Two-stage implant systems.

    Science.gov (United States)

    Fritz, M E

    1999-06-01

    Since the advent of osseointegration approximately 20 years ago, there has been a great deal of scientific data developed on two-stage integrated implant systems. Although these implants were originally designed primarily for fixed prostheses in the mandibular arch, they have been used in partially dentate patients, in patients needing overdentures, and in single-tooth restorations. In addition, this implant system has been placed in extraction sites, in bone-grafted areas, and in maxillary sinus elevations. Often, the documentation of these procedures has lagged. In addition, most of the reports use survival criteria to describe results, often providing overly optimistic data. It can be said that the literature describes a true adhesion of the epithelium to the implant similar to adhesion to teeth, that two-stage implants appear to have direct contact somewhere between 50% and 70% of the implant surface, that the microbial flora of the two-stage implant system closely resembles that of the natural tooth, and that the microbiology of periodontitis appears to be closely related to peri-implantitis. In evaluations of the data from implant placement in all of the above-noted situations by means of meta-analysis, it appears that there is a strong case that two-stage dental implants are successful, usually showing a confidence interval of over 90%. It also appears that the mandibular implants are more successful than maxillary implants. Studies also show that overdenture therapy is valid, and that single-tooth implants and implants placed in partially dentate mouths have a success rate that is quite good, although not quite as high as in the fully edentulous dentition. It would also appear that the potential causes of failure in the two-stage dental implant systems are peri-implantitis, placement of implants in poor-quality bone, and improper loading of implants. There are now data addressing modifications of the implant surface to alter the percentage of

  3. Ultrastructural Modifications of Human Endometrium during the Window of Implantation

    Directory of Open Access Journals (Sweden)

    Maryam Kabir-Salmani

    2008-01-01

    Full Text Available The endometrium is a highly dynamic tissue empowered with the capacity to undergo cyclicdramatic changes in response to ovarian steroid hormones, ultimately aiming to create awindow of receptivity for blastocyst implantation. Intensive research has been performed tounderstand and establish morphological and molecular correlates of embryo implantation.However, it still remains a biological mystery particularly in the human, where ethical andmoral constraints prohibit in vivo testing and the establishment of an ideal in vitro modeling.Rodent models of embryo implantation are largely irrelevant because the process variessignificantly from that in humans. Even among primates, subtle differences exist amongspecies. For maternal preparation of implantation, the endometrial epithelium which issurprisingly hostile towards the embryo implantation, acquires functional status receptiveto blastocyst acceptance during a limited period of cycle days, termed as the ‘window ofimplantation (WOI. This review provides currently available information concernedprimarily with the various ultrastructural modifications of endometrium coordinated withinthe WOI that may signify endometrial receptivity. In the following sections, the dominantfeatures of endometrial differentiation during WOI, including transformations of luminalepithelium, endometrial glands, and stromal decidualization will be discussed from themorphological points of view.

  4. New bone formation and trabecular bone microarchitecture of highly porous tantalum compared to titanium implant threads: A pilot canine study.

    Science.gov (United States)

    Lee, Jin Whan; Wen, Hai Bo; Gubbi, Prabhu; Romanos, Georgios E

    2018-02-01

    This study evaluated new bone formation activities and trabecular bone microarchitecture within the highly porous region of Trabecular Metal™ Dental Implants (TM) and between the threads of Tapered Screw-Vent® Dental Implants (TSV) in fresh canine extraction sockets. Eight partially edentulated dogs received four implants (4.1 mmD × 13 mmL) bilaterally in mandibular fresh extraction sockets (32 TM, 32 TSV implants), and allowed to heal for 2, 4, 8, and 12 weeks. Calcein was administered to label mineralizing bone at 11 and 4 days before euthanasia for dogs undergoing all four healing periods. Biopsies taken at each time interval were examined histologically. Histomorphometric assay was conducted for 64 unstained and 64 stained slides at the region of interest (ROI) (6 mm long × 0.35 mm deep) in the midsections of the implants. Topographical and chemical analyses were also performed. Histomorphometry revealed significantly more new bone in the TM than in the TSV implants at each healing time (p = .0014, .0084, .0218, and .0251). Calcein-labeled data showed more newly mineralized bone in the TM group than in the TSV group at 2, 8, and 12 weeks (p = .045, .028, .002, respectively) but not at 4 weeks (p = .081). Histologically TM implants exhibited more bone growth and dominant new immature woven bone at an earlier time point than TSV implants. The parameters representing trabecular bone microarchitecture corroborated faster new bone formation in the TM implants when compared to the TSV implants. TM exhibited an irregular faceted topography compared to a relatively uniform microtextured surface for TSV. Chemical analysis showed peaks associated with each implant's composition material, and TSV also showed peaks reflecting the elements of the calcium phosphate blasting media. Results suggest that the healing pathway associated with the highly porous midsection of TM dental implant could enable faster and stronger secondary implant stability than

  5. High current plasma electron emitter

    International Nuclear Information System (INIS)

    Fiksel, G.; Almagri, A.F.; Craig, D.

    1995-07-01

    A high current plasma electron emitter based on a miniature plasma source has been developed. The emitting plasma is created by a pulsed high current gas discharge. The electron emission current is 1 kA at 300 V at the pulse duration of 10 ms. The prototype injector described in this paper will be used for a 20 kA electrostatic current injection experiment in the Madison Symmetric Torus (MST) reversed-field pinch. The source will be replicated in order to attain this total current requirement. The source has a simple design and has proven very reliable in operation. A high emission current, small size (3.7 cm in diameter), and low impurity generation make the source suitable for a variety of fusion and technological applications

  6. Positron annihilation studies of high dose Sb implanted silicon

    International Nuclear Information System (INIS)

    Schut, H.; Eijt, S.W.H.; Beling, C.D.; Ho, K.; Takamura, Y.

    2005-01-01

    The formation and evolution of vacancies and precipitates created by implantation of 60 keV, 2 x 10 16 cm -2 Sb + in pre-amorphized (0 0 1) Cz-Si is studied using the Doppler broadening (DB) and two-dimensional angular correlation of annihilation radiation (2D-ACAR) positron beam techniques. After implantation, samples were laser annealed (LTA) and subsequently thermal annealed at temperatures ranging from 400 to 1000 deg. C. Implantation-induced vacancy-type defects were detected up to a depth of 280 nm. After LTA, positron annihilation related to both Sb and remaining defects is observed in the first 100 nm below the surface. The deeper region only shows positron trapping at vacancy-type defects with strong reduced concentration. Complete removal is obtained after 600 deg. C anneal. At this temperature, the positron data for the upper region reveals trapping at Sb and Si sites only. With increasing annealing time (at 600 deg. C) or increasing temperature (up to 1000 deg. C) positron annihilation at Sb-sites associated with neighboring vacancies becomes apparent. Results are correlated with the observed Sb electrical deactivation above 600 deg. C, the shift from small Sb aggregates to precipitates and out-diffusion of Sb from the implantation region at higher temperatures

  7. Managing high risk glaucoma with the Ahmed valve implant: 20 years of experience

    Directory of Open Access Journals (Sweden)

    Tryfon Rotsos

    2018-02-01

    Full Text Available AIM: To estimate the efficacy and safety of the Ahmed implant in patients with high risk for failure after glaucoma surgery. METHODS: In 342 eyes of 342 patients with refractory glaucoma, even with application of medical treatment, the Ahmed valve was introduced for intraocular pressure (IOP control, in the period of the last 20y. The nature of glaucoma was neovascular in 162 eyes, pseudophakic or aphakic in 49 eyes, inflammatory in 29 eyes and non working previous antiglaucomatic surgical interventions in 102 eyes. RESULTS: Follow-up ranged from 18 to 120mo with a mean follow-up of 63.2mo. IOP before the operation decreased from 31.6±10.4 mm Hg to 18.3±5.4 mm Hg (no systemic treatment at the end of follow up period. When we compared the IOP values before the operation using ANOVA showed statistically significant difference (P<0.001. The success rate was 85.2% during the first semester, 76.8% at 12mo and 50.3% at the end of follow up period (18 to 120mo after implantation. Success rate was 25.7% in neovascular glaucoma, 63.2% in aphakic glaucoma and 73.8% in non working previous antiglaucomatic surgical interventions. Complications due to the implant were: serous choroidal detachment in 14.8%, blockage of the tube in 2.8%, malposition of the tube in 4.9%, suprachoroidal hemorrhage in 2.1%, cataract progression in 39.6% (phakic eyes, shallow anterior chamber in 9.2%, hyphaema in 28.9%, exposure of valve in 2.6%, exposure of tube in 9.3%, hypotony in 4.9% and conjunctival fibrosis in 41.5%. CONCLUSION: Despite the fact that Ahmed valve implant had suchlike results as other implants concerning the IOP control, complications rate due to hypotony or over filtration in the first days after the intervention are not that frequent as with other valve implants.

  8. Bruxism: overview of current knowledge and suggestions for dental implants planning

    NARCIS (Netherlands)

    Manfredini, D.; Bucci, M.B.; Sabattini, V.B.; Lobbezoo, F.

    2011-01-01

    Bruxism is commonly considered a detrimental motor activity, potentially causing overload of the stomatognathic structures and representing a risk factor for dental implant survival. The available literature does not provide evidence-based guidelines for the management of bruxers undergoing

  9. Cochlear Implant Spatial Selectivity with Monopolar, Bipolar and Tripolar Stimulation

    Science.gov (United States)

    Zhu, Ziyan; Tang, Qing; Zeng, Fan-Gang; Guan, Tian; Ye, Datian

    2011-01-01

    Sharp spatial selectivity is critical to auditory performance, particularly in pitch related tasks. Most contemporary cochlear implants have employed monopolar stimulation that produces broad electric fields, which presumably contribute to poor pitch and pitch-related performance by implant users. Bipolar or tripolar stimulation can generate focused electric fields but requires higher current to reach threshold and, more interestingly, has not produced any apparent improvement in cochlear implant performance. The present study addressed this dilemma by measuring psychophysical and physiological spatial selectivity with both broad and focused stimulations in the same cohort of subjects. Different current levels were adjusted by systematically measuring loudness growth for each stimulus, each stimulation mode, and in each subject. Both psychophysical and physiological measures showed that, although focused stimulation produced significantly sharper spatial tuning than monopolar stimulation, it could shift the tuning position or even split the tuning tips. The altered tuning with focused stimulation is interpreted as a result of poor electrode-to-neuron interface in the cochlea, and is suggested to be mainly responsible for the lack of consistent improvement in implant performance. A linear model could satisfactorily quantify the psychophysical and physiological data and derive the tuning width. Significant correlation was found between the individual physiological and psychophysical tuning widths, and the correlation was improved by log-linearly transforming the physiological data to predict the psychophysical data. Because the physiological measure took only one-tenth of the time of the psychophysical measure, the present model is of high clinical significance in terms of predicting and improving cochlear implant performance. PMID:22138630

  10. A comparative study of two advanced spraying techniques for the deposition of biologically active enzyme coatings onto bone-substituting implants

    International Nuclear Information System (INIS)

    Jonge, Lise T. de; Ju, J.; Leeuwenburgh, S.C.G.; Yamagata, Y.; Higuchi, T.; Wolke, J.G.C.; Inoue, K.; Jansen, J.A.

    2010-01-01

    Surface modification of implant materials with biomolecule coatings is of high importance to improve implant fixation in bone tissue. In the current study, we present two techniques for the deposition of biologically active enzyme coatings onto implant materials. The well-established thin film ElectroSpray Deposition (ESD) technique was compared with the SAW-ED technique that combines high-frequency Surface Acoustic Wave atomization with Electrostatic Deposition. By immobilizing the enzyme alkaline phosphatase (ALP) onto implant surfaces, the influence of both SAW-ED and ESD deposition parameters on ALP deposition efficiency and ALP biological activity was investigated. ALP coatings with preserved enzyme activity were deposited by means of both the SAW-ED and ESD technique. The advantages of SAW-ED over ESD include the possibility to spray highly conductive protein solutions, and the 60-times faster deposition rate. Furthermore, significantly higher deposition efficiencies were observed for the SAW-ED technique compared to ESD. Generally, it was shown that protein inactivation is highly dependent on both droplet dehydration and the applied electrical field strength. The current study shows that SAW-ED is a versatile and flexible technique for the fabrication of functionally active biomolecule coatings.

  11. Solutions to defect-related problems in implanted silicon by controlled injection of vacancies by high-energy ion irradiation

    International Nuclear Information System (INIS)

    Roth, E.G.; Holland, O.W.; Duggan, J.L.

    1999-01-01

    Amorphization and a dual implant technique have been used to manipulate residual defects that persist following implantation and post-implant thermal treatments. Residual defects can often be attributed to ion-induced defect excesses. A defect is considered to be excess when it occurs in a localized region at a concentration greater than its complement. Sources of excess defects include spatially separated Frenkel pairs, excess interstitials resulting from the implanted atoms, and sputtering. Preamorphizing prior to dopant implantation has been proposed to eliminate dopant broadening due to ion channeling as well as dopant diffusion during subsequent annealing. However, transient-enhanced diffusion (TED) of implanted boron has been observed in pre-amorphized Si. The defects driving this enhanced boron diffusion are thought to be the extended interstitial-type defects that form below the amorphous-crystalline interface during implantation. A dual implantation process was applied in an attempt to reduce or eliminate this interfacial defect band. High-energy, ion implantation is known to inject a vacancy excess in this region. Vacancies were implanted at a concentration coincident with the excess interstitials below the a-c interface to promote recombination between the two defect species. Preliminary results indicate that a critical fluence, i.e., a sufficient vacancy concentration, will eliminate the interstitial defects. The effect of the reduction or elimination of these interfacial defects upon TED of boron will be discussed. Rutherford backscattering/channeling and cross section transmission electron microscopy analyses were used to characterize the defect structure within the implanted layer. Secondary ion mass spectrometry was used to profile the dopant distributions. copyright 1999 American Institute of Physics

  12. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  13. [MR implant labelling and its use in clinical MRI practice].

    Science.gov (United States)

    Mühlenweg, M; Schaefers, G

    2015-08-01

    Before a magnetic resonance imaging (MRI) examination, implants in patients must be cleared for MR safety in order to exclude the risk of possible severe injuries and implant malfunction in an MR environment. The general contraindication for measurements of patients with implants still applies; however, in the recent past a way has been found to legally circumvent this contraindication. For this purpose special conditions are required: explicit implant identification and the original manufacturer's labelling are necessary, the required conditions for conditionally MR safe implants must be assured and a risk-benefit analysis with appropriate explanation to the patient has to be performed. This process can be very complex as the implants are often poorly documented and detailed information on the implant MR labelling is also often outdated or not easy to interpret. This article provides information about legal and normative principles of MR measurement of patients with implants. The possible physical interactions with implants will be briefly dealt with as well as possible strategies for better identification and investigation of implants and MR labelling. General approaches for minimizing the risk will be discussed using some examples. The second part deals with the content of MR implant labelling and the current test standards. Furthermore, the additional information from the operating instructions of the MR scanner that are necessary for the interpretation of the MR implant labelling, will be explained. The article concludes with an explanation of the current pattern for MR labelling of implants from the U.S. Food and Drug Administration (FDA) and an exemplary application.

  14. MR implant labelling and its use in clinical MRI practice

    International Nuclear Information System (INIS)

    Muehlenweg, M.; Schaefers, G.

    2015-01-01

    Before a magnetic resonance imaging (MRI) examination, implants in patients must be cleared for MR safety in order to exclude the risk of possible severe injuries and implant malfunction in an MR environment. The general contraindication for measurements of patients with implants still applies; however, in the recent past a way has been found to legally circumvent this contraindication. For this purpose special conditions are required: explicit implant identification and the original manufacturer's labelling are necessary, the required conditions for conditionally MR safe implants must be assured and a risk-benefit analysis with appropriate explanation to the patient has to be performed. This process can be very complex as the implants are often poorly documented and detailed information on the implant MR labelling is also often outdated or not easy to interpret. This article provides information about legal and normative principles of MR measurement of patients with implants. The possible physical interactions with implants will be briefly dealt with as well as possible strategies for better identification and investigation of implants and MR labelling. General approaches for minimizing the risk will be discussed using some examples. The second part deals with the content of MR implant labelling and the current test standards. Furthermore, the additional information from the operating instructions of the MR scanner that are necessary for the interpretation of the MR implant labelling, will be explained. The article concludes with an explanation of the current pattern for MR labelling of implants from the U.S. Food and Drug Administration (FDA) and an exemplary application. (orig.) [de

  15. Long time follow up of implant therapy and treatment of peri-implantitis.

    Science.gov (United States)

    Roos-Jansåker, Ann-Marie

    2007-01-01

    Dental implants have become an often used alternative to replace missing teeth, resulting in an increasing percentage of the adult population with implant supported prosthesis. Although favourable long-term results of implant therapy have been reported, infections occur. Until recently few reports included data on peri-implant infections, possibly underestimating this complication of implant treatment. It is possible that some infections around implants develop slowly and that with time peri-implantitis will be a common complication to implant therapy as an increasing number of patients have had their implants for a long time (>10 years). Data on treatment of peri-implant lesions are scarce leaving the clinician with limited guidance regarding choice of treatment. The aim of this thesis was to study the frequency of implant loss and presence of peri-implant lesions in a group of patients supplied with Brånemark implants 9-14 years ago, and to relate these events to patient and site specific characteristics. Moreover three surgical treatment modalities for peri-implantitis were evaluated. The thesis is based on six studies; Studies I-III included 218 patients and 1057 implants followed for 9-14 years evaluating prevalence of, and factors related to implant loss (Paper I) and prevalence of peri-implant infections and related factors (Paper I-III). Study IV is a review describing different treatment modalities of peri-implant infections. Study V is a prospective cohort study involving 36 patients and 65 implants, evaluating the use of a bone substitute with or without the use of a resorbable membrane. Study VI is a case series with 12 patients and 16 implants, evaluating a bone substitute in combination with a resorbable membrane and submerged healing. This thesis demonstrated that: After 9-14 years the survival rates of dental implants are high (95.7%). Implant loss seems to cluster within patients and are related to periodontitis evidenced as bone loss on

  16. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  17. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  18. Advanced processing of gallium nitride and gallium nitride-based devices: Ultra-high temperature annealing and implantation incorporation

    Science.gov (United States)

    Yu, Haijiang

    This dissertation is focused on three fields: ultra-high temperature annealing of GaN, activation of implanted GaN and the implantation incorporation into AlGaN/GaN HEMT processing, with an aim to increase the performance, manufacturability and reliability of AlGaN/GaN HEMTs. First, the ultra high temperature (around 1500°C) annealing of MOCVD grown GaN on sapphire has been studied, and a thermally induced threading dislocation (TD) motion and reaction are reported. Using a rapid thermal annealing (RTA) approach capable of heating 2 inch wafers to around 1500°C with 100 bar N2 over-pressure, evidence of dislocation motion was first observed in transmission electron microscopy (TEM) micrographs of both planar and patterned GaN films protected by an AIN capping layer. An associated decrease in x-ray rocking curve (XRC) full-width-half-maximum (FWHM) was also observed for both the symmetric and asymmetric scans. After annealing, the AIN capping layer remained intact, and optical measurements showed no degradation of the opto-electronic properties of the films. Then activation annealing of Si implants in MOCVD grown GaN has been studied for use in ohmic contacts. Si was implanted in semi-insulating GaN at 100 keV with doses from 5 x 1014 cm-2 to 1.5 x 1016 cm-2. Rapid thermal annealing at 1500°C with 100 bar N2 over-pressure was used for dopant activation, resulting in a minimum sheet resistance of 13.9 O/square for a dose of 7 x 1015 cm-2. Secondary ion mass spectroscopy measurements showed a post-activation broadening of the dopant concentration peak by 20 nm (at half the maximum), while X-Ray triple axis o-2theta scans indicated nearly complete implant damage recovery. Transfer length method measurements of the resistance of Ti/Al/Ni/Au contacts to activated GaN:Si (5 x 1015 cm-2 at 100 keV) indicated lowest contact resistances of 0.07 Omm and 0.02 Omm for as-deposited and subsequently annealed contacts, respectively. Finally, the incorporation of Si implantation

  19. Short Implants: New Horizon in Implant Dentistry.

    Science.gov (United States)

    Jain, Neha; Gulati, Manisha; Garg, Meenu; Pathak, Chetan

    2016-09-01

    The choice of implant length is an essential factor in deciding the survival rates of these implants and the overall success of the prosthesis. Placing an implant in the posterior part of the maxilla and mandible has always been very critical due to poor bone quality and quantity. Long implants can be placed in association with complex surgical procedures such as sinus lift and bone augmentation. These techniques are associated with higher cost, increased treatment time and greater morbidity. Hence, there is need for a less invasive treatment option in areas of poor bone quantity and quality. Data related to survival rates of short implants, their design and prosthetic considerations has been compiled and structured in this manuscript with emphasis on the indications, advantages of short implants and critical biomechanical factors to be taken into consideration when choosing to place them. Studies have shown that comparable success rates can be achieved with short implants as those with long implants by decreasing the lateral forces to the prosthesis, eliminating cantilevers, increasing implant surface area and improving implant to abutment connection. Short implants can be considered as an effective treatment alternative in resorbed ridges. Short implants can be considered as a viable treatment option in atrophic ridge cases in order to avoid complex surgical procedures required to place long implants. With improvement in the implant surface geometry and surface texture, there is an increase in the bone implant contact area which provides a good primary stability during osseo-integration.

  20. Novel method for fabrication of integrated resistors on bilayer Ag/YBa2Cu3O7 films using Ni implantation

    International Nuclear Information System (INIS)

    LaGraff, J.R.; Chan, H.; Murduck, J.M.; Hong, S.H.; Ma, Q.Y.

    1997-01-01

    A novel ion implantation method is described for fabricating low inductance integrated resistors on Ag/YBa 2 Cu 3 O 7 (YBCO) bilayer thin films. Parallel high and low value resistors were simultaneously formed by patterning bilayer films into 10-μm-wide lines, then masking and implanting with Ni to selectively inhibit superconductivity in YBCO. Low value resistors (<1Ω/sq) were formed at 77 K as the supercurrent bypassed the Ni-doped nonsuperconducting YBCO and was shunted through the overlying low resistivity Ag metal. High value resistors (20 - 140 Ω/sq) were formed by removing Ag from above the implanted YBCO forcing the current through the implanted YBCO region. The sheet resistance of both types of resistors was found to increase systematically with increasing Ni implant energy. copyright 1997 American Institute of Physics

  1. 0ocyte Related Factors and Chance of Implantation

    Directory of Open Access Journals (Sweden)

    Tahereh Madani

    2007-01-01

    Full Text Available Background: In IVF/ICSI treatment, several attempts have been made to quantify the implantation potential of embryos in order to optimize the pregnancy rate. The objective was to determine the possible factors which might have positive impact on implantation.Materials and Methods: We retrospectively analyzed 110 IVF- ICSI cycles as first trial for ART programs. Maternal and ovulation factors such as female age, body mass index, type of infertility, infertility diagnosis, duration of ovulation stimulation, numbers of aspirated and fertilized oocytes, day of ET, were compared in high (≥2 gestational sacs and low implantation groups (one or no sac. All analyses were adjusted for age and PCO subgroups in line with the design of the study.Results: Our results showed that there were significant differences in follicle size between high and low implantation groups (19.32±0.37 vs. 18.07±0.32 respectively (p= 0.014. Mean number of grade (V oocytes was also statistically greater in high implantation group (p=0.035. Our results were also compared based on age and PCO diagnosis. Women younger than 35 years old in high implantation group had higher number of grade (V oocytes than the other group (p=0.038. Assessing our results based on PCO diagnosis, we found that the number of oocytes grade (IV were significantly higher in high implantation group with non PCOs diagnosis (4.82±2.87 vs. 4.25±3.6 (p=0.043. Non PCO women in low implantation group had also greater number of grade II oocytes compared to the other group (p=0.017. The mean follicle size was significantly greater in high implantation group than the other group (19.32±2.17 versus 18.07±1.76 (p=0.014.Conclusion: Follicle size, and oocyte quality have positive effect on high implantation potential.

  2. Radiation control in the intensive care unit for high intensity iridium-192 brain implants

    International Nuclear Information System (INIS)

    Sewchand, W.; Drzymala, R.E.; Amin, P.P.; Salcman, M.; Salazar, O.M.

    1987-01-01

    A bedside lead cubicle was designed to minimize the radiation exposure of intensive care unit staff during routine interstitial brain irradiation by removable, high intensity iridium-192. The cubicle shields the patient without restricting intensive care routines. The design specifications were confirmed by exposure measurements around the shield with an implanted anthropomorphic phantom simulating the patient situation. The cubicle reduces the exposure rate around an implant patient by as much as 90%, with the exposure level not exceeding 0.1 mR/hour/mg of radium-equivalent 192 Ir. Evaluation of data accumulated for the past 3 years has shown that the exposure levels of individual attending nurses are 0.12 to 0.36 mR/mg of radium-equivalent 192 Ir per 12-hour shift. The corresponding range for entire nursing teams varies between 0.18 and 0.26. A radiation control index (exposure per mg of radium-equivalent 192 Ir per nurse-hour) is thus defined for individual nurses and nursing teams; this index is a significant guide to the planning of nurse rotations for brain implant patients with various 192 Ir loads. The bedside shield reduces exposure from 192 Ir implants by a factor of about 20, as expected, and the exposure from the lower energy radioisotope iodine-125 is barely detectable

  3. Composite fibrous glaucoma drainage implant

    Science.gov (United States)

    Klapstova, A.; Horakova, J.; Shynkarenko, A.; Lukas, D.

    2017-10-01

    Glaucoma is a frequent reason of loss vision. It is usually caused by increased intraocular pressure leading to damage of optic nerve head. This work deals with the development of fibrous structure suitable for glaucoma drainage implants (GDI). Commercially produced metallic glaucoma implants are very effective in lowering intraocular pressure. However, these implants may cause adverse events such as damage to adjacent tissue, fibrosis, hypotony or many others [1]. The aim of this study is to reduce undesirable properties of currently produced drains and improve their properties by creating of the composite fibrous drain for achieve a normal intraocular pressure. Two types of electrospinning technologies were used for the production of very small tubular implants. First type was focused for production of outer part of tubular drain and the second type of electrospinning method made the inner part of shape follows the connections of both parts. Complete implant had a special properties suitable for drainage of fluid. Morphological parameters, liquid transport tests and in-vitro cell adhesion tests were detected.

  4. Annealing Behavior of Al-Implantation-Induced Disorder in 4H-SiC

    International Nuclear Information System (INIS)

    Zhang, Yanwen; Weber, William J.; Jiang, Weilin; Shutthanandan, V.; Thevuthasan, Suntharampillai; Janson, Martin; Hallen, Anders

    2004-01-01

    Single crystal 4H-SiC films were implanted at 150 K with 1.1 MeV Al 2 2+ and subsequently annealed at elevated temperatures. Rutherford backscattering spectrometry (RBS) results indicate that the relative Si disorder at the damage peak recovers significantly as the annealing temperature increases. However, the residual Si disorder is more resistant to high-temperature annealing in the region of the implanted Al. The maximum concentration of Al profile measured by secondary ion mass spectroscopy (SIMS) is a factor of 1000 lower than the level of the residual Si disorder at the same region. Analysis of these results indicates that the excess residual Si disorder around the implanted Al projected range cannot be accounted for by just the Al interstitials; instead, it appears that each implanted Al stabilizes or inhibits recovery for an equivalent of a few hundred Si interstitials under the current experimental conditions

  5. Influence of Palatal Coverage and Implant Distribution on Implant Strain in Maxillary Implant Overdentures.

    Science.gov (United States)

    Takahashi, Toshihito; Gonda, Tomoya; Mizuno, Yoko; Fujinami, Yozo; Maeda, Yoshinobu

    2016-01-01

    Maxillary implant overdentures are often used in clinical practice. However, there is no agreement or established guidelines regarding prosthetic design or optimal implant placement configuration. The purpose of this study was to examine the influence of palatal coverage and implant number and distribution in relation to impact strain under maxillary implant overdentures. A maxillary edentulous model with implants and experimental overdentures with and without palatal coverage was fabricated. Four strain gauges were attached to each implant, and they were positioned in the anterior, premolar, and molar areas. A vertical occlusal load of 98 N was applied through a mandibular complete denture, and the implant strains were compared using one-way analysis of variance (P = .05). The palatolabial strain was much higher on anterior implants than on other implants in both denture types. Although there was no significant difference between the strain under dentures with and without palatal coverage, palateless dentures tended to result in higher implant strain than dentures with palatal coverage. Dentures supported by only two implants registered higher strain than those supported by four or six implants. Implants under palateless dentures registered higher strain than those under dentures with palatal coverage. Anterior implants exhibited higher palatolabial strain than other implants regardless of palatal coverage and implant configuration; it is therefore recommended that maxillary implant overdentures should be supported by six implants with support extending to the distal end of the arch.

  6. Current steering and current focusing in cochlear implants: comparison of monopolar, tripolar, and virtual channel electrode configurations.

    NARCIS (Netherlands)

    Berenstein, C.K.; Mens, L.H.M.; Mulder, J.J.S.; Vanpoucke, F.J.

    2008-01-01

    OBJECTIVES: To compare the effects of Monopole (Mono), Tripole (Tri), and "Virtual channel" (Vchan) electrode configurations on spectral resolution and speech perception in a crossover design. DESIGN: Nine experienced adults who received an Advanced Bionics CII/90K cochlear implant participated in a

  7. PEEK with Reinforced Materials and Modifications for Dental Implant Applications

    Directory of Open Access Journals (Sweden)

    Fitria Rahmitasari

    2017-12-01

    Full Text Available Polyetheretherketone (PEEK is a semi-crystalline linear polycyclic thermoplastic that has been proposed as a substitute for metals in biomaterials. PEEK can also be applied to dental implant materials as a superstructure, implant abutment, or implant body. This article summarizes the current research on PEEK applications in dental implants, especially for the improvement of PEEK surface and body modifications. Although various benchmark reports on the reinforcement and surface modifications of PEEK are available, few clinical trials using PEEK for dental implant bodies have been published. Controlled clinical trials, especially for the use of PEEK in implant abutment and implant bodies, are necessary.

  8. Clinical, Radiographic and Microbiological Evaluation of High Level Laser Therapy, a New Photodynamic Therapy Protocol, in Peri-Implantitis Treatment; a Pilot Experience

    Directory of Open Access Journals (Sweden)

    Gianluigi Caccianiga

    2016-01-01

    Full Text Available Aim. Endosseous implants are widely used to replace missing teeth but mucositis and peri-implantitis are the most frequent long-term complications related with dental implants. Removing all bacterial deposits on contaminated implant surface is very difficult due to implant surface morphology. The aim of this study was to evaluate the bactericidal potential of photodynamic therapy by using a new high level laser irradiation protocol associated with hydrogen peroxide in peri-implantitis. Materials and Methods. 10 patients affected by peri-implantitis were selected for this study. Medical history, photographic documentation, periodontal examination, and periapical radiographs were collected at baseline and 6 months after surgery. Microbiological analysis was performed with PCR Real Time. Each patient underwent nonsurgical periodontal therapy and surgery combined with photodynamic therapy according to High Level Laser Therapy protocol. Results. All peri-implant pockets were treated successfully, without having any complication and not showing significant differences in results. All clinical parameters showed an improvement, with a decrease of Plaque Index (average decrease of 65%, range 23–86%, bleeding on probing (average decrease of 66%, range 26–80%, and probing depth (average decrease of 1,6 mm, range 0,46–2,6 mm. Periapical radiographs at 6 months after surgery showed a complete radiographic filling of peri-implant defect around implants treated. Results showed a decrease of total bacterial count and of all bacterial species, except for Eikenella corrodens, 6 months after surgery. Conclusion. Photodynamic therapy using HLLT appears to be a good adjunct to surgical treatment of peri-implantitis.

  9. Mechanical verification of soft-tissue attachment on bioactive glasses and titanium implants.

    Science.gov (United States)

    Zhao, Desheng; Moritz, Niko; Vedel, Erik; Hupa, Leena; Aro, Hannu T

    2008-07-01

    Soft-tissue attachment is a desired feature of many clinical biomaterials. The aim of the current study was to design a suitable experimental method for tensile testing of implant incorporation with soft-tissues. Conical implants were made of three compositions of bioactive glass (SiO(2)-P(2)O(5)-B(2)O(3)-Na(2)O-K(2)O-CaO-MgO) or titanium fiber mesh (porosity 84.7%). The implants were surgically inserted into the dorsal subcutaneous soft-tissue or back muscles in the rat. Soft-tissue attachment was evaluated by pull-out testing using a custom-made jig 8 weeks after implantation. Titanium fiber mesh implants had developed a relatively high pull-out force in subcutaneous tissue (12.33+/-5.29 N, mean+/-SD) and also measurable attachment with muscle tissue (2.46+/-1.33 N). The bioactive glass implants failed to show mechanically relevant soft-tissue bonding. The experimental set-up of mechanical testing seems to be feasible for verification studies of soft-tissue attachment. The inexpensive small animal model is beneficial for large-scale in vivo screening of new biomaterials.

  10. Simulation of in-plane distribution of beam irradiation amount in ion implantation

    International Nuclear Information System (INIS)

    Sone, Yuki; Sato, Masataka; Yamamoto, Yasuhiro

    1994-01-01

    In the ion implantation process which is one of the important technologies for making devices, the good controllability and the implantation in a short time aiming at high through put have been demanded. Therefore, the increase of current in implantation beam is planned, but such short time implantation is to worsen the uniformity of dose in wafer plane. The method of quantitatively determining this in-plane uniformity by computer simulation has been established, therefore, it is reported. In the simulation, the method of beam scan was made into raster scan, and the in-plane uniformity of dose was determined when the time of implantation, the with of overscan, and the band width of beam scanning waveform were taken as the parameters. As the result, in the case of assuming the scan waveform being ideal triangular wave, under the supposed condition, by taking the time of implantation as longer than 30s, the in-plane uniformity within 1% was able to be attained. It was found that the scanning device having 175 kHz band must be used for the above conditions. The simulation and as the results, the relation of the time of implantation with the in-plane uniformity, the scanning waveform and the in-plane uniformity and so on are reported. (K.I.)

  11. Determining factors for implant referral rates.

    Science.gov (United States)

    Levin, Roger P

    2002-01-01

    The research findings indicate that the field of implant dentistry will only grow at a moderately low level unless certain changes are made. Findings indicated that the effort by the implant companies has been nothing short of dramatic, and yet almost 60% of restorative doctors do not participate annually in any implant case. There was no clear indication that younger restorative doctors will significantly increase the number of implant referrals, as their overall implant education has not dramatically differed from those dentists who graduated in earlier years. Once the research was completed, it became obvious to Levin Group that the driving force behind implant referral growth will be implant surgeons, because of their one-to-one relationship with restorative doctors. The Levin Group Implant Management and Marketing Consulting Program is based on approaching restorative doctors in several different levels, starting with awareness all the way through to case facilitation and long-term tracking and communication. Finally, a continuing marketing/education effort needs to be consistently in place with effective materials, not only to create a high level of awareness, but also to motivate restorative doctors to refer cases and then work through the case with the implant surgeon to a satisfactory completion for the restorative doctor, implant surgeon, and patient. While the surgical insertion of implants may seem to carry a high-profit margin relative to the restoration of implants, the truth is that the restoration of implants usually provides a 40% higher profit margin for the restorative doctor than traditional dental services. One of the key issues is that referring doctors have not necessarily learned how to set fees and present cases with regard to implant dentistry. The key factor here is to ensure that the patient understands that implant services involve higher fees than traditional services, because of the necessarily higher levels of experience, education

  12. In vitro synchrotron-based radiography of micro-gap formation at the implant-abutment interface of two-piece dental implants.

    Science.gov (United States)

    Rack, A; Rack, T; Stiller, M; Riesemeier, H; Zabler, S; Nelson, K

    2010-03-01

    Micro-gap formation at the implant-abutment interface of two-piece dental implants was investigated in vitro using high-resolution radiography in combination with hard X-ray synchrotron radiation. Images were taken with the specimen under different mechanical loads of up to 100 N. The aim of this investigation was to prove the existence of micro-gaps for implants with conical connections as well as to study the mechanical behavior of the mating zone of conical implants during loading. Synchrotron-based radiography in comparison with classical laboratory radiography yields high spatial resolution in combination with high contrast even when exploiting micro-sized features in highly attenuating objects. The first illustration of a micro-gap which was previously indistinguishable by laboratory methods underlines that the complex micro-mechanical behavior of implants requires further in vitro investigations where synchrotron-based micro-imaging is one of the prerequisites.

  13. Successful Rehabilitation of Partial Edentulous Maxilla and Mandible with New Type of Implants: Molecular Precision Implants

    Directory of Open Access Journals (Sweden)

    Matteo Danza

    2014-01-01

    Full Text Available The extraction of teeth results in rapid bone resorption both vertically and horizontally in the first month. The loss of alveolar ridge reduces the chance of implant rehabilitation. Atraumatic extraction, implant placement in extraction socket, and an immediate prosthesis have been proposed as alternative therapies to maintain the volume and contours tissue and reduce time and cost of treatment. The immediate load of implants is a universally practiced procedure; nevertheless a successful procedure requires expertise in both the clinical and the reconstructive stages using a solid implant system. Excellent primary stability and high bone-implant contact are only minimal requirements for any type of implant procedure. In this paper we present a case report using a new type of implants. The new type of implants, due to its sophisticated control system of production, provides to the implantologist a safe and reliable implant, with a macromorphology designed to ensure a close contact with the surrounding bone.

  14. Effect of ion implantation on the corrosion behavior of lead and a lead-antimony alloy

    International Nuclear Information System (INIS)

    Zhang, S.T.; Kong, F.P.; Muller, R.H.

    1994-01-01

    Ion implantation of different metals in Pb and Pb-4% Sb has been found to improve the open-circuit corrosion resistance of the two metals in 5M H 2 SO 4 . Titanium ions were implanted under different conditions of ion dose and ion energy. Optimum implantation conditions resulted in an up to 72-fold reduction of corrosion currents. The implantation of V, Cr, Ni, and W has been investigated for one implantation condition and has also resulted in decreased corrosion currents. The corrosion behavior was characterized by the current response to small anodic potential steps. Surface analysis and depth profiles have shown the importance of the spatial distribution of the implanted ions for their effects on the anodic and cathodic parts of the corrosion reactions

  15. Porous metal for orthopedics implants

    OpenAIRE

    Matassi, Fabrizio; Botti, Alessandra; Sirleo, Luigi; Carulli, Christian; Innocenti, Massimo

    2013-01-01

    Porous metal has been introduced to obtain biological fixation and improve longevity of orthopedic implants. The new generation of porous metal has intriguing characteristics that allows bone healing and high osteointegration of the metallic implants. This article gives an overview about biomaterials properties of the contemporary class of highly porous metals and about the clinical use in orthopaedic surgery.

  16. High fluence effects on ion implantation stopping and range

    International Nuclear Information System (INIS)

    Selvi, S.; Tek, Z.; Oeztarhan, A.; Akbas, N.; Brown, I.G.

    2005-01-01

    We have developed a code STOPPO which can be used to modify the more-widely used ion implantation codes to more accurately predict the mean nuclear and electronic stopping power, preferential sputtering and range of heavy ions in monatomic target materials. In our simulations an effective atomic number and effective atomic mass are introduced into conveniently available analytical stopping cross-sections and a better fitting function for preferential sputtering yield is carefully evaluated for each ion implantation. The accuracy of the code confirmed experimentally by comparison with measured Rutherford backscattering spectrometry (RBS) concentration profiles for 130 keV Zr ions implanted into Be to fluences of 1 x 10 17 , 2 x 10 17 and 4 x 10 17 ions/cm 2 . We find a steady increase in the mean nuclear and electronic stopping powers of the target; the increase in nuclear stopping power is much greater than the increase in electronic stopping power

  17. [Cochlear implant in children: rational, indications and cost/efficacy].

    Science.gov (United States)

    Martini, A; Bovo, R; Trevisi, P; Forli, F; Berrettini, S

    2013-06-01

    A cochlear implant (CI) is a partially implanted electronic device that can help to provide a sense of sound and support speech to severely to profoundly hearing impaired patients. It is constituted by an external portion, that usually sits behind the ear and an internal portion surgically placed under the skin. The external components include a microphone connected to a speech processor that selects and arranges sounds pucked up by the microphone. This is connected to a transmitter coil, worn on the side of the head, which transmits data to an internal receiver coil placed under the skin. The received data are delivered to an array of electrodes that are surgically implanted within the cochlea. The primary neural targets of the electrodes are the spiral ganglion cells which innervate fibers of the auditory nerve. When the electrodes are activated by the signal, they send a current along the auditory nerve and auditory pathways to the auditory cortex. Children and adults who are profoundly or severely hearing impaired can be fitted with cochlear implants. According to the Food and Drug Administration, approximately 188,000 people worldwide have received implants. In Italy it is extimated that there are about 6-7000 implanted patients, with an average of 700 CI surgeries per year. Cochlear implantation, followed by intensive postimplantation speech therapy, can help young children to acquire speech, language, and social skills. Early implantation provides exposure to sounds that can be helpful during the critical period when children learn speech and language skills. In 2000, the Food and Drug Administration lowered the age of eligibility to 12 months for one type of CI. With regard to the results after cochlear implantation in relation to early implantation, better linguistic results are reported in children implanted before 12 months of life, even if no sufficient data exist regarding the relation between this advantage and the duration of implant use and how long

  18. Effects of high energy nitrogen implantation on stainless steel microstructure

    Science.gov (United States)

    Pelletier, H.; Mille, P.; Cornet, A.; Grob, J. J.; Stoquert, J. P.; Muller, D.

    1999-01-01

    Low energy ion implantation is known to improve chemical and mechanical surface properties of metals. This treatment is often used to enhance wear and corrosion resistance or mechanical life-time of fatigue test of stainless steel or titanium alloys. The aim of this work is to investigate these effects at higher energy, for which deeper (and still not well understood) modifications occur. High fluence (10 18 cm -2) 15N and 14N implantations at 1 MeV have been performed in the 316LL stainless steel and some specimen have been annealed in the 200-500°C temperature range. Nitrogen concentration distribution, structure, morphology and microhardness have been examined with Nuclear Resonance Analysis, Grazing Incidence X-Ray Diffraction and Nanoindentation, respectively. Precipitates of steel and chromium nitride phases and a superficial martensitic transformation can be observed, leading to a significant increase of hardness. The best result is obtained after one hour annealing at 425°C, due to a larger and more homogeneous repartition of nitride species. In this case, a near surface accumulation is observed and explained in terms of diffusion and precipitation mechanisms.

  19. Expressive Language Development in 45 Cochlear Implanted Children Following 2 Years of Implantation

    Directory of Open Access Journals (Sweden)

    Seyed Basir Hashemi

    2011-10-01

    Full Text Available Objectives: Profound hearing loss encounters children with delay in speech and language. As it is known language acquisition in young deaf children is a lengthy process, but cochlear implanted children have better spoken language skills than if they had not received the device. According to the importance of cochlear implant in deaf child's language development, this study evaluates the effect of different variables on child's language performance. Methods: 45 cochlear implanted children were tested, all of whom had used the device for at least 2 years. In order to evaluate the children, the NEWSHA test which is fitted for Persian speaking children was performed and language development of the children was compared through stepwise discriminant analysis. Results: After evaluation of the effect of different variables like child's age of implantation, participating in rehabilitation classes, parent's cooperation and their level of education, we came to a conclusion that the child's age of implantation and rehabilitation program significantly develop the child's language performance. Discussion: The value of cochlear implant in improvement of deaf children in speech, language perception, production and comprehension is confirmed by different studies which have been done on cochlear implanted children. Also, the present study indicates that language development in cochlear implanted children is highly related to their age of implantation and rehabilitation program.

  20. Characterization of high-current, high-temperature superconductor current lead elements

    International Nuclear Information System (INIS)

    Niemann, R.C.; Evans, D.J.; Fisher, B.L.; Brockenborough, W.E.; Roberts, P.R.; Rodenbush, A.J.

    1996-08-01

    The refrigeration loads of current leads for superconducting magnets can be significantly reduced by using high-temperature superconductor (HTS) leads. An HTS conductor type that is well suited for this application is a laminated sintered stack of HTS powder-in-tube (PIT) tapes. The superconducting elements are normally characterized by their manufacturer by measuring critical currents at 77 K in self field. Additional characterization, which correlates electrical performance at 77 K and at lower temperatures with applied magnetic fields, provides the current lead designer and conductor element manufacturer with critical information. For HTS conductor elements comprising a laminated and sintered stack of Bi-2223 PIT tapes having an alloyed Ag sheath, this characterization uses variable applied fields and operating temperatures

  1. Low permanent pacemaker rates following Lotus device implantation for transcatheter aortic valve replacement due to modified implantation protocol.

    Science.gov (United States)

    Krackhardt, Florian; Kherad, Behrouz; Krisper, Maximilian; Pieske, Burkert; Laule, Michael; Tschöpe, Carsten

    2017-01-01

    Conduction disturbances requiring permanent pacemaker implantation following transcatheter aortic valve replacement (TAVR) are a common problem. Pacemaker implantation rates after TAVR appear to be higher compared to conventional aortic valve replacement. The aim of this study was to analyze whether a high annulus implantation conveys the benefit of a decreased rate of permanent pacemaker implantation while being safe and successful according to Valve Academic Research Consortium 2 (VARC2)-criteria. A total of 23 patients with symptomatic severe aortic valve stenosis, an aortic annulus of 19-27 mm and at high risk for surgery were treated with the Lotus valve. In all patients the valve was implanted in a high annulus position via femoral access. The primary device performance endpoint was VARC2-defined device success after 30 days and the primary safety endpoint was the need for permanent pacemaker implantation. The mean age was 73.23 ± 7.65 years, 46% were female, 38% were New York Heart Association class III/IV at baseline. Thirty-day follow-up data were available for all patients. The VARC2-defined device success rate after 30 days was 22/23 (96%). 2/21 (10%) patients required a newly implanted pacemaker due to 3rd degree atrioventricular block. 25% of the patients developed a new left bundle branch block after valvuloplasty or device implantation. 21 of the 23 patients (96%) had no other signs of conduction disturbances after 30 days. The approach of the modified implantation technique of Lotus TAVR device was safe and effective. The incidence of need for a permanent pacemaker following TAVR could be significantly reduced due to adopted implantation protocol.

  2. Piezoelectric ceramic implants: in vivo results.

    Science.gov (United States)

    Park, J B; Kelly, B J; Kenner, G H; von Recum, A F; Grether, M F; Coffeen, W W

    1981-01-01

    The suitability of barium titanate (BaTiO3) ceramic for direct substitution of hard tissues was evaluated using both electrically stimulated (piezoelectric) and inactive (nonpolarized) test implants. Textured cylindrical specimens, half of them made piezoelectric by polarization in a high electric field, were implanted into the cortex of the midshaft region of the femora of dogs for various periods of time. Interfacial healing and bio-compatibility of the implant material were studied using mechanical, microradiographical, and histological techniques. Our results indicate that barium titanate ceramic shows a very high degree of biocompatibility as evidenced by the absence of inflammatory or foreign body reactions at the implant-tissue interface. Furthermore, the material and its surface porosity allowed a high degree of bone ingrowth as evidenced by microradiography and a high degree of interfacial tensile strength. No difference was found between the piezoelectric and the electrically neutral implant-tissue interfaces. Possible reasons for this are discussed. The excellent mechanical properties of barium titanate, its superior biocompatibility, and the ability of bone to form a strong mechanical interfacial bond with it, makes this material a new candidate for further tests for hard tissue replacement.

  3. Annealing behaviour of high-dose rare-gas implantations into silicon

    International Nuclear Information System (INIS)

    Williams, J.S.; Grant, W.A.

    1976-01-01

    The annealing behaviour of 13 -10 17 ions/cm 2 is investigated by RBS and channelling techniques. There appears to be a strong correlation between the degree and nature of the post-anneal (above 650 0 C) remnant Si disorder, the implanted gas concentration and subsequent out-diffusion of the gas species. During the out-diffusion process a fraction of the gas (always less than 10 15 cm -2 ) remains trapped at, or beyond, the ion range and some of the diffusing gas becomes trapped near the target surface; for implantation doses below 10 15 cm -2 , no out-diffusion is observed up to 850 0 C. This behaviour is discussed in terms of the defect structure of the recrystallized implant layer. (author)

  4. Unconstrained tripolar implants for primary total hip arthroplasty in patients at risk for dislocation.

    Science.gov (United States)

    Guyen, Olivier; Pibarot, Vincent; Vaz, Gualter; Chevillotte, Christophe; Carret, Jean-Paul; Bejui-Hugues, Jacques

    2007-09-01

    We performed a retrospective study on 167 primary total hip arthroplasty (THA) procedures in 163 patients at high risk for instability to assess the reliability of unconstrained tripolar implants (press-fit outer metal shell articulating a bipolar polyethylene component) in preventing dislocations. Eighty-four percent of the patients had at least 2 risk factors for dislocation. The mean follow-up length was 40.2 months. No dislocation was observed. Harris hip scores improved significantly. Six hips were revised, and no aseptic loosening of the cup was observed. The tripolar implant was extremely successful in achieving stability. However, because of the current lack of data documenting polyethylene wear at additional bearing, the routine use of tripolar implants in primary THA is discouraged and should be considered at the present time only for selected patients at high risk for dislocation and with limited activities.

  5. Cochlear-implant spatial selectivity with monopolar, bipolar and tripolar stimulation.

    Science.gov (United States)

    Zhu, Ziyan; Tang, Qing; Zeng, Fan-Gang; Guan, Tian; Ye, Datian

    2012-01-01

    Sharp spatial selectivity is critical to auditory performance, particularly in pitch-related tasks. Most contemporary cochlear implants have employed monopolar stimulation that produces broad electric fields, which presumably contribute to poor pitch and pitch-related performance by implant users. Bipolar or tripolar stimulation can generate focused electric fields but requires higher current to reach threshold and, more interestingly, has not produced any apparent improvement in cochlear-implant performance. The present study addressed this dilemma by measuring psychophysical and physiological spatial selectivity with both broad and focused stimulations in the same cohort of subjects. Different current levels were adjusted by systematically measuring loudness growth for each stimulus, each stimulation mode, and in each subject. Both psychophysical and physiological measures showed that, although focused stimulation produced significantly sharper spatial tuning than monopolar stimulation, it could shift the tuning position or even split the tuning tips. The altered tuning with focused stimulation is interpreted as a result of poor electrode-to-neuron interface in the cochlea, and is suggested to be mainly responsible for the lack of consistent improvement in implant performance. A linear model could satisfactorily quantify the psychophysical and physiological data and derive the tuning width. Significant correlation was found between the individual physiological and psychophysical tuning widths, and the correlation was improved by log-linearly transforming the physiological data to predict the psychophysical data. Because the physiological measure took only one-tenth of the time of the psychophysical measure, the present model is of high clinical significance in terms of predicting and improving cochlear-implant performance. Copyright © 2011 Elsevier B.V. All rights reserved.

  6. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  7. Implantation doping of GaN

    International Nuclear Information System (INIS)

    Zolper, J.C.

    1996-01-01

    Ion implantation has played an enabling role in the realization of many high performance photonic and electronic devices in mature semiconductor materials systems such as Si and GaAs. This can also be expected to be the case in III-Nitride based devices as the material quality continues to improve. This paper reviews the progress in ion implantation processing of the III-Nitride materials, namely, GaN, AlN, InN and their alloys. Details are presented of the successful demonstrations of implant isolation as well as n- and p-type implantation doping of GaN. Implant doping has required activation annealing at temperatures in excess of 1,000 C. The nature of the implantation induced damage and its response to annealing is addressed using Rutherford Backscattering. Finally, results are given for the first demonstration of a GaN device fabricated using ion implantation doping, a GaN junction field effect transistor (JFET)

  8. Cochlear Implantation and Single-sided Deafness: A Systematic Review of the Literature

    Directory of Open Access Journals (Sweden)

    Cabral Junior, Francisco

    2015-07-01

    Full Text Available Introduction Current data show that binaural hearing is superior to unilateral hearing, specifically in the understanding of speech in noisy environments. Furthermore, unilateral hearing reduce onés ability to localize sound. Objectives This study provides a systematic review of recent studies to evaluate the outcomes of cochlear implantation in patients with single-sided deafness (SSD with regards to speech discrimination, sound localization and tinnitus suppression. Data Synthesis We performed a search in the PubMed, Cochrane Library and Lilacs databases to assess studies related to cochlear implantation in patients with unilateral deafness. After critical appraisal, eleven studies were selected for data extraction and analysis of demographic, study design and outcome data. Conclusion Although some studies have shown encouraging results on cochlear implantation and SSD, all fail to provide a high level of evidence. Larger studies are necessary to define the tangible benefits of cochlear implantation in patients with SSD.

  9. Martensitic transformation in helium implanted 316 stainless steel

    International Nuclear Information System (INIS)

    Ishimatsu, Manabu; Tsukuda, Noboru

    1997-01-01

    In order to simulate surface deterioration phenomenon due to particle loading of SUS-316 steel which is one of candidate materials for nuclear fusion reactor vacuum wall structure material, helium ion implanting was conducted at room temperature, 473 K and 573 K. To martensitic phase formed as a results, implantation dose dependence, implanting temperature dependence, and annealing under 1073 K were conducted. Formation of the martensitic phase was suppressed at high implanting temperature. At room temperature implantation, the martensitic phase disappeared at more than 873 K, but at high temperature implantation, it increased abnormally near at 973 K. This showed that deterioration of materials depended extremely upon using temperature and temperature history. (G.K.)

  10. Dynamic sheath studies in plasma source ion implantation

    International Nuclear Information System (INIS)

    Schever, J.T.; Shamim, M.; Conrad, J.R.

    1990-01-01

    Plasma Source Ion Implantation (PSII) is a non-line-of-sight method for materials processing in which a target is immersed in a plasma and pulse biased to a high negative voltage (∼ 50 kV). A model of the dynamic sheath which forms under these conditions has been developed and applied to planar, cylindrical and spherical geometries. This model assumes that the transient sheath obeys the Child-Langmuir law for space charge limited emission at each instant during the propagation. Ions uncovered by the propagating sheath edge supply the space charge limited current. This yields an equation relating sheath edge velocity to position, which can be integrated to obtain the sheath edge position as a function of time. The same procedure used in cylindrical and spherical geometry results in a similar equation which must be integrated numerically. Comparison of results of experimental measurements, our model and simulation will be presented for the dynamic sheath edge position and target current waveform. Measurements of implanted dose uniformity of wedge shaped targets are also presented

  11. Feasibility of a pre-implantation fitting test for an implantable hearing aid using a VR (virtual reality) software

    International Nuclear Information System (INIS)

    Dammann, F.; Bode, A.; Heuschmid, M.; Schwaderer, E.; Schaich, M.; Seemann, M.; Claussen, C.D.; Maassen, M.; Zenner, H.P.

    2001-01-01

    Purpose: To prove the feasibility of a preoperative fitting test for an implantable hearing aid using a VR environment. Methods: A high-resolution spiral CT was performed after mastoidectomy in 10 temporal bone specimens. The bony structures were segmented and merged with the computer-aided design (CAD) data of the hearing aid in a VR environment. For each specimen a three-dimensional fitting test was carried out by three examiners determining the implantability of the hearing aid. The implantation simulation was compared with the real implantation procedure performed by an experienced ENT surgeon. Results: The used VR system enabled real-time 3D-visualisation and manipulation of CT- and CAD-data. All objects could be independently moved in all three dimensions. The VR fitting test corresponded closely with the real implantation. The implantability of the hearing aid was properly predicted by all three examiners. Conclusion: Merging CT and CAD data in a virtual reality environment bears high potential for the presurgical determination of the fit and mountability of medical implants in complex anatomical regions. (orig.) [de

  12. Peri-implant stress correlates with bone and cement morphology: Micro-FE modeling of implanted cadaveric glenoids.

    Science.gov (United States)

    Wee, Hwabok; Armstrong, April D; Flint, Wesley W; Kunselman, Allen R; Lewis, Gregory S

    2015-11-01

    Aseptic loosening of cemented joint replacements is a complex biological and mechanical process, and remains a clinical concern especially in patients with poor bone quality. Utilizing high resolution finite element analysis of a series of implanted cadaver glenoids, the objective of this study was to quantify relationships between construct morphology and resulting mechanical stresses in cement and trabeculae. Eight glenoid cadavers were implanted with a cemented central peg implant. Specimens were imaged by micro-CT, and subject-specific finite element models were developed. Bone volume fraction, glenoid width, implant-cortex distance, cement volume, cement-cortex contact, and cement-bone interface area were measured. Axial loading was applied to the implant of each model and stress distributions were characterized. Correlation analysis was completed across all specimens for pairs of morphological and mechanical variables. The amount of trabecular bone with high stress was strongly negatively correlated with both cement volume and contact between the cement and cortex (r = -0.85 and -0.84, p implant-cortex distance. Contact between the cement and underlying cortex may dramatically reduce trabecular bone stresses surrounding the cement, and this contact depends on bone shape, cement amount, and implant positioning. © 2015 Orthopaedic Research Society. Published by Wiley Periodicals, Inc.

  13. Radiation sterilization of polymeric implant materials

    International Nuclear Information System (INIS)

    Bruck, S.D.; Mueller, E.P.

    1988-01-01

    High-energy irradiation sterilization of medical devices and implants composed of polymeric biomaterials that are in contact with tissue and/or blood, may adversely affect their long-term mechanical and/or biological performance (tissue and/or blood compatibility). Since many polymeric implants may contain trace quantities of catalysts and/or other additives, the effect of high-energy radiation on these additives, and possible synergistic effects with the polymer chains under the influence of high-energy radiation, must be considered. It is essential to indicate whether polymeric implants are used in short-term (acute) or long-term (chronic) applications. Relatively small changes in their physicochemical, mechanical, and biological properties may be tolerable in the short term, whereas similar changes may lead to catastrophic failures in long-term applications. Therefore, polymeric implants which are to be sterilized by high-energy irradiation should be carefully evaluated for long-term property changes which may be induced by the radiation

  14. High-temperature Au implantation into Ni-Be and Ni-Si alloys

    Science.gov (United States)

    James, M. R.; Lam, N. Q.; Rehn, L. E.; Baldo, P. M.; Funk, L.; Stubbins, J. F.

    1992-12-01

    Effects of implantation temperature and target composition on depth distribution of implanted species were investigated. Au+ ions were implanted at 300 keV into polycrystalline Ni-Be and Ni-Si alloys between 25 and 700C to a dose of 10(exp 16) cm(exp -2). Depth distributions of Au were analyzed with RBS using He+ at both 1.7 and 3.0 MeV, and those of the other alloying elements by SIMS. Theoretical modeling of compositional redistribution during implantation at elevated temperatures was also carried out with the aid of a comprehensive kinetic model. The analysis indicated that below approximately 250C, the primary controlling processes were preferential sputtering and displacement mixing, while between 250 and 600C radiation-induced segregation was dominant. Above 600C, thermal-diffusion effects were most important. Fitting of model calculations to experimental measurements provided values for various defect migration and formation parameters.

  15. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  16. Screening on the high yield validamycin producing strain by implantation with N+ and Ti+ ion source

    International Nuclear Information System (INIS)

    Yu Long; An Xiao

    2007-01-01

    In order to compared the mutagenic effects of the validamycin producing the strain (Streptomyces hygroscopicus var. Jingganggensis Yen.) was implanted with two kinds of ion sources. The results showed that when two kinds of ion sources implanted into the strain by turns, more positive mutants and higher yield would be acquired. Using this method, a high-yielding strain B1-3 was obtained, which produce the titer of validamycin A of 21514, and was 54.4% higher than that of the original strain. (authors)

  17. Lattice location of platinum ions implanted into single crystal zirconia and their annealing behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Cao, D X [Royal Melbourne Inst. of Tech., VIC (Australia); Sood, D K [Academia Sinica, Shanghai, SH (China). Shanghai Inst. of Nuclear Research; Brown, I G [Lawrence Berkeley Lab., CA (United States)

    1994-12-31

    Single crystal samples of (100) oriented cubic zirconia stabilised with 9.5 mol % yttria were implanted with platinum ions, using a metal vapour vacuum arc (MEVVA) high current ion implanter, to a nominal dose of 1x10{sup 17} ions/cm{sup 2}. The implanted samples were annealed isothermally in air ambient at 1200 deg C, from 1-24 hours. Rutherford Backscattering Spectrometry and Channeling (RBSC) of 2 MeV He ions are employed to determine depth distributions of ion damage, Pt ions and substitutionality of Pt ions before and after annealing. The damage behaviour, Pt migration and lattice location are discussed in terms of metastable phase formation and solid solubility considerations. 7 refs., 3 figs.

  18. Lattice location of platinum ions implanted into single crystal zirconia and their annealing behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Cao, D.X. [Royal Melbourne Inst. of Tech., VIC (Australia); Sood, D.K. [Academia Sinica, Shanghai, SH (China). Shanghai Inst. of Nuclear Research; Brown, I.G. [Lawrence Berkeley Lab., CA (United States)

    1993-12-31

    Single crystal samples of (100) oriented cubic zirconia stabilised with 9.5 mol % yttria were implanted with platinum ions, using a metal vapour vacuum arc (MEVVA) high current ion implanter, to a nominal dose of 1x10{sup 17} ions/cm{sup 2}. The implanted samples were annealed isothermally in air ambient at 1200 deg C, from 1-24 hours. Rutherford Backscattering Spectrometry and Channeling (RBSC) of 2 MeV He ions are employed to determine depth distributions of ion damage, Pt ions and substitutionality of Pt ions before and after annealing. The damage behaviour, Pt migration and lattice location are discussed in terms of metastable phase formation and solid solubility considerations. 7 refs., 3 figs.

  19. Ge-semiconductor detectors with a p-implanted n+-contact

    International Nuclear Information System (INIS)

    Protic, D.; Riepe, G.

    1979-01-01

    P-implanted large-surface-detectors with improved properties can be produced by implantation of the n + -contact with relatively low dose and high energy. After an annealing process a nearly perfect lattice structure is obtained. By a subsequent p-implantation step with high dose and low energy, the surface restisivity can be reduced. The p + -contacts are obtained by B-implantation. (DG) [de

  20. Recalls of cardiac implants in the last decade: what lessons can we learn?

    Directory of Open Access Journals (Sweden)

    Shixuan Zhang

    Full Text Available Due to an ageing population and demographic changes worldwide, a higher prevalence of heart disease is forecasted, which causes an even higher demand for cardiac implants in future. The increasing high incidence of clinical adverse events attributed especially to high-risk medical devices has led an advocated change from many stakeholders. This holds especially true for devices like cardiac implants, with their high-risk nature and high complication rates associated with considerable mortality, due to their frequent use in older populations with frequent co-morbidities. To ensure patients' safety, the objective of this study is to analyze different cardiac implants recall reasons and different recall systems, based on an overview of the recalls of cardiac implant medical devices in the last decade. On the basis of the results from this structured analysis, this study provides recommendations on how to avoid such recalls from a manufacturer perspective, as well as how to timely react to an adverse event from a post-surveillance system perspective.A systematic search of cardiac implant recalls information has been performed in the PubMed, ScienceDirect and Scopus databases, as well as data sources in regulatory authorities from 193 UN Member States. Data has been extracted for the years 2004-2014 with the following criteria applied: cardiac implant medical device recalls and reasons for recall, associated harm or risk to patients. From the data sources described above, eleven regulatory authorities and 103 recall reports have been included in this study. The largest cardiac implant categories include ICDs 40.8%, pacemakers 14.5% and stents 14.5%. Regarding the recall reasons, the majority of reports were related to device battery problems (33.0% and incorrect therapy delivery (31.1%. From a total of 103 recall reports, five reported death and serious injuries. Our review highlights weaknesses in the current cardiac implant recall system, including

  1. Direct observation and mechanism for enhanced field emission sites in platinum ion implanted/post-annealed ultrananocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Panda, Kalpataru, E-mail: panda@afm.eei.eng.osaka-u.ac.jp, E-mail: phy.kalpa@gmail.com; Inami, Eiichi; Sugimoto, Yoshiaki [Graduate School of Engineering, Osaka University, 2-1, Yamada-Oka, Suita, Osaka 565-0871 (Japan); Sankaran, Kamatchi J.; Tai, Nyan Hwa [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Lin, I-Nan, E-mail: inanlin@mail.tku.edu.tw [Department of Physics, Tamkang University, Tamsui 251, Taiwan (China)

    2014-10-20

    Enhanced electron field emission (EFE) properties for ultrananocrystalline diamond (UNCD) films upon platinum (Pt) ion implantation and subsequent post-annealing processes is reported, viz., low turn-on field of 4.17 V/μm with high EFE current density of 5.08 mA/cm{sup 2} at an applied field of 7.0 V/μm. Current imaging tunneling spectroscopy (CITS) mode in scanning tunneling spectroscopy directly revealed the increased electron emission sites density for Pt ion implanted/post-annealed UNCD films than the pristine one. The high resolution CITS mapping and local current–voltage characteristic curves demonstrated that the electrons are dominantly emitted from the diamond grain boundaries and Pt nanoparticles.

  2. Direct observation and mechanism for enhanced field emission sites in platinum ion implanted/post-annealed ultrananocrystalline diamond films

    International Nuclear Information System (INIS)

    Panda, Kalpataru; Inami, Eiichi; Sugimoto, Yoshiaki; Sankaran, Kamatchi J.; Tai, Nyan Hwa; Lin, I-Nan

    2014-01-01

    Enhanced electron field emission (EFE) properties for ultrananocrystalline diamond (UNCD) films upon platinum (Pt) ion implantation and subsequent post-annealing processes is reported, viz., low turn-on field of 4.17 V/μm with high EFE current density of 5.08 mA/cm 2 at an applied field of 7.0 V/μm. Current imaging tunneling spectroscopy (CITS) mode in scanning tunneling spectroscopy directly revealed the increased electron emission sites density for Pt ion implanted/post-annealed UNCD films than the pristine one. The high resolution CITS mapping and local current–voltage characteristic curves demonstrated that the electrons are dominantly emitted from the diamond grain boundaries and Pt nanoparticles.

  3. Status of surface treatment in endosseous implant: A literary overview

    Directory of Open Access Journals (Sweden)

    Gupta Ankur

    2010-01-01

    Full Text Available The attachment of cells to titanium surfaces is an important phenomenon in the area of clinical implant dentistry. A major consideration in designing implants has been to produce surfaces that promote desirable responses in the cells and tissues. To achieve these requirements, the titanium implant surface can be modified in various ways. This review mainly focuses on the surface topography of dental implants currently in use, emphasizing the association of reported variables with biological outcome.

  4. Titanium Implant Osseointegration Problems with Alternate Solutions Using Epoxy/Carbon-Fiber-Reinforced Composite

    Directory of Open Access Journals (Sweden)

    Richard C. Petersen

    2014-12-01

    Full Text Available The aim of the article is to present recent developments in material research with bisphenyl-polymer/carbon-fiber-reinforced composite that have produced highly influential results toward improving upon current titanium bone implant clinical osseointegration success. Titanium is now the standard intra-oral tooth root/bone implant material with biocompatible interface relationships that confer potential osseointegration. Titanium produces a TiO2 oxide surface layer reactively that can provide chemical bonding through various electron interactions as a possible explanation for biocompatibility. Nevertheless, titanium alloy implants produce corrosion particles and fail by mechanisms generally related to surface interaction on bone to promote an inflammation with fibrous aseptic loosening or infection that can require implant removal. Further, lowered oxygen concentrations from poor vasculature at a foreign metal surface interface promote a build-up of host-cell-related electrons as free radicals and proton acid that can encourage infection and inflammation to greatly influence implant failure. To provide improved osseointegration many different coating processes and alternate polymer matrix composite (PMC solutions have been considered that supply new designing potential to possibly overcome problems with titanium bone implants. Now for important consideration, PMCs have decisive biofunctional fabrication possibilities while maintaining mechanical properties from addition of high-strengthening varied fiber-reinforcement and complex fillers/additives to include hydroxyapatite or antimicrobial incorporation through thermoset polymers that cure at low temperatures. Topics/issues reviewed in this manuscript include titanium corrosion, implant infection, coatings and the new epoxy/carbon-fiber implant results discussing osseointegration with biocompatibility related to nonpolar molecular attractions with secondary bonding, carbon fiber in vivo

  5. Silicon on insulator by ion implantation: A dream or a reality

    Energy Technology Data Exchange (ETDEWEB)

    Pinizzotto, R F [Ultrastructure, Inc., Richardson, TX (USA)

    1985-03-01

    One method of producing a silicon-on-oxide structure is to implant a sufficient dose of oxygen into a conventional silicon substrate to synthesize a layer of SiO/sub 2/ just below the surface. If the proper implant conditions are maintained, the top silicon layer will be a single crystal. The required doses are large, but the use of commercially available medium current implanters can reduce the time to 25 minutes per wafer. This adds about $ 10 per chip in process related costs. A very large implanter (100 mA analyzed beam) may not be the best approach for scaling up the process. The power in the beam and the power required for operation of the machine are both enormous. A more conservative approach of using multiple medium current implanters may prove to be more economical in the long run.

  6. Defect engineering via ion implantation to control B diffusion in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Xu, M.; Ntzoenzok, E.; Pichaud, B.

    2009-01-01

    The processes which are currently studied in the fabrication of B-doped ultra shallow junctions (USJ) usually involve a preamorphization step to reduce B channelling effect during implantation and to improve B electrical activation. At this stage a high amount of Si interstitial atoms (Is), which dramatically increases the B diffusivity, is introduced. The introduction of voids in Si is a promising tool to control B transient enhanced diffusion (TED), because of their ability to capture Is. In this work the efficiency of a cavity band to reduce B TED is checked in silicon interstitial supersaturation conditions, obtained by high dose Si implantation. He is implanted either at 10 keV or at 50 keV with a fluence of 5 x 10 16 cm -2 . Conventional techniques to introduce and activate the B (conventional ion implantation and rapid thermal annealing (RTA)) are applied in order to have a better control of the technological process to focus on the benefit of the cavity layer. The samples were characterized by cross section transmission electron microscopy (XTEM), secondary ion mass spectroscopy (SIMS) and Hall Effect (HE). The latter shows that good activation of the B is achieved only after 1000 deg. C RTA, though a 900 deg. C RTA is sufficient for implantation-damage recovery, as it is confirmed by XTEM observations. B SIMS profiles show that the band of cavities plays its best effect in reducing B TED when it is located near the surface.

  7. High-fluence implantation of iron into polyimide

    Czech Academy of Sciences Publication Activity Database

    Macková, Anna; Hnatowicz, Vladimír; Peřina, Vratislav; Popok, V. N.; Khaibullin, R. I.; Bazarov, V. V.; Odzhaev, V. B.

    158/159, - (2002), s. 395-398 ISSN 0257-8972 R&D Projects: GA ČR GA203/99/1626; GA ČR GA102/01/1324 Keywords : polyimide * ion implantation * iron * Rutherford backscattering spectroscopy Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.267, year: 2002

  8. Electrodeposited silk coatings for functionalized implant applications

    Science.gov (United States)

    Elia, Roberto

    The mechanical and morphological properties of titanium as well as its biocompatibility and osteoinductive characteristics have made it the material of choice for dental implant systems. Although the success rate of titanium implants exceeds 90% in healthy individuals, a large subset of the population has one or more risk factors that inhibit implant integration. Treatments and coatings have been developed to improve clinical outcomes via introduction of appropriate surface topography, texture and roughness or incorporation of bioactive molecules. It is essential that the coatings and associated deposition techniques are controllable and reproducible. Currently, methods of depositing functional coatings are dictated by numerous parameters (temperature, particle size distribution, pH and voltage), which result in variable coating thickness, strength, porosity and weight, and hinder or preclude biomolecule incorporation. Silk is a highly versatile protein with a unique combination of mechanical and physical properties, including tunable degradation, biocompatibility, drug stabilizing capabilities and mechanical properties. Most recently an electrogelation technique was developed which allows for the deposition of gels which dry seamlessly over the contoured topography of the conductive substrate. In this work we examine the potential use of silk electrogels as mechanically robust implant coatings capable of sequestering and releasing therapeutic agents. Electrodeposition of silk electrogels formed in uniform electric fields was characterized with respect to field intensity and deposition time. Gel formation kinetics were used to derive functions which allowed for the prediction of coating deposition over a range of process and solution parameters. Silk electrogel growth orientation was shown to be influenced by the applied electric field. Coatings were reproducible and tunable via intrinsic silk solution properties and extrinsic process parameters. Adhesion was

  9. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  10. Automatic Frequency Controller for Power Amplifiers Used in Bio-Implanted Applications: Issues and Challenges

    Directory of Open Access Journals (Sweden)

    Mahammad A. Hannan

    2014-12-01

    Full Text Available With the development of communication technologies, the use of wireless systems in biomedical implanted devices has become very useful. Bio-implantable devices are electronic devices which are used for treatment and monitoring brain implants, pacemakers, cochlear implants, retinal implants and so on. The inductive coupling link is used to transmit power and data between the primary and secondary sides of the biomedical implanted system, in which efficient power amplifier is very much needed to ensure the best data transmission rates and low power losses. However, the efficiency of the implanted devices depends on the circuit design, controller, load variation, changes of radio frequency coil’s mutual displacement and coupling coefficients. This paper provides a comprehensive survey on various power amplifier classes and their characteristics, efficiency and controller techniques that have been used in bio-implants. The automatic frequency controller used in biomedical implants such as gate drive switching control, closed loop power control, voltage controlled oscillator, capacitor control and microcontroller frequency control have been explained. Most of these techniques keep the resonance frequency stable in transcutaneous power transfer between the external coil and the coil implanted inside the body. Detailed information including carrier frequency, power efficiency, coils displacement, power consumption, supplied voltage and CMOS chip for the controllers techniques are investigated and summarized in the provided tables. From the rigorous review, it is observed that the existing automatic frequency controller technologies are more or less can capable of performing well in the implant devices; however, the systems are still not up to the mark. Accordingly, current challenges and problems of the typical automatic frequency controller techniques for power amplifiers are illustrated, with a brief suggestions and discussion section concerning

  11. Automatic Frequency Controller for Power Amplifiers Used in Bio-Implanted Applications: Issues and Challenges

    Science.gov (United States)

    Hannan, Mahammad A.; Hussein, Hussein A.; Mutashar, Saad; Samad, Salina A.; Hussain, Aini

    2014-01-01

    With the development of communication technologies, the use of wireless systems in biomedical implanted devices has become very useful. Bio-implantable devices are electronic devices which are used for treatment and monitoring brain implants, pacemakers, cochlear implants, retinal implants and so on. The inductive coupling link is used to transmit power and data between the primary and secondary sides of the biomedical implanted system, in which efficient power amplifier is very much needed to ensure the best data transmission rates and low power losses. However, the efficiency of the implanted devices depends on the circuit design, controller, load variation, changes of radio frequency coil's mutual displacement and coupling coefficients. This paper provides a comprehensive survey on various power amplifier classes and their characteristics, efficiency and controller techniques that have been used in bio-implants. The automatic frequency controller used in biomedical implants such as gate drive switching control, closed loop power control, voltage controlled oscillator, capacitor control and microcontroller frequency control have been explained. Most of these techniques keep the resonance frequency stable in transcutaneous power transfer between the external coil and the coil implanted inside the body. Detailed information including carrier frequency, power efficiency, coils displacement, power consumption, supplied voltage and CMOS chip for the controllers techniques are investigated and summarized in the provided tables. From the rigorous review, it is observed that the existing automatic frequency controller technologies are more or less can capable of performing well in the implant devices; however, the systems are still not up to the mark. Accordingly, current challenges and problems of the typical automatic frequency controller techniques for power amplifiers are illustrated, with a brief suggestions and discussion section concerning the progress of

  12. COCHLEAR IMPLANTATION PREVALENCE IN ELDERLY

    Directory of Open Access Journals (Sweden)

    A. V. Starokha

    2014-01-01

    Full Text Available Current paper describes an experience of cochlear implantation in elderly. Cochlear implantation has become a widely accepted intervention in the treatment of individuals with severe-to-profound sensorineural hearing loss. Cochlear implants are now accepted as a standard of care to optimize hearing and subsequent speech development in children and adults with deafness. But cochlear implantation affects not only hearing abilities, speech perception and speech production; it also has an outstanding impact on the social life, activities and self-esteem of each patient. The aim of this study was to evaluate the cochlear implantation efficacy in elderly with severe to profound sensorineural hearing loss. There were 5 patients under our observation. Surgery was performed according to traditional posterior tympanotomy and cochleostomy for cochlear implant electrode insertion for all observed patients. The study was conducted in two stages: before speech processor’s activation and 3 months later. Pure tone free field audiometry was performed to each patient to assess the efficiency of cochlear implantation in dynamics. The aim of the study was also to evaluate quality of life in elderly with severe to profound sensorineural hearing loss after unilateral cochlear implantation. Each patient underwent questioning with 36 Item Short Form Health Survey (SF-36. SF-36 is a set of generic, coherent, and easily administered quality-of-life measures. The SF-36 consists of eight scaled scores, which are the weighted sums of the questions in their section. Each scale is directly transformed into a 0-100 scale on the assumption that each question carries equal weight. The eight sections are: physical functioning; physical role functioning; emotional role functioning; vitality; emotional well-being; social role functioning; bodily pain; general health perceptions. Our results demonstrate that cochlear implantation in elderly consistently improved quality of life

  13. Possible sources of neuroprotection following subretinal silicon chip implantation in RCS rats

    Science.gov (United States)

    Pardue, Machelle T.; Phillips, Michael J.; Yin, Hang; Fernandes, Alcides; Cheng, Yian; Chow, Alan Y.; Ball, Sherry L.

    2005-03-01

    Current retinal prosthetics are designed to stimulate existing neural circuits in diseased retinas to create a visual signal. However, implantation of retinal prosthetics may create a neurotrophic environment that also leads to improvements in visual function. Possible sources of increased neuroprotective effects on the retina may arise from electrical activity generated by the prosthetic, mechanical injury due to surgical implantation, and/or presence of a chronic foreign body. This study evaluates these three neuroprotective sources by implanting Royal College of Surgeons (RCS) rats, a model of retinitis pigmentosa, with a subretinal implant at an early stage of photoreceptor degeneration. Treatment groups included rats implanted with active and inactive devices, as well as sham-operated. These groups were compared to unoperated controls. Evaluation of retinal function throughout an 18 week post-implantation period demonstrated transient functional improvements in eyes implanted with an inactive device at 6, 12 and 14 weeks post-implantation. However, the number of photoreceptors located directly over or around the implant or sham incision was significantly increased in eyes implanted with an active or inactive device or sham-operated. These results indicate that in the RCS rat localized neuroprotection of photoreceptors from mechanical injury or a chronic foreign body may provide similar results to subretinal electrical stimulation at the current output evaluated here.

  14. Assessment of Surface Area Characteristics of Dental Implants with Gradual Bioactive Surface Treatment

    Science.gov (United States)

    Czan, Andrej; Babík, Ondrej; Miklos, Matej; Záušková, Lucia; Mezencevová, Viktória

    2017-10-01

    Since most of the implant surface is in direct contact with bone tissue, shape and integrity of said surface has great influence on successful osseointegration. Among other characteristics that predetermine titanium of different grades of pureness as ideal biomaterial, titanium shows high mechanical strength making precise miniature machining increasingly difficult. Current titanium-based implants are often anodized due to colour coding. This anodized layer has important functional properties for right usage and also bio-compatibility of dental implants. Physical method of anodizing and usage of anodizing mediums has a significant influence on the surface quality and itself functionality. However, basic requirement of the dental implant with satisfactory properties is quality of machined surface before anodizing. Roughness, for example, is factor affecting of time length of anodizing operation and so whole productivity. The paper is focused on monitoring of surface and area characteristics, such as roughness or surface integrity after different cutting conditions of miniature machining of dental implants and their impact on suitability for creation of satisfactory anodized layer with the correct biocompatible functional properties.

  15. Optimal design of implants for magnetically mediated hyperthermia: A wireless power transfer approach

    Science.gov (United States)

    Lang, Hans-Dieter; Sarris, Costas D.

    2017-09-01

    In magnetically mediated hyperthermia (MMH), an externally applied alternating magnetic field interacts with a mediator (such as a magnetic nanoparticle or an implant) inside the body to heat up the tissue in its proximity. Producing heat via induced currents in this manner is strikingly similar to wireless power transfer (WPT) for implants, where power is transferred from a transmitter outside of the body to an implanted receiver, in most cases via magnetic fields as well. Leveraging this analogy, a systematic method to design MMH implants for optimal heating efficiency is introduced, akin to the design of WPT systems for optimal power transfer efficiency. This paper provides analytical formulas for the achievable heating efficiency bounds as well as the optimal operating frequency and the implant material. Multiphysics simulations validate the approach and further demonstrate that optimization with respect to maximum heating efficiency is accompanied by minimizing heat delivery to healthy tissue. This is a property that is highly desirable when considering MMH as a key component or complementary method of cancer treatment and other applications.

  16. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  17. Follow-up of cochlear implant use in patients who developed bacterial meningitis following cochlear implantation.

    Science.gov (United States)

    Mancini, Patrizia; D'Elia, Chiara; Bosco, Ersilia; De Seta, Elio; Panebianco, Valeria; Vergari, Valeria; Filipo, Roberto

    2008-08-01

    The present study is a long-term follow-up of speech perception outcomes and cochlear implant use in three cases of meningitis that occurred after cochlear implantation. Case series study. Study was performed on three children implanted with different models of Clarion devices, two of them with positioner. Recognition and comprehension were assessed via the Italian adaptation of GASP (TAP) test, and phonetically balanced bi-syllabic words in open-set. High resolution computed tomography scan acquisition was performed to obtain axial coronal and oblique multiplanar reconstructions of the cochlea. Two patients were affected by enlarged cochlear acqueduct and Mondini malformation the first carrying positioner. One patient had a normal cochlea, and the positioner could have been the main cause of bacterial spread. As a consequence of meningitis the child with normal cochlea and the other with enlarged vestibular acqueduct developed cochlear ossification, increased M-level and worsening of hearing outcomes. The child with Mondini malformation developed facial nerve stimulation. Contralateral implantation was performed in the first two patients. Bacterial meningitis occurring after cochlear implantation may induce cochlear ossification, facial nerve stimulation, and permanent or temporary loss of implant use. Planned follow-up with high resolution computed tomography and evaluation of M-levels could be useful prognostic tools in the management of these patients.

  18. Scalloped Implant-Abutment Connection Compared to Conventional Flat Implant-Abutment Connection: a Systematic Review and Meta-Analysis.

    Science.gov (United States)

    Starch-Jensen, Thomas; Christensen, Ann-Eva; Lorenzen, Henning

    2017-01-01

    The objective was to test the hypothesis of no difference in implant treatment outcome after installation of implants with a scalloped implant-abutment connection compared to a flat implant-abutment connection. A MEDLINE (PubMed), Embase and Cochrane library search in combination with a hand-search of relevant journals was conducted. No language or year of publication restriction was applied. The search provided 298 titles. Three studies fulfilled the inclusion criteria. The included studies were characterized by low or moderate risk of bias. Survival of suprastructures has never been compared within the same study. High implant survival rate was reported in all the included studies. Significantly more peri-implant marginal bone loss, higher probing depth score, bleeding score and gingival score was observed around implants with a scalloped implant-abutment connection. There were no significant differences between the two treatment modalities regarding professional or patient-reported outcome measures. Meta-analysis disclosed a mean difference of peri-implant marginal bone loss of 1.56 mm (confidence interval: 0.87 to 2.25), indicating significant more bone loss around implants with a scalloped implant-abutment connection. A scalloped implant-abutment connection seems to be associated with higher peri-implant marginal bone loss compared to a flat implant-abutment connection. Therefore, the hypothesis of the present systematic review must be rejected. However, further long-term randomized controlled trials assessing implant treatment outcome with the two treatment modalities are needed before definite conclusions can be provided about the beneficial use of implants with a scalloped implant-abutment connection on preservation of the peri-implant marginal bone level.

  19. Piezosurgery in implant dentistry

    Science.gov (United States)

    Stübinger, Stefan; Stricker, Andres; Berg, Britt-Isabelle

    2015-01-01

    Piezosurgery, or the use of piezoelectric devices, is being applied increasingly in oral and maxillofacial surgery. The main advantages of this technique are precise and selective cuttings, the avoidance of thermal damage, and the preservation of soft-tissue structures. Through the application of piezoelectric surgery, implant-site preparation, bone grafting, sinus-floor elevation, edentulous ridge splitting or the lateralization of the inferior alveolar nerve are very technically feasible. This clinical overview gives a short summary of the current literature and outlines the advantages and disadvantages of piezoelectric bone surgery in implant dentistry. Overall, piezoelectric surgery is superior to other methods that utilize mechanical instruments. Handling of delicate or compromised hard- and soft-tissue conditions can be performed with less risk for the patient. With respect to current and future innovative surgical concepts, piezoelectric surgery offers a wide range of new possibilities to perform customized and minimally invasive osteotomies. PMID:26635486

  20. The suppression of dissolution for alloy 690 in high temperature and high pressure water with chromium ion implantation

    International Nuclear Information System (INIS)

    Shibata, Toshio; Fujimoto, Shinji; Ohtani, Saburou; Watanabe, Masanori; Hirao, Kyozo; Okumoto, Masaru; Shibaike, Hiroyuki.

    1994-01-01

    As the material of heat exchanger tubes for PWRs, the nickel alloys such as alloy 690 and alloy 600 have been used, but 58 Ni and 60 Co contained as an impurity elute in primary cooling water, and are radioactivated, in this way, they become the cause of radiation exposure. By increasing chromium concentration, the corrosion resistance of nickel alloys is improved, and for modern heat exchangers, the alloy 690, of which the chromium content is increased up to 30%, has been adopted, and excellent results have been obtained. In this research, aiming at the further reduction of radiation exposure, by increasing the chromium concentration in surface layer using ion implantation technology, the change of the corrosion behavior of alloy 690 in high temperature, high pressure water was investigated. The chemical composition of the alloy 690 used, and the making of plate specimens are shown. The polarization behavior of alloy 690 in 0.1 mol/l sulfuric acid deaerated at normal temperature is reported, and the effect of suppressing dissolution was remarkable in the specimens with much implantation. The electrochemical behavior of alloy 690 in simulated cooling water was investigated. Immobile case has high chromium content and is thin. (K.I.)

  1. The effect of varying implant position in immediately loaded implant-supported mandibular overdentures.

    Science.gov (United States)

    Shaarawy, Mohammed A; Aboelross, Ehab M

    2013-06-01

    This study was carried out to evaluate the effect of varying implant position in immediately loaded implant-supported mandibular overdentures on peri-implant bone density, muscle activity, and patient satisfaction. Fourteen completely edentulous patients were selected for the study. After complete denture construction, patients were divided into 2 equal groups. Four dental implants were installed bilaterally in the interforaminal region in the first group, while in the second group, 4 dental implants were inserted bilaterally: 2 in the interforaminal region and 2 in the first molar area. Immediately after suturing, telescopic abutments were screwed to the implants, and the retaining caps were picked up into the fitting surface of the lower denture, which was delivered to the patient. Patients were recalled for radiographic bone density evaluation just after denture delivery and then at 3, 6, and 12 months thereafter. Muscle activities of masseter and temporalis muscles as well as patient satisfaction were also evaluated. The results of the study showed a high success rate approximating 98.2% of the immediately loaded implants. The electromyographic (EMG) records of both muscles in group 1 were significantly higher during chewing hard food after 3 months compared with group 2 (P overdentures through posterior placement beyond the interforaminal area results in a favorable response in terms of increased peri-implant bone density as well as decreased EMG activity of masseter and temporalis muscles.

  2. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  3. Comparisons between detection threshold and loudness perception for individual cochlear implant channels

    Science.gov (United States)

    Bierer, Julie Arenberg; Nye, Amberly D

    2014-01-01

    Objective The objective of the present study, performed in cochlear implant listeners, was to examine how the level of current required to detect single-channel electrical pulse trains relates to loudness perception on the same channel. The working hypothesis was that channels with relatively high thresholds, when measured with a focused current pattern, interface poorly to the auditory nerve. For such channels a smaller dynamic range between perceptual threshold and the most comfortable loudness would result, in part, from a greater sensitivity to changes in electrical field spread compared to low-threshold channels. The narrower range of comfortable listening levels may have important implications for speech perception. Design Data were collected from eight, adult cochlear implant listeners implanted with the HiRes90k cochlear implant (Advanced Bionics Corp.). The partial tripolar (pTP) electrode configuration, consisting of one intracochlear active electrode, two flanking electrodes carrying a fraction (σ) of the return current, and an extracochlear ground, was used for stimulation. Single-channel detection thresholds and most comfortable listening levels were acquired using the most focused pTP configuration possible (σ ≥ 0.8) to identify three channels for further testing – those with the highest, median, and lowest thresholds – for each subject. Threshold, equal-loudness contours (at 50% of the monopolar dynamic range), and loudness growth functions were measured for each of these three test channels using various partial tripolar fractions. Results For all test channels, thresholds increased as the electrode configuration became more focused. The rate of increase with the focusing parameter σ was greatest for the high-threshold channel compared to the median- and low-threshold channels. The 50% equal-loudness contours exhibited similar rates of increase in level across test channels and subjects. Additionally, test channels with the highest

  4. Synthesis of graphene by MEVVA source ion implantation

    International Nuclear Information System (INIS)

    Ying, J.J.; Xiao, X.H.; Dai, Z.G.; Wu, W.; Li, W.Q.; Mei, F.; Cai, G.X.; Ren, F.; Jiang, C.Z.

    2013-01-01

    Ion implantation provides a new synthesis route for graphene, and few-layered graphene synthesis by ion implantation has been reported. Here we show the synthesis of a single layer of high-quality graphene by Metal Vapor Vacuum Arc (MEVVA) source ion implantation. Polycrystalline nickel and copper thin films are implanted with MEVVA source carbon ions at 40 kV, followed by high-temperature thermal annealing and quenching. A Raman spectrum is applied to probe the quality and thickness of the prepared graphene. A single layer of high-quality graphene is grown on the nickel films, but not on the copper films. The growth mechanisms on the nickel and copper films are explained. MEVVA source ion implantation has been widely applied in industrial applications, demonstrating that this synthesis method can be generalized for industrial production

  5. Nanoscale nonlinear effects in Erbium-implanted Yttrium Orthosilicate

    Energy Technology Data Exchange (ETDEWEB)

    Kukharchyk, Nadezhda, E-mail: nadezhda.kukharchyk@physik.uni-saarland.de [Experimentalphysik, Universität des Saarlandes, D-66123 Saarbrücken (Germany); Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Shvarkov, Stepan [Optoelektronische Materialien und Bauelemente, Universität Paderborn, D-33098 Padeborn (Germany); Probst, Sebastian [Quantronics group, Service de Physique de l' Etat Condense, DSM/IRAMIS/SPEC, CNRS UMR 3680, CEA-Saclay, 91191 Gif-sur-Yvette cedex (France); Xia, Kangwei [3. Physikalisches Institut, Universität Stuttgart, D-70569 Stuttgart (Germany); Becker, Hans-Werner [RUBION, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Pal, Shovon [Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); AG THz Spectroscopie und Technologie, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Markmann, Sergej [AG THz Spectroscopie und Technologie, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Kolesov, Roman; Siyushev, Petr; Wrachtrup, Jörg [3. Physikalisches Institut, Universität Stuttgart, D-70569 Stuttgart (Germany); Ludwig, Arne [Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Ustinov, Alexey V. [Physikalisches Institut, Karlsruhe Institute of Technology, D-76128 Karlsruhe (Germany); Wieck, Andreas D. [Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); and others

    2016-09-15

    Doping of substrates at desired locations is a key technology for spin-based quantum memory devices. Focused ion beam implantation is well-suited for this task due to its high spacial resolution. In this work, we investigate ion-beam implanted Erbium ensembles in Yttrium Orthosilicate crystals by means of confocal photoluminescence spectroscopy. The sample temperature and the post-implantation annealing step strongly reverberate in the properties of the implanted ions. We find that hot implantation leads to a higher activation rate of the ions. At high enough fluences, the relation between the fluence and final concentration of ions becomes non-linear. Two models are developed explaining the observed behavior.

  6. Formation of copper silicides by high dose metal vapor vacuum arc ion implantation

    International Nuclear Information System (INIS)

    Rong Chun; Zhang Jizhong; Li Wenzhi

    2003-01-01

    Si(1 1 1) was implanted by copper ions with different doses and copper distribution in silicon matrix was obtained. The as-implanted samples were annealed at 300 and 540 deg. C, respectively. Formation of copper silicides in as-implanted and annealed samples were studied. Thermodynamics and kinetics of the reaction were found to be different from reaction at copper-silicon interface that was applied in conventional studies of copper-silicon interaction. The defects in silicon induced by implantation and formation of copper silicides were recognized by Si(2 2 2) X-ray diffraction (XRD)

  7. Correlation between Insertion Torque and Implant Stability Quotient in Tapered Implants with Knife-Edge Thread Design

    Directory of Open Access Journals (Sweden)

    Domenico Baldi

    2018-01-01

    Full Text Available Aim. To evaluate the correlation between insertion torque (IT and implant stability quotient (ISQ in tapered implants with knife-edge threads. Methods. Seventy-five identical implants (Anyridge, Megagen were inserted by using a surgical drilling unit with torque control and an integrated resonance frequency analysis module (Implantmed, W&H. IT (N/cm and ISQ were recorded and implants were divided into three groups (n=25 according to the IT: low (50. ISQ difference among groups was assessed by Kruskal-Wallis test, followed by Bonferroni-corrected Mann–Whitney U-test for pairwise comparisons. The strength of the association between IT and ISQ was assessed by Spearman Rho correlation coefficient (α=0.05. Results. At the pairwise comparisons, a significant difference of ISQ values was demonstrated only between low torque and high torque groups. The strength of the association between IT and ISQ value was significant for both the entire sample and the medium torque group, while it was not significant in low and high torque groups. Conclusions. For the investigated implant, ISQ and IT showed a positive correlation up to values around 50 N/cm: higher torques subject the bone-implant system to unnecessary biological and mechanical stress without additional benefits in terms of implant stability. This trial is registered with NCT03222219.

  8. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  9. Design of high current bunching system and high power fast Faraday cup for high current LEBT at VECC

    International Nuclear Information System (INIS)

    Anuraag Misra, A.; Pandit, B.V.S.; Gautam Pal, C.

    2011-01-01

    A high current microwave ion source as described is currently operational at VECC. We are able to optimize 6.4 mA of proton current in the LEBT line of ion source. The cyclotron type of accelerators accept only a fraction of DC ion beam coming from ion source so a ion beam buncher is needed to increase the accepted current into the cyclotron. The buncher described in this paper is unique in its kind as it has to handle high beam loading power upto 400 W as it is designed to bunch few mA of proton beam currents at 80 keV beam energy. A sinusoidal quarter wave RF structure has been chosen to bunch the high current beam due to high Q achievable in comparison with other configurations. This buncher has been designed using CST Microwave studio 3D advanced code since the design frequency of our buncher is 42 MHz, we have provided the RF and vacuum window near the drift tube of buncher to avoid vacuum and multipacting problems and to keep maximum volume in air region. There is a provision of multipacting interlocks to shut off amplifier during multipacting. We have carried out a detailed electromagnetic and thermal design of the buncher in CST Microwave studio and simulated values of unloaded Q was calculated be 4000. We have estimated a power of 400 W to achieve gap (designed) voltage of 10 kV. This buncher is in advanced stage of fabrication. A high power fast Faraday cup is also designed to characterize the above mentioned high current bunching system. The fast Faraday cup is designed in 50 Ω coaxial geometry to transmit fast pulse of bunched ion beam. The design of Faraday cup was completed using ANSYS HFSS and a bandwidth of 1.75 GHz was achieved this faraday cup design was different from conventional Faraday cup design as we have designed the support and cooling lines at such a place on Faraday cup which do not disturb the electrical impedance of the cup. (author)

  10. Positron annihilation studies of silicon-rich SiO2 produced by high dose ion implantation

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Corni, F.; Tonini, R.

    1997-01-01

    Positron annihilation spectroscopy (PAS) is used to study Si-rich SiO 2 samples prepared by implantation of Si (160 keV) ions at doses in the range 3x10 16 endash 3x10 17 cm -2 and subsequent thermal annealing at high temperature (up to 1100 degree C). Samples implanted at doses higher than 5x10 16 cm -2 and annealed above 1000 degree C showed a PAS spectrum with an annihilation peak broader than the unimplanted sample. We discuss how these results are related to the process of silicon precipitation inside SiO 2 . copyright 1997 American Institute of Physics

  11. Prevention and management of cochlear implant infections.

    Science.gov (United States)

    Gluth, Michael B; Singh, Rajesh; Atlas, Marcus D

    2011-11-01

    Understanding the issues of infection related to an implantable medical device is crucial to all cochlear implant teams. Furthermore, given the risk of central nervous system complications and the relatively high quantity of underlying resource investment associated with cochlear implantation, the stakes of infection are high. The optimal strategies to prevent and manage such infections are still evolving as good-quality prospective data to guide such management decisions are not yet abundant within the medical literature and many recommendations are based on retrospective reviews or anecdotal evidence. We will outline a general strategy to deal with cochlear implant-related infection based on both the authors' experience and the published literature.

  12. Refusal of implant supported mandibular overdentures by elderly patients.

    Science.gov (United States)

    Ellis, Janice S; Levine, Alissa; Bedos, Christophe; Mojon, Phillippe; Rosberger, Zeer; Feine, Jocelyne; Thomason, J Mark

    2011-03-01

      The aim of this study was to gain greater in-depth understanding of why elderly patients who are currently dissatisfied with conventional dentures decline implant treatment.   There is strong evidence from high-quality randomised controlled trials to support the use of implant-supported overdentures for the restoration of the edentulous mandible. However, whilst recruiting for randomised clinical trials, researchers have found that a high proportion of potential subjects decline participation, despite the removal of financial constraints.   The study adopted a qualitative approach to provide a rich and deep understanding of people's reasons for refusal. Data were collected through focus group interviews in a two-centre study based in Montreal, Canada and Newcastle, UK. A semi-structured interview schedule was used and iteratively developed as analysis identified themes from previous focus groups. Transcripts of focus groups were coded and emergent themes determined.   Two main themes emerged; patients' fear and anxiety (relating to the pain of surgery, complications of the procedure and immediate post-surgical denture use), and the appropriateness of the procedure in an elderly person.   Fears of pain, complications and social embarrassment, exacerbated by age, are important factors that help explain refusal of implants by elderly patients. © 2010 The Gerodontology Society and John Wiley & Sons A/S.

  13. A study on setting of the fatigue limit of temporary dental implants.

    Science.gov (United States)

    Kim, M H; Cho, E J; Lee, J W; Kim, E K; Yoo, S H; Park, C W

    2017-07-01

    A temporary dental implant is a medical device which is temporarily used to support a prosthesis such as an artificial tooth used for restoring patient's masticatory function during implant treatment. It is implanted in the oral cavity to substitute for the role of tooth. Due to the aging and westernization of current Korean society, the number of tooth extraction and implantation procedures is increasing, leading to an increase in the use and development of temporary dental implants. Because an implant performs a masticatory function in place of a tooth, a dynamic load is repeatedly put on the implant. Thus, the fatigue of implants is reported to be the most common causes of the fracture thereof. According to the investigation and analysis of the current domestic and international standards, the standard for fatigue of implant fixtures is not separately specified. Although a test method for measuring the fatigue is suggested in an ISO standard, it is a standard for permanent dental implants. Most of the test standards for Korean manufacturers and importers apply 250 N or more based on the guidance for the safety and performance evaluation of dental implants. Therefore, this study is intended to figure out the fatigue standard which can be applied to temporary dental implants when measuring the fatigue according to the test method suggested in the permanent dental implant standard. The results determined that suitable fatigue standards of temporary dental implants should be provided by each manufacturer rather than applying 250 N. This study will be useful for the establishment of the fatigue standards and fatigue test methods of the manufacturers and importers of temporary dental implants.

  14. 3DII implantation effect on corrosion properties of the AISI/SAE 1020 steel

    Energy Technology Data Exchange (ETDEWEB)

    Dulce M., H.J.; Rueda V., Alejandro [Universidad Francisco de Paula Santander, A.A. 1055, Cucuta (Colombia); Dougar-Jabon, Valeri [Universidad Industrial de Santander, A.A. 678, Bucaramanga (Colombia)

    2005-08-01

    The three dimensional ion implantation technology (3DII) is one of the methods of improving the tribological characteristics and resistance to hydrogen embrittlement processes in metals. In this report, some results concerning the resistance effect of nitrogen ion implantation to oxidation of the sample, made of AISI/SAE 1020 steel, are given. The nitrogen ions were implanted in the discharge chamber of the JUPITER reactor. Both the treated and untreated samples were tested through potential-static measurements, which permitted to determine the corrosion current, the slopes that characterise the braking level of anode and cathode reactions. The polarization resistance near the corrosion potential is calculated. The results of the study encourage to consider the nitrogen ion implantation in high voltage and low pressure discharges as one of the methods of anticorrosive protection which do not change the geometric configuration of the treated steel pieces. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Diffusion of ion-implanted B in high concentration P- and As-doped silicon

    International Nuclear Information System (INIS)

    Fair, R.B.; Pappas, P.N.

    1975-01-01

    The diffusion of ion-implanted B in Si in the presence of a uniform background of high concentration P or As was studied by correlating numerical profile calculations with profiles determined by secondary-ion mass spectrometry (SIMS). Retarded B diffusion is observed in both As- and P-doped Si, consistent with the effect of the local Fermi-level position in the Si band gap on B diffusivity, D/sub B/. It is shown that D/sub B/ is linearly dependent on the free hole concentration, p, over the range 0.1 less than p/n/sub ie/ less than 30, where n/sub ie/ is the effective intrinsic electron concentration. This result does not depend on the way in which the background dopant has been introduced (implantation predeposition or doped-oxide source), nor the type of dopant used (P or As). (U.S.)

  16. Pitch ranking, electrode discrimination, and physiological spread of excitation using current steering in cochlear implants

    Science.gov (United States)

    Goehring, Jenny L.; Neff, Donna L.; Baudhuin, Jacquelyn L.; Hughes, Michelle L.

    2014-01-01

    The first objective of this study was to determine whether adaptive pitch-ranking and electrode-discrimination tasks with cochlear-implant (CI) recipients produce similar results for perceiving intermediate “virtual-channel” pitch percepts using current steering. Previous studies have not examined both behavioral tasks in the same subjects with current steering. A second objective was to determine whether a physiological metric of spatial separation using the electrically evoked compound action potential spread-of-excitation (ECAP SOE) function could predict performance in the behavioral tasks. The metric was the separation index (Σ), defined as the difference in normalized amplitudes between two adjacent ECAP SOE functions, summed across all masker electrodes. Eleven CII or 90 K Advanced Bionics (Valencia, CA) recipients were tested using pairs of electrodes from the basal, middle, and apical portions of the electrode array. The behavioral results, expressed as d′, showed no significant differences across tasks. There was also no significant effect of electrode region for either task. ECAP Σ was not significantly correlated with pitch ranking or electrode discrimination for any of the electrode regions. Therefore, the ECAP separation index is not sensitive enough to predict perceptual resolution of virtual channels. PMID:25480063

  17. Structural and compositional characterization of X-cut LiNbO3 crystals implanted with high energy oxygen and carbon ions

    International Nuclear Information System (INIS)

    Bentini, G.G.; Bianconi, M.; Cerutti, A.; Chiarini, M.; Pennestri, G.; Sada, C.; Argiolas, N.; Bazzan, M.; Mazzoldi, P.; Guzzi, R.

    2005-01-01

    High energy implantation of medium-light elements such as oxygen and carbon was performed in X-cut LiNbO 3 single crystals in order to prepare high quality optical waveguides. The compositional and damage profiles, obtained by exploiting the secondary ion mass spectrometry and Rutherford back-scattering techniques respectively, were correlated to the structural properties measured by the high resolution X-ray diffraction. This study evidences the development of tensile strain induced by the ion implantation that can contribute to the decrease of the ordinary refractive index variation through the photo-elastic effect

  18. Presence of Biofilms on Polyurethane-Coated Breast Implants: Preliminary Results.

    Science.gov (United States)

    Rieger, Ulrich M; Djedovic, Gabriel; Pattiss, Alexander; Raschke, Gregor F; Frei, Reno; Pierer, Gerhard; Trampuz, Andrej

    2016-01-01

    Polyurethane-coated breast implants seem to be associated with lower medium- and long-term capsular contracture rates in comparison to textured or smooth implant surfaces. Although the etiology of capsular contracture is uncertain, bacterial biofilms have been suggested to trigger chronic peri-implant inflammation, eventually leading to capsular contracture. It is unknown whether polyurethane-coated implants are less prone to biofilm colonization than other implant surfaces. We extracted data from patient records included in a prospective cohort between 2008 and 2011. All patients who underwent removal of polyurethane-coated implants were included in this current study and screened for presence of biofilms by sonication. In addition, implant- and patient-related data were analyzed. Of the ten included polyurethane-coated breast implants, six had been inserted for reconstructive purposes and four for aesthetic reasons. The median implant indwelling time was 28.3 mo. Overall, sonication cultures were positive in 50% of implants. Propionibacterium acnes and coagulase-negative staphylococci were the predominant pathogens isolated from biofilm cultures. Like other implant surfaces, polyurethane-coated implants are prone to biofilm colonization. Further investigations are needed to determine why capsular contracture rates seem to be lower in polyurethane implants than in other implant surfaces. Notably, in this study, 40% of the implants were explanted from breasts with severe capsular contracture.

  19. Finite Element Analysis of Bone Stress for Miniscrew Implant Proximal to Root Under Occlusal Force and Implant Loading.

    Science.gov (United States)

    Shan, Li-Hua; Guo, Na; Zhou, Guan-jun; Qie, Hui; Li, Chen-Xi; Lu, Lin

    2015-10-01

    Because of the narrow interradicular spaces and varying oral anatomies of individual patients, there is a very high risk of root proximity during the mini implants inserting. The authors hypothesized that normal occlusal loading and implant loading affected the stability of miniscrew implants placed in proximity or contact with the adjacent root. The authors implemented finite element analysis (FEA) to examine the effectiveness of root proximity and root contact. Stress distribution in the bone was assessed at different degrees of root proximity by generating 4 finite element models: the implant touches the root surface, the implant was embedded in the periodontal membrane, the implant touches the periodontal surface, and the implant touches nothing. Finite element analysis was then carried out with simulations of 2 loading conditions for each model: condition A, involving only tooth loading and condition B, involving both tooth and implant loading. Under loading condition A, the maximum stress on the bone for the implant touching the root was the distinctly higher than that for the other models. For loading condition B, peak stress areas for the implant touching the root were the area around the neck of the mini implant and the point of the mini implant touches the root. The results of this study suggest that normal occlusal loading and implant loading contribute to the instability of the mini implant when the mini implant touches the root.

  20. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  1. High current density ion source

    International Nuclear Information System (INIS)

    King, H.J.

    1977-01-01

    A high-current-density ion source with high total current is achieved by individually directing the beamlets from an electron bombardment ion source through screen and accelerator electrodes. The openings in these screen and accelerator electrodes are oriented and positioned to direct the individual beamlets substantially toward a focus point. 3 figures, 1 table

  2. 2-D analytical modeling of subthreshold current and subthreshold swing for ion-implanted strained-Si double-material double-gate (DMDG) MOSFETs

    Science.gov (United States)

    Goel, Ekta; Singh, Kunal; Singh, Balraj; Kumar, Sanjay; Jit, Satyabrata

    2017-09-01

    In this paper, the subthreshold behavior of ion-implanted strained-Si double-material double-gate (DMDG) MOSFETs has been analyzed by means of subthreshold current and subthreshold swing. The surface potential based formulation of subthreshold current and subthreshold swing is done by solving the 2-D Poisson's equations in the channel region using parabolic approximation method. The dependence of subthreshold characteristics on various device parameters such as gate length ratio, Ge mole fraction, peak doping concentration, projected range, straggle parameter etc. has been studied. The modeling results are found to be well matched with the simulation data obtained by a 2-D device simulator, ATLAS™, from SILVACO.

  3. Mandibular implant-supported overdentures: Prosthetic overview

    Directory of Open Access Journals (Sweden)

    Fahad A Al-Harbi

    2018-01-01

    Full Text Available Implant-supported overdentures are becoming the treatment of choice for the completely edentulous mandible. They significantly improve the quality of life in edentulous patients. For this review article, the literature was searched to identify pertinent studies. No meta-analysis was conducted because of high heterogeneity within the literature. Accordingly, in this review article, the author provides an update on implant-supported mandible overdentures with regard to the number of implants, type of loading, stress–strain distribution, mode of implant-to-denture attachment, occlusal considerations and complications.

  4. Effect of fluence on the lattice site of implanted Er and implantation induced strain in GaN

    CERN Document Server

    Wahl, U; Decoster, S; Vantomme, A; Correi, J G

    2009-01-01

    A GaN thin film was implanted with 5 × 1014 cm−2 of 60 keV stable 166Er, followed by the implantation of 2 × 1013 cm−2 radioactive 167Tm (t1/2 = 9.3 d) and an annealing sequence up to 900 °C. The emission channeling (EC) technique was applied to assess the lattice location of Er following the Tm decay from the conversion electrons emitted by 167mEr, which showed that more than 50% of 167mEr occupies substitutional Ga sites. The results are briefly compared to a 167mEr lattice location experiment in a GaN sample not pre-implanted with 166Er. In addition, high-resolution X-ray diffraction (HRXRD) was used to characterize the perpendicular strain in the high-fluence implanted film. The HRXRD experiments showed that the Er implantation resulted in an increase of the c-axis lattice constant of the GaN film around 0.5–0.7%. The presence of significant disorder within the implanted region was corroborated by the fact that the EC patterns for off-normal directions exhibit a pronounced angular broadening of t...

  5. Optical and Electrical Properties of Ar+ Implanted PET

    Science.gov (United States)

    Kumar, Rajiv; Shekhawat, Nidhi; Sharma, Annu; Aggarwal, Sanjeev; Kumar, Praveen; Kanjilal, D.

    2011-07-01

    In the present work, the effect of 100 keV argon ion implantation on the optical and electrical properties of PET has been studied. A continuous reduction in optical band gap (from 3.63 to 1.93 eV) with increasing implantation dose has been observed as analyzed using UV-Visible absorption spectroscopy. Current-Voltage (I-V) characteristics have been studied which clearly indicate the enhancement in the conductivity of PET specimens as an effect of implantation. This increase in conductivity has been correlated with the decrease in optical band gap.

  6. Application of RI power sources to cardiac pacemakers and aftercare in its implantation

    International Nuclear Information System (INIS)

    Hori, Motokazu

    1974-01-01

    RI power sources have long life when they are implanted into human bodies together with cardiac pacemakers, as compared with e.g. mercury batteries. Therefore, the frequency of their replacement can be by far less. However, there are the problems of radiation protection, high cost, availability, etc. The following matters are described: The cardiac pacemaker and its power supply, implantation into human body, problems with patients and conventional power sources; the current state of RI power sources for cardiac pacemakers, including plutonium-238 RTG and 147 Pm and 3 H batteries; and problems with the RI power sources. (Mori, K.)

  7. New methods for oral rehabilitation with the dental implant

    International Nuclear Information System (INIS)

    Chang Joon Yim; Marx, R.E.

    1999-01-01

    Now autogenous bone and allogeneic bone implants offer a wide variety of surgical options to surgeons in the advanced dental implant surgery, whether its used separately or in combination. The surgeons are able to make judicious and fruitful choices, only with a thorough knowledge of the basic biological principles and skillful techniques. Further development of the new materials or new techniques in bone grafts has enabled the clinicians to repair even the most difficult bony defects successfully during dental implant surgery. Currently, researchers' and clinicians' interests were focused on the various growth factors such as PDGF, TGF-beta or BMPs. Platelets has been known as a source of PDGF and TGF-beta. Current technique of autogenous cancellous cellular bone graft mixed with the patient's own concentrated platelet rich plasma(PRP) gel has been developed. Several recombinant human BMP(rh-BMP)s has been studied for human clinical trial in a variety of bone defect cases related to the dental implants and FDA approval. Some showed favorable results. Rh-BMP7 was clinically tried to fill the space defects after lifting the Schneiderian membrane in the maxillary sinus of the patient. In several months dental implants were successfully placed at the edentulous maxillae where the maxillary sinus defects has been filled with rh-BMP will be discussed. The authors will introduce the basic ideas, basic histological study and the current techniques of bone grafts mixed with autogenous platelet concentrates gel and its clinical cases applied for the dental implant surgery. The idea of 'tent pole' technique was applied for the severely atrophic mandible and the results were predictable

  8. In vivo demonstration of injectable microstimulators based on charge-balanced rectification of epidermically applied currents

    Science.gov (United States)

    Ivorra, Antoni; Becerra-Fajardo, Laura; Castellví, Quim

    2015-12-01

    Objective. It is possible to develop implantable microstimulators whose actuation principle is based on rectification of high-frequency (HF) current bursts supplied through skin electrodes. This has been demonstrated previously by means of devices consisting of a single diode. However, previous single diode devices caused dc currents which made them impractical for clinical applications. Here flexible thread-like stimulation implants which perform charge balance are demonstrated in vivo. Approach. The implants weigh 40.5 mg and they consist of a 3 cm long tubular silicone body with a diameter of 1 mm, two electrodes at opposite ends, and, within the central section of the body, an electronic circuit made up of a diode, two capacitors, and a resistor. In the present study, each implant was percutaneously introduced through a 14 G catheter into either the gastrocnemius muscle or the cranial tibial muscle of a rabbit hindlimb. Then stimulation was performed by delivering HF bursts (amplitude pair of textile electrodes strapped around the hindlimb and either isometric plantarflexion or dorsiflexion forces were recorded. Stimulation was also assayed 1, 2 and 4 weeks after implantation. Main results. The implants produced bursts of rectified current whose mean value was of a few mA and were capable of causing local neuromuscular stimulation. The implants were well-tolerated during the 4 weeks. Significance. Existing power supply methods, and, in particular inductive links, comprise stiff and bulky parts. This hinders the development of minimally invasive implantable devices for neuroprostheses based on electrical stimulation. The proposed methodology is intended to relieving such bottleneck. In terms of mass, thinness, and flexibility, the demonstrated implants appear to be unprecedented among the intramuscular stimulation implants ever assayed in vertebrates.

  9. Dislocation of cochlear implant magnet as a complication following MRI.

    Science.gov (United States)

    Murtojärvi, Sarita; Salonen, Jaakko

    According to current best knowledge, an MRI scan can be performed for patients with cochlear implants. The warnings and recommendations of the implant manufacturers must be followed strictly to prevent complications, such as overheating, migration or demagnetization of the magnet in the implant. We report on a case of cochlear implant magnet dislocation as a complication for an MRI scan. The patient had a tight bandage around the head to hold the magnet in place as recommended by the manufacturer, but apparently the bandage was not in the correct place.

  10. In Vivo Demonstration of Addressable Microstimulators Powered by Rectification of Epidermically Applied Currents for Miniaturized Neuroprostheses.

    Science.gov (United States)

    Becerra-Fajardo, Laura; Ivorra, Antoni

    2015-01-01

    Electrical stimulation is used in order to restore nerve mediated functions in patients with neurological disorders, but its applicability is constrained by the invasiveness of the systems required to perform it. As an alternative to implantable systems consisting of central stimulation units wired to the stimulation electrodes, networks of wireless microstimulators have been devised for fine movement restoration. Miniaturization of these microstimulators is currently hampered by the available methods for powering them. Previously, we have proposed and demonstrated a heterodox electrical stimulation method based on electronic rectification of high frequency current bursts. These bursts can be delivered through textile electrodes on the skin. This approach has the potential to result in an unprecedented level of miniaturization as no bulky parts such as coils or batteries are included in the implant. We envision microstimulators designs based on application-specific integrated circuits (ASICs) that will be flexible, thread-like (diameters electrical stimulation method are feasible and can perform controlled charge-balanced electrical stimulation of muscles. We developed miniature external circuit prototypes connected to two bipolar probes that were percutaneously implanted in agonist and antagonist muscles of the hindlimb of an anesthetized rabbit. The electronic implant architecture was able to decode commands that were amplitude modulated on the high frequency (1 MHz) auxiliary current bursts. The devices were capable of independently stimulating the target tissues, accomplishing controlled dorsiflexion and plantarflexion joint movements. In addition, we numerically show that the high frequency current bursts comply with safety standards both in terms of tissue heating and unwanted electro-stimulation. We demonstrate that addressable microstimulators powered by rectification of epidermically applied currents are feasible.

  11. Impact of oedema on implant geometry and dosimetry for temporary high dose rate brachytherapy of the prostate

    International Nuclear Information System (INIS)

    Kiffer, J.D.; Schumer, W.A.; Mantle, C.A.; McKenzie, B.J.; Feigen, M.; Quong, G.G.; Waterman, F.M.

    2003-01-01

    The optimal timing of dosimetry for permanent seed prostatic implants remains contentious given the half life of post-implant oedema resolution. The aim of this study was to establish whether prostatic oedematous change over the duration of a temporary high dose rate (HDR) interstitial brachytherapy (BR) boost would result in significant needle displacement, and whether this change in geometry would influence dosimetry. Two CT scans, one for dosimetric purposes on the day of the implant and the second just prior to implant removal, were obtained for four patients receiving transperineal interstitial prostate brachytherapy. The relative changes in cross-sectional dimensions of the implants were calculated by establishing the change in mean radial distance (MRD) of the needle positions from the geometric centre of the implant for each patient's pair of CT studies. The treatment plan, as calculated from the first CT scan, was used in the second set of CT images to allow a comparison of dose distribution. The percentage change in MRD over the duration of the temporary implants ranged from -1.91% to 1.95%. The maximum change in estimated volume was 3.94%. Dosimetric changes were negligible. In the four cases studied, the degree of oedematous change and consequent displacement of flexiguide needle positions was negligible and did not impact on the dosimetry. The rate and direction of oedematous change can be extremely variable but on the basis of the four cases studied and the results of a larger recent study, it might not be necessary to re-image patients for dosimetric purposes over the duration of a fractionated HDR BT boost to the prostate where flexiguide needles are utilized. Nevertheless, further investigation with larger patient numbers is required. Copyright (2003) Blackwell Science Pty Ltd

  12. Does the Implant Surgical Technique Affect the Primary and/or Secondary Stability of Dental Implants? A Systematic Review

    Science.gov (United States)

    Shadid, Rola Muhammed; Sadaqah, Nasrin Rushdi; Othman, Sahar Abdo

    2014-01-01

    Background. A number of surgical techniques for implant site preparation have been advocated to enhance the implant of primary and secondary stability. However, there is insufficient scientific evidence to support the association between the surgical technique and implant stability. Purpose. This review aimed to investigate the influence of different surgical techniques including the undersized drilling, the osteotome, the piezosurgery, the flapless procedure, and the bone stimulation by low-level laser therapy on the primary and/or secondary stability of dental implants. Materials and methods. A search of PubMed, Cochrane Library, and grey literature was performed. The inclusion criteria comprised observational clinical studies and randomized controlled trials (RCTs) conducted in patients who received dental implants for rehabilitation, studies that evaluated the association between the surgical technique and the implant primary and/or secondary stability. The articles selected were carefully read and classified as low, moderate, and high methodological quality and data of interest were tabulated. Results. Eight clinical studies were included then they were classified as moderate or high methodological quality and control of bias. Conclusions. There is a weak evidence suggesting that any of previously mentioned surgical techniques could influence the primary and/or secondary implant stability. PMID:25126094

  13. Does the Implant Surgical Technique Affect the Primary and/or Secondary Stability of Dental Implants? A Systematic Review

    Directory of Open Access Journals (Sweden)

    Rola Muhammed Shadid

    2014-01-01

    Full Text Available Background. A number of surgical techniques for implant site preparation have been advocated to enhance the implant of primary and secondary stability. However, there is insufficient scientific evidence to support the association between the surgical technique and implant stability. Purpose. This review aimed to investigate the influence of different surgical techniques including the undersized drilling, the osteotome, the piezosurgery, the flapless procedure, and the bone stimulation by low-level laser therapy on the primary and/or secondary stability of dental implants. Materials and methods. A search of PubMed, Cochrane Library, and grey literature was performed. The inclusion criteria comprised observational clinical studies and randomized controlled trials (RCTs conducted in patients who received dental implants for rehabilitation, studies that evaluated the association between the surgical technique and the implant primary and/or secondary stability. The articles selected were carefully read and classified as low, moderate, and high methodological quality and data of interest were tabulated. Results. Eight clinical studies were included then they were classified as moderate or high methodological quality and control of bias. Conclusions. There is a weak evidence suggesting that any of previously mentioned surgical techniques could influence the primary and/or secondary implant stability.

  14. Management of dental implant fractures. A case history.

    Science.gov (United States)

    Al Quran, Firas A M; Rashan, Bashar A; Al-Dwairi, Ziad N

    2009-01-01

    The widespread use of endosseous osseointegrated implants to replace missing natural teeth increases the chances of implant complications and failures, despite the high initial success rate reported in the literature. Implant fracture is one possible complication that results in ultimate failure of the dental implant. Such a complication poses a management crisis even for the most experienced clinician. This article reports on a case of implant fracture, its possible causes, and how the case was managed.

  15. Biomechanical implant treatment complications: a systematic review of clinical studies of implants with at least 1 year of functional loading.

    Science.gov (United States)

    Hsu, Yung-Ting; Fu, Jia-Hui; Al-Hezaimi, Khalid; Wang, Hom-Lay

    2012-01-01

    The aim of this article is to discuss the current literature available on the etiology and management of biomechanical complications of dental implant treatment. An electronic search of the PubMed database for English-language articles published before May 31, 2011, was performed based on a focus question: "How can biomechanical implant treatment complications be managed and identified?" The key words used were "dental implant," "etiology," "management," "excessive occlusal forces," "occlusal forces," "occlusion," "parafunctional habits," "biomechanical failure," "biomechanical complications," and "occlusal overloading." Clinical trials with a minimum of 10 implants followed for at least 1 year after functional loading were included. The initial electronic search identified 2,087 publications, most of which were eliminated, as they were animal studies, finite element analyses, bench-top studies, case reports, and literature reviews. After the titles, abstracts, and full text of 39 potentially eligible publications were reviewed, 15 studies were found to fulfill the inclusion criteria. Occlusal overloading was thought to be the primary etiologic factor in biomechanical implant treatment complications, which commonly included marginal bone loss, fracture of resin/ceramic veneers and porcelain, retention device or denture base fracture of implant-supported overdentures, loosening or fracture of abutment screws, and even implant failure. Occlusal overloading was positively associated with parafunctional habits such as bruxism. An appreciation of the intricacy of implant occlusion would allow clinicians to take a more preventive approach when performing implant treatment planning, as avoidance of implant overloading helps to ensure the long-term stability of implant-supported prostheses.

  16. Implantation temperature and thermal annealing behavior in H{sub 2}{sup +}-implanted 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Li, B.S., E-mail: b.s.li@impcas.ac.cn; Wang, Z.G.; Jin, J.F.

    2013-12-01

    The effects of hydrogen implantation temperature and annealing temperature in 6H-SiC are studied by the combination of Rutherford backscattering in channeling geometry (RBS/C), high-resolution X-ray diffraction (HRXRD) and scanning electron microscopy (SEM). 6H-SiC wafers were implanted with 100 keV H{sub 2}{sup +} ions to a fluence of 2.5 × 10{sup 16} H{sub 2}{sup +} cm{sup −2} at room temperature (RT), 573 K and 773 K. Post-implantation, the samples were annealing under argon gas flow at different temperatures from 973 K to 1373 K for isochronal annealing (15 min). The relative Si disorder at the damage peak for the sample implanted at RT decreases gradually with increasing annealing temperature. However, the reverse annealing effect is found for the samples implanted at 573 K and 773 K. As-implantation, the intensity of in-plane compressive stress is the maximum as the sample was implanted at RT, and is the minimum as the sample was implanted at 573 K. The intensity of in-plane compressive stress for the sample implanted at RT decreases gradually with increasing annealing temperature, while the intensities of in-plane compressive stress for the sample implanted at 573 K and 773 K show oscillatory changes with increasing annealing temperature. After annealing at 1373 K, blisters and craters occur on the sample surface and their average sizes increase with increasing implantation temperature.

  17. High complication rate in reconstruction of Paprosky type IIIa acetabular defects using an oblong implant with modular side plates and a hook.

    Science.gov (United States)

    Babis, G C; Sakellariou, V I; Chatziantoniou, A N; Soucacos, P N; Megas, P

    2011-12-01

    We report the results of 62 hips in 62 patients (17 males, 45 females) with mean age of 62.4 years (37 to 81), who underwent revision of the acetabular component of a total hip replacement due to aseptic loosening between May 2003 and November 2007. All hips had a Paprosky type IIIa acetabular defect. Acetabular revision was undertaken using a Procotyl E cementless oblong implant with modular side plates and a hook combined with impaction allografting. At a mean follow-up of 60.5 months (36 to 94) with no patients lost to follow-up and one died due to unrelated illness, the complication rate was 38.7%. Complications included aseptic loosening (19 hips), deep infection (3 hips), broken hook and side plate (one hip) and a femoral nerve palsy (one hip). Further revision of the acetabular component was required in 18 hips (29.0%) and a further four hips (6.4%) are currently loose and awaiting revision. We observed unacceptably high rates of complication and failure in our group of patients and cannot recommend this implant or technique.

  18. Residual stress in ion implanted titanium nitride studied by parallel beam glancing incidence x-ray diffraction

    International Nuclear Information System (INIS)

    Geist, D.E.; Perry, A.J.; Treglio, J.R.; Valvoda, V.; Rafaja, D.

    1995-01-01

    Ion implantation is known to increase the lifetime of cutting tools. Current theories are the increase in lifetime is caused by an increase in the residual stress, or by work hardening of the surface associated with the implantation. In this work the effect of ion implantation on the residual stress in titanium nitride coatings made by the standard industrial methods of chemical and physical vapor deposition (CVD and PVD) is studied. It is found in the as-received condition (unimplanted), the residual stress levels are near zero for CVD materials and highly compressive, of the order of 6 GPa, for PVD materials. Ion implantation has no effect on the residual stress in the coatings made by CVD. Nitrogen does increase the compressive residual stress by some 10% in the near surface regions of PVD coatings, while nickel-titanium dual metal ion implantation does not have any effect. It appears that the lifetime increase is not associated with residual stress effects

  19. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  20. Technical devices for hearing-impaired individuals: cochlear implants and brain stem implants - developments of the last decade.

    Science.gov (United States)

    Müller, Joachim

    2005-01-01

    Over the past two decades, the fascinating possibilities of cochlear implants for congenitally deaf or deafened children and adults developed tremendously and created a rapidly developing interdisciplinary research field.The main advancements of cochlear implantation in the past decade are marked by significant improvement of hearing and speech understanding in CI users. These improvements are attributed to the enhancement of speech coding strategies.The Implantation of more (and increasingly younger) children as well as the possibilities of the restoration of binaural hearing abilities with cochlear implants reflect the high standards reached by this development. Despite this progress, modern cochlear implants do not yet enable normal speech understanding, not even for the best patients. In particular speech understanding in noise remains problematic [1]. Until the mid 1990ies research concentrated on unilateral implantation. Remarkable and effective improvements have been made with bilateral implantation since 1996. Nowadays an increasing numbers of patients enjoy these benefits.

  1. Implantation activation annealing of Si-implanted gallium nitride at temperatures > 1,100 C

    International Nuclear Information System (INIS)

    Zolper, J.C.; Han, J.; Biefeld, R.M.

    1997-01-01

    The activation annealing of Si-implanted GaN is reported for temperatures from 1,100 to 1,400 C. Although previous work has shown that Si-implanted GaN can be activated by a rapid thermal annealing at ∼1,100 C, it was also shown that significant damage remained in the crystal. Therefore, both AlN-encapsulated and uncapped Si-implanted GaN samples were annealed in a metal organic chemical vapor deposition system in a N 2 /NH 3 ambient to further assess the annealing process. Electrical Hall characterization shows increases in carrier density and mobility for annealing up to 1,300 C before degrading at 1,400 C due to decomposition of the GaN epilayer. Rutherford backscattering spectra show that the high annealing temperatures reduce the implantation induced damage profile but do not completely restore the as-grown crystallinity

  2. Implant damage and redistribution of indium in indium-implanted thin silicon-on-insulator

    International Nuclear Information System (INIS)

    Chen Peng; An Zhenghua; Zhu Ming; Fu, Ricky K.Y.; Chu, Paul K.; Montgomery, Neil; Biswas, Sukanta

    2004-01-01

    The indium implant damage and diffusion behavior in thin silicon-on-insulator (SOI) with a 200 nm top silicon layer were studied for different implantation energies and doses. Rutherford backscattering spectrometry in the channeling mode (RBS/C) was used to characterize the implant damage before and after annealing. Secondary ion mass spectrometry (SIMS) was used to study the indium transient enhanced diffusion (TED) behavior in the top Si layer of the SOI structure. An anomalous redistribution of indium after relatively high energy (200 keV) and dose (1 x 10 14 cm -2 ) implantation was observed in both bulk Si and SOI substrates. However, there exist differences in these two substrates that are attributable to the more predominant out-diffusion of indium as well as the influence of the buried oxide layer in the SOI structure

  3. Rapid-relocation model for describing high-fluence retention of rare gases implanted in solids

    Science.gov (United States)

    Wittmaack, K.

    2009-09-01

    It has been known for a long time that the maximum areal density of inert gases that can be retained in solids after ion implantation is significantly lower than expected if sputter erosion were the only limiting factor. The difference can be explained in terms of the idea that the trapped gas atoms migrate towards the surface in a series of detrapping-trapping events so that reemission takes place well before the receding surface has advanced to the original depth of implantation. Here it is shown that the fluence dependent shift and shape of implantation profiles, previously determined by Rutherford backscattering spectrometry (RBS), can be reproduced surprisingly well by extending a simple retention model originally developed to account only for the effect of surface recession by sputtering ('sputter approximation'). The additional migration of inert gas atoms is formally included by introducing an effective shift parameter Yeff as the sum of the sputtering yield Y and a relocation efficiency Ψrel. The approach is discussed in detail for 145 keV Xe + implanted in Si at normal incidence. Yeff was found to increase with increasing fluence, to arrive at a maximum equivalent to about twice the sputtering yield. At the surface one needs to account for Xe depletion and the limited depth resolution of RBS. The (high-fluence) effect of implanted Xe on the range distributions is discussed on the basis of SRIM calculations for different definitions of the mean target density, including the case of volume expansion (swelling). To identify a 'range shortening' effect, the implanted gas atoms must be excluded from the definition of the depth scale. The impact-energy dependence of the relocation efficiency was derived from measured stationary Xe concentrations. Above some characteristic energy (˜20 keV for Ar, ˜200 keV for Xe), Y exceeds Ψrel. With decreasing energy, however, Ψrel increases rapidly. Below 2-3 keV more than 90% of the reemission of Ar and Xe is estimated

  4. Optimization of High-Energy Implanter Beamline Pumping

    International Nuclear Information System (INIS)

    LaFontaine, Marvin; Pharand, Michel; Huang Yongzhang; Pokidov, Ilya; Ferrara, Joseph

    2006-01-01

    A high-energy implanter process chamber and its pumping configuration were designed to minimize the residual gas density in the endstation. A modified Nastran trade mark sign finite-element analysis (FEA) code was used to calculate the pressure distribution and gas flow within the process chamber. The modified FE method was readily applied to the internal geometry of the scan chamber, the corrector magnet waveguide, and the process chamber, which included the scan arm assembly, 300mm wafer, and plasma electron flood gun (PEF). Using the modified Nastran code, the gas flow and pressure distribution within the beamline geometry were calculated. The gas load consisted of H2, which is generated by photoresist (PR) outgassing from the 300mm wafer, and Xe from the plasma electron flood gun. Several pumping configurations were assessed, with each consisting of various locations and pumping capacities of vacuum pumps. The pressure distribution results for each configuration are presented, along with pumping efficiency results which are helpful in selecting the optimum pump configuration. The analysis results were compared to measured data, indicating a good correlation between the two

  5. Electrical properties and dielectric spectroscopy of Ar{sup +} implanted polycarbonate

    Energy Technology Data Exchange (ETDEWEB)

    Chawla, Mahak, E-mail: mahak.chawla@gmail.com; Shekhawat, Nidhi; Aggarwal, Sanjeev; Sharma, Annu [Department of Physics, Kurukshetra University, Kurukshetra - 136119 (India); Nair, K. G. M. [Consultant, UGC-DAE Consortium for Scientific Research, Kalpakkam Node, Kokilamedu-603104, Tamilnadu (India)

    2015-05-15

    The aim of the present paper is to study the effect of argon ion implantation on electrical and dielectric properties of polycarbonate. Specimens were implanted with 130 keV Ar{sup +} ions in the fluence ranging from 1×10{sup 14} to 1×10{sup 16} ions cm{sup −2}. The beam current used was ∼0.40 µA cm{sup −2}. The electrical conduction behaviour of virgin and Ar{sup +} implanted polycarbonate specimens have been studied through current-voltage (I-V characteristic) measurements. It has been observed that after implantation conductivity increases with increasing ion fluence. The dielectric spectroscopy of these specimens has been done in the frequency range of 100 kHz-100 MHz. Relaxation processes were studied by Cole-Cole plot of complex permittivity (real part of complex permittivity, ε′ vs. imaginary part of complex permittivity, ε″). The Cole-Cole plots have also been used to determine static dielectric constant (ε{sub s}), optical dielectric constant (ε{sub ∞}), spreading factor (α), average relaxation time (τ{sub 0}) and molecular relaxation time (τ). The dielectric behaviour has been found to be significantly affected due to Ar{sup +} implantation. The possible correlation between this behaviour and the changes induced by the implantation has been discussed.

  6. The structure and elemental composition of the SiO2 layers with zinc-based nano clusters created by high-dose implantation and annealing

    International Nuclear Information System (INIS)

    Mokhovikov, M.A.; Komarov, F.F.; Vlasukova, L.A.; Mil'chanin, O.V.; Wendler, E.; Wesch, W.; Zhukovski, P.; Vengerek, P.

    2015-01-01

    We present the results of the structure and elemental composition of the SiO 2 layers after high-dose zinc implantation (10 16 - 10 17 sm -2 ) at room temperature and at 500°C, as well as after 700°C annealing. In the case of 'hot' implantation the formation of nano sized (to 5 nm) clusters containing atoms of zinc is registered in as-implanted samples. TEM-analysis proves crystalline structure of these precipitates. Subsequent annealing results in a redistribution of zinc within the implanted layer and in the formation of large crystallites (10 -12 nm for a dose of 5*10 16 cm -2 and 12-18 nm for a dose of 10 17 cm -2 ) in the area of high impurity concentration. (authors)

  7. An overview of recent advances in designing orthopedic and craniofacial implants.

    Science.gov (United States)

    Mantripragada, Venkata P; Lecka-Czernik, Beata; Ebraheim, Nabil A; Jayasuriya, Ambalangodage C

    2013-11-01

    Great deal of research is still going on in the field of orthopedic and craniofacial implant development to resolve various issues being faced by the industry today. Despite several disadvantages of the metallic implants, they continue to be used, primarily because of their superior mechanical properties. In order to minimize the harmful effects of the metallic implants and its by-products, several modifications are being made to these materials, for instance nickel-free stainless steel, cobalt-chromium and titanium alloys are being introduced to eliminate the toxic effects of nickel being released from the alloys, introduce metallic implants with lower modulus, reduce the cost of these alloys by replacing rare elements with less expensive elements etc. New alloys like tantalum, niobium, zirconium, and magnesium are receiving attention given their satisfying mechanical and biological properties. Non-oxide ceramics like silicon nitride and silicon carbide are being currently developed as a promising implant material possessing a combination of properties such as good wear and corrosion resistance, increased ductility, good fracture and creep resistance, and relatively high hardness in comparison to alumina. Polymer/magnesium composites are being developed to improve mechanical properties as well as retain polymer's property of degradation. Recent advances in orthobiologics are proving interesting as well. This paper thus deals with the latest improvements being made to the existing implant materials and includes new materials being introduced in the field of biomaterials. Copyright © 2013 Wiley Periodicals, Inc.

  8. Dual-modal photoacoustic and ultrasound imaging of dental implants

    Science.gov (United States)

    Lee, Donghyun; Park, Sungjo; Kim, Chulhong

    2018-02-01

    Dental implants are common method to replace decayed or broken tooth. As the implant treatment procedures varies according to the patients' jawbone, bone ridge, and sinus structure, appropriate examinations are necessary for successful treatment. Currently, radiographic examinations including periapical radiology, panoramic X-ray, and computed tomography are commonly used for diagnosing and monitoring. However, these radiographic examinations have limitations in that patients and operators are exposed to radioactivity and multiple examinations are performed during the treatment. In this study, we demonstrated photoacoustic (PA) and ultrasound (US) combined imaging of dental implant that can lower the total amount of absorbed radiation dose in dental implant treatment. An acoustic resolution PA macroscopy and a clinical PA/US system was used for dental implant imaging. The acquired dual modal PA/US imaging results support that the proposed photoacoustic imaging strategy can reduce the radiation dose rate during dental implant treatment.

  9. One-year results of maxillary overdentures supported by 2 titanium-zirconium implants - implant survival rates and radiographic outcomes.

    Science.gov (United States)

    Zembic, Anja; Tahmaseb, Ali; Jung, Ronald E; Wismeijer, Daniel

    2017-07-01

    To assess implant survival rates and peri-implant bone loss of 2 titanium-zirconium implants supporting maxillary overdentures at 1 year of loading. Twenty maxillary edentulous patients (5 women and 15 men) being dissatisfied with their complete dentures were included. In total, 40 diameter-reduced titanium-zirconium implants were placed in the anterior maxilla. Local guided bone regeneration (GBR) was allowed if the treatment did not compromise implant stability. Following 3 to 5 months of healing, implant-supported overdentures were inserted on two ball anchors. Implants and overdentures were assessed at 1, 2, 4, and 8 weeks after implant insertion and 2, 4, and 12 months after insertion of overdentures (baseline). Standardized radiographs were taken at implant loading and 1 year. Implant survival rates and bone loss were the primary outcomes. Nineteen patients (1 dropout) with 38 implants were evaluated at a mean follow-up of 1.1 years (range 1.0-1.7 years). One implant failed resulting in an implant survival rate of 97.3%. There was a significant peri-implant bone loss of the implants at 1 year of function (mean, 0.7 mm, SD = 1.1 mm; median: 0.48 mm, IQR = 0.56 mm). There was a high 1-year implant survival rate for edentulous patients receiving 2 maxillary implants and ball anchors as overdenture support. However, several implants exhibited an increased amount of bone loss of more than 2 mm. Overdentures supported by 2 maxillary implants should thus be used with caution as minimally invasive treatment for specific patients encountering problems with their upper dentures until more long-term data is available. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  10. A Systematic Review to Define the Speech and Language Benefit of Early (<12 Months) Pediatric Cochlear Implantation.

    Science.gov (United States)

    Bruijnzeel, Hanneke; Ziylan, Fuat; Stegeman, Inge; Topsakal, Vedat; Grolman, Wilko

    2016-01-01

    This review aimed to evaluate the additional benefit of pediatric cochlear implantation before 12 months of age considering improved speech and language development and auditory performance. We conducted a search in PubMed, EMBASE and CINAHL databases and included studies comparing groups with different ages at implantation and assessing speech perception and speech production, receptive language and/or auditory performance. We included studies with a high directness of evidence (DoE). We retrieved 3,360 articles. Ten studies with a high DoE were included. Four articles with medium DoE were discussed in addition. Six studies compared infants implanted before 12 months with children implanted between 12 and 24 months. Follow-up ranged from 6 months to 9 years. Cochlear implantation before the age of 2 years is beneficial according to one speech perception score (phonetically balanced kindergarten combined with consonant-nucleus-consonant) but not on Glendonald auditory screening procedure scores. Implantation before 12 months resulted in better speech production (diagnostic evaluation of articulation and phonology and infant-toddler meaningful auditory integration scale), auditory performance (Categories of Auditory Performance-II score) and receptive language scores (2 out of 5; Preschool Language Scale combined with oral and written language skills and Peabody Picture Vocabulary Test). The current best evidence lacks level 1 evidence studies and consists mainly of cohort studies with a moderate to high risk of bias. Included studies showed consistent evidence that cochlear implantation should be performed early in life, but evidence is inconsistent on all speech and language outcome measures regarding the additional benefit of implantation before the age of 12 months. Long-term follow-up studies are necessary to provide insight on additional benefits of early pediatric cochlear implantation. © 2016 S. Karger AG, Basel.

  11. Modification of electrical properties of polymer membranes by ion implantation (II)

    International Nuclear Information System (INIS)

    Dworecki, K.; Hasegawa, T.; Sudlitz, K.; Slezak, A.; Wasik, S.

    2001-01-01

    In the present work we report on the results of an experimental study of the electrical properties of polymer ion irradiated polyethylene terephthalate (PET) membranes. The polymer samples have been implanted under vacuum at room temperature with a variety of ions (C 4+ , O 6+ , S 7+ ) at energy of 10 keV/q up to the dose of 10 15 ions/cm 2 and then they were polarized in an electric field of 4.16x10 6 V/m at non-isothermal conditions. The electrical properties and changes in chemical structure of ion implanted membranes were studied by the conductivity and discharge currents measurements, FTIR spectra and differential thermal analysis. The electrical conductivity of the PET membranes is determined by the charge transport caused by free space charge and by thermal releasing of charge carriers. The spectra of thermally induced discharge current (TDC) shows that ion irradiated PET membranes are characterized by high ability of charge accumulation

  12. Development of long lifetime-high current plasma cathode ion source

    International Nuclear Information System (INIS)

    Yabe, Eiji; Takayama, Kazuo; Fukui, Ryota.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma cathode is convergent, i.e. filament-like; in zero magnetic field, it turns divergent and spray-like. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 hours with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is eminently suitable for use in oxygen ion production. (author)

  13. A chlorhexidine-releasing epoxy-based coating on titanium implants prevents Staphylococcus aureus experimental biomaterial-associated infection

    NARCIS (Netherlands)

    Riool, M.; Dirks, A. J.; Jaspers, V.; de Boer, L.; Loontjens, T. J.; van der Loos, C. M.; Florquin, S.; Apachitei, I.; Rijk, L. N.; Keul, H. A.; Zaat, S. A.

    2017-01-01

    Prevention of biomaterial-associated infections (BAI) remains a challenging problem, in particular due to the increased risk of resistance development with the current antibiotic-based strategies. Metallic orthopaedic devices, such as non-cemented implants, are often inserted under high mechanical

  14. Current practice patterns and knowledge among gynecologic surgeons of InterStim® programming after implantation.

    Science.gov (United States)

    Hobson, Deslyn T G; Gaskins, Jeremy T; Frazier, LaTisha; Francis, Sean L; Kinman, Casey L; Meriwether, Kate V

    2017-10-03

    The objective of this study was to describe surgeons' current practices in InterStim® programming after initial implantation and their knowledge of programming parameters. We hypothesized that surgeons performing their own reprogramming would have increased knowledge. We administered a written survey to attendees at the Society of Gynecologic Surgeons Scientific Meeting and analyzed those on which surgeons indicated they offer InterStim® care. The survey queried surgeon characteristics, experience with InterStim® implantation and programming, and clinical opinions regarding reprogramming and tested six knowledge-based questions about programming parameters. Correct response to all six questions was the primary outcome. One hundred and thirty-five of 407 (33%) attendees returned the survey, of which 99 met inclusion criteria. Most respondents (88 of 99; 89%) were between 36 and 60 years, 27 (73%) were women, 76 (77%) practiced in a university setting, and 76 (77%) were trained in Female Pelvic Medicine and Reconstructive Surgery (FPMRS). Surgeons who had InterStim® programming training were more likely to perform their own programming [15/46 (32%) vs 6/47 (13%), p = 0.03]. Most answered all knowledge-based questions correctly (62/90, 69%); no surgeon characteristics were significantly associated with this outcome. Most surgeons cited patient comfort (71/80, 89%) and symptom relief (64/80, 80%) as important factors when reprogramming, but no prevalent themes emerged on how and why surgeons change certain programming parameters. Surgeons who had formal InterStim® programming training are more likely to perform programming themselves. No surgeon characteristic was associated with improved programming knowledge. We found that surgeons prioritize patient comfort and symptoms when deciding to reprogram.

  15. [Maintenance care for dental implant].

    Science.gov (United States)

    Kamoi, K

    1989-10-01

    Dental implant has tried at the early stage in 19th century recovering an oral function and esthetics. Technological revolutions in biochemical and new materials have developed on the remarkable change in the dental implants, nowadays we call the three generation therapy for dental implantology. There are many kinds of methods and techniques in dental implants, however a lot of troublesome complication on the process of surgical phase, construction of prothodontics and prognosis of maintenance care. In the proceedings of this symposium, I would like to propose you how to manage the maintenance care for various kind of dental implants through the methodology and case presentations. Tendenay and future for dental implants The current outlook of dental implant has increasing supply and demand not only dentists but also patients. According to Japanese Welfare Ministry's report in 1987, average missing teeth over sixty years old generations are approximately 42% in accordance with NIDR (U.S.A.) research. They are missed on ten over teeth in full 28th teeth dentitions owing to dental caries and periodontal diseases. Generally speaking, latent implant patients are occupied on the same possibility of needs for dental implants both Japan and U.S.A. Management of maintenance care The patients hardly recognized the importance of plaque control for the maintenance care in the intraoral condition after implantation. Dentists and dental staffs must be instruct patients for importance of plaque removal and control, because they already had forgotten the habit of teeth cleaning, especially in the edenturous conditions. 1) Concept of establishment in oral hygiene. Motivation and instruction for patients include very important factors in dental implants as well as in periodontal diseases. Patients who could not achieve on good oral hygiene levels obtained no good results in the long term observations. To establish good oral hygiene are how to control supra plaque surrounding tissues

  16. Application of TXRF for ion implanter dose matching experiments

    Science.gov (United States)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  17. Comparison of clear lens extraction and collamer lens implantation in high myopia

    Directory of Open Access Journals (Sweden)

    Ahmed M Emarah

    2010-05-01

    Full Text Available Ahmed M Emarah, Mostafa A El-Helw, Hazem M YassinCairo University, Cairo, EgyptAim: To compare the outcomes of clear lens extraction and collamer lens implantation in high myopia.Patients and methods: Myopic patients younger than 40 years old with more than 12 diopters of myopia or who were not fit for laser-assisted in situ keratomileusis were included. Group 1 comprised patients undergoing clear lens extraction and Group 2 patients received the Visian implantable collamer lens. Outcome and complications were evaluated.Results: Postoperative best corrected visual acuity was -0.61 ± 0.18 in Group 1 and 0.79 ± 0.16 in Group 2. In Group 1, 71.4% achieved a postoperative uncorrected visual acuity better than the preoperative best corrected visual acuity, while only 51.8% patients achieved this in Group 2. Intraocular pressure decreased by 12.55% in Group 1, and increased by 15.11% in Group 2. Corneal endothelial cell density decreased by 4.47% in Group 1 and decreased by 5.67% in Group 2. Posterior capsule opacification occurred in Group 1. In Group 2, lens opacification occurred in 11.11%, significant pigment dispersion in 3.7%, and pupillary block glaucoma in 3.7%.Conclusion: Clear lens extraction presents less of a financial load up front, and less likelihood of the need for a secondary intervention in the future. Clear lens extraction is a more viable solution in developing countries with limited financial resources.Keywords: clear lens extraction, implantable collamer lens, myopia

  18. Improving sensitivity of residual current transformers to high frequency earth fault currents

    Directory of Open Access Journals (Sweden)

    Czapp Stanislaw

    2017-09-01

    Full Text Available For protection against electric shock in low voltage systems residual current devices are commonly used. However, their proper operation can be interfered when high frequency earth fault current occurs. Serious hazard of electrocution exists then. In order to detect such a current, it is necessary to modify parameters of residual current devices, especially the operating point of their current transformer. The authors proposed the modification in the structure of residual current devices. This modification improves sensitivity of residual current devices when high frequency earth fault current occurs. The test of the modified residual current device proved that the authors’ proposition is appropriate.

  19. High temperature superconductor current leads

    International Nuclear Information System (INIS)

    Zeimetz, B.; Liu, H.K.; Dou, S.X.

    1996-01-01

    Full text: The use of superconductors in high electrical current applications (magnets, transformers, generators etc.) usually requires cooling with liquid Helium, which is very expensive. The superconductor itself produces no heat, and the design of Helium dewars is very advanced. Therefore most of the heat loss, i.e. Helium consumption, comes from the current lead which connects the superconductor with its power source at room temperature. The current lead usually consists of a pair of thick copper wires. The discovery of the High Temperature Superconductors makes it possible to replace a part of the copper with superconducting material. This drastically reduces the heat losses because a) the superconductor generates no resistive heat and b) it is a very poor thermal conductor compared with the copper. In this work silver-sheathed superconducting tapes are used as current lead components. The work comprises both the production of the tapes and the overall design of the leads, in order to a) maximize the current capacity ('critical current') of the superconductor, b) minimize the thermal conductivity of the silver clad, and c) optimize the cooling conditions

  20. Reducing AC-Winding Losses in High-Current High-Power Inductors

    DEFF Research Database (Denmark)

    Nymand, Morten; Madawala, Udaya K.; Andersen, Michael Andreas E.

    2009-01-01

    Foil windings are preferable in high-current high-power inductors to realize compact designs and to reduce dc-current losses. At high frequency, however, proximity effect will cause very significant increase in ac resistance in multi-layer windings, and lead to high ac winding losses. This paper ...

  1. Usage of demineralized bone powder in dental implant surgery

    International Nuclear Information System (INIS)

    Chang Joon Yim

    1999-01-01

    While there is much concern in the dental community about the risk of disease transfer with processed bone a] iografts, there has never been a case of disease transfer with DFDB. Exclusionary techniques and chemical processing of the allogeneic bone has rendered these grafts safe for human implantation. The literature indicates that there has been considerable interest in the biology and applied science of osteoinduction. The accumulated evidence supports the concept of cartilage and bone cell differentiation induced by a unique bone motphogenetic protein (BMP). Currently clinical usage has been focused on the alveolar bone defects associated with the dental implant surgery, which has become one of the most important areas in dental outpatient clinic. Increased application of the endosseous dental implant system results in a lot of demands to regenerate the alveolar bone defects around the dental implants. Anderegg et al.(1991) reported the excellent results from the combination of DFDB powder and expanded PTFE (polytetrafluorethylene) membranes. Since 1980 the author experienced the human DFDB powders for the oral and maxillofacial surgery and the dental implant surgery. Yim and Kim(1993) evaluated 93 surgical sites where DFDB was used and found 96.7% of success rates at re-entry surgery. Mellonig and Triplett (1993) reported 97% of success rates, and Gelb (1993) obtained 98% of success rates. Fugazzotto (1994) placed 59 dental implants at the time of sinus lifts with the composite graft of DFDB and resorbable tricalcium phosphate and none of implants was lost on uncovering and only one was lost while functioning. Yim (1994) placed 44 dental implants at the time of sinus lifts with DFDB, and none of implants was lost on uncovering. Zinner and Small (1996) placed 215 dental implants at the time of sinus lifts (52 sinuses) with the composite graft of DFDB, and other materials, 3 implants of which were failed on uncovering. To date, maxillary sinus lift graft with

  2. High current induction linacs

    International Nuclear Information System (INIS)

    Barletta, W.; Faltens, A.; Henestroza, E.; Lee, E.

    1994-07-01

    Induction linacs are among the most powerful accelerators in existence. They have accelerated electron bunches of several kiloamperes, and are being investigated as drivers for heavy ion driven inertial confinement fusion (HIF), which requires peak beam currents of kiloamperes and average beam powers of some tens of megawatts. The requirement for waste transmutation with an 800 MeV proton or deuteron beam with an average current of 50 mA and an average power of 40 MW lies midway between the electron machines and the heavy ion machines in overall difficulty. Much of the technology and understanding of beam physics carries over from the previous machines to the new requirements. The induction linac allows use of a very large beam aperture, which may turn out to be crucial to reducing beam loss and machine activation from the beam halo. The major issues addressed here are transport of high intensity beams, availability of sources, efficiency of acceleration, and the state of the needed technology for the waste treatment application. Because of the transformer-like action of an induction core and the accompanying magnetizing current, induction linacs make the most economic sense and have the highest efficiencies with large beam currents. Based on present understanding of beam transport limits, induction core magnetizing current requirements, and pulse modulators, the efficiencies could be very high. The study of beam transport at high intensities has been the major activity of the HIF community. Beam transport and sources are limiting at low energies but are not significant constraints at the higher energies. As will be shown, the proton beams will be space-charge-dominated, for which the emittance has only a minor effect on the overall beam diameter but does determine the density falloff at the beam edge

  3. Radiation damage in He implanted silicon at high temperature using multi-energies

    CERN Document Server

    David, M L; Oliviero, E; Denanot, M F; Beaufort, M F; Declemy, A; Blanchard, C; Gerasimenko, N N; Barbot, J F

    2002-01-01

    He sup + ions were implanted at 800 deg. C into (1 0 0) silicon with multiple energies and selected fluences to get a number of displacement per atom constant in a large plateau. The ion-related defects have been mainly studied by transmission electron microscopy. Both the amount and the microstructure of defects have been found to be strongly dependent on the order of implants. Faceted cavities are only observed where damage overlapping occurs. The first implant provides thus nucleation sites for cavities. The generation of these sites is less efficient when using increasing energies because of damage recovery; fewer cavities are observed. Concurrently interstitial-type defects, left brace 1 1 3 right brace agglomerates, are formed. The observed state of growth of these left brace 1 1 3 right brace defects (rod-like and ribbon-like defects) is dependent on the implantation energy order but in any cases, no dislocation loops are observed even in the deepest damage region.

  4. Ion implantation of CdTe single crystals

    International Nuclear Information System (INIS)

    Wiecek, Tomasz; Popovich, Volodymir; Bester, Mariusz; Kuzma, Marian

    2017-01-01

    Ion implantation is a technique which is widely used in industry for unique modification of metal surface for medical applications. In semiconductor silicon technology ion implantation is also widely used for thin layer electronic or optoelectronic devices production. For other semiconductor materials this technique is still at an early stage. In this paper based on literature data we present the main features of the implantation of CdTe single crystals as well as some of the major problems which are likely to occur when dealing with them. The most unexpected feature is the high resistance of these crystals against the amorphization caused by ion implantation even at high doses (10"1"7 1/cm"2). The second property is the disposal of defects much deeper in the sample then it follows from the modeling calculations. The outline of principles of the ion implantation is included in the paper. The data based on RBS measurements and modeling results obtained by using SRIM software were taken into account.

  5. Dental implants: A review.

    Science.gov (United States)

    Guillaume, B

    2016-12-01

    A high number of patients have one or more missing tooth and it is estimated that one in four American subjects over the age of 74 have lost all their natural teeth. Many options exist to replace missing teeth but dental implants have become one of the most used biomaterial to replace one (or more) missing tooth over the last decades. Contemporary dental implants made with titanium have been proven safe and effective in large series of patients. This review considers the main historical facts concerned with dental implants and present the different critical factors that will ensure a good osseo-integration that will ensure a stable prosthesis anchorage. Copyright © 2016 Elsevier Masson SAS. All rights reserved.

  6. Evaluation of Geometrically Optimized Single- and Double-plane Interstitial High Dose Rate Implants with Respect to Conformality and Homogeneity

    International Nuclear Information System (INIS)

    Major, Tibor; Polgar, Csaba; Fodor, Janos; Takacsi-nagy, Zoltan; Mangel, Laszlo; Nemeth, Gyoergy

    2003-01-01

    The use of a stepping source in high dose rate brachytherapy supported with dwell-time optimization makes it possible to deviate from the classical dosimetry systems. Dose distributions of single- and double-plane implants were analysed for conformality and homogeneity at idealized target volumes. The Paris system was used for catheter positioning and target volume determination. Geometric optimization and individual dose prescription were applied. Volumetric indices and dose parameters were calculated at optimal active length, which was found to be equal to target volume length. The mean conformality, homogeneity, external volume and overdose volume indices were 0.78, 0.67, 0.22 and 0.13, respectively. The average minimum target and reference doses were 69% and 86%, respectively. Comparisons between the volumetric indices of geometrical optimized and non-optimized implants were also performed, and a significant difference was found regarding any index. The geometrical optimization resulted in superior conformality and slightly inferior homogeneity. At geometrically optimized implants, the active length can be reduced compared to non-optimized implants. Volumetric parameters and dose-volume histogram-based individual dose prescription are recommended for quantitative assessment of interstitial implants

  7. Microstructure of buried CoSi2 layers formed by high-dose Co implantation into (100) and (111) Si substrates

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Van Ommen, A.H.; Vandenhoudt, D.E.W.; Ottenheim, J.J.M.; de Jong, A.F.

    1991-01-01

    Heteroepitaxial Si/CoSi 2 /Si structures have been synthesized by implanting 170-keV Co + with doses in the range 1--3x10 17 Co + ions/cm 2 into (100) and (111) Si substrates and subsequent annealing. The microstructure of both the as-implanted and annealed structures is investigated in great detail by transmission electron microscopy, high-resolution electron microscopy, and x-ray diffraction. In the as-implanted samples, the Co is present as CoSi 2 precipitates, occurring both in aligned (A-type) and twinned (B-type) orientation. For the highest dose, a continuous layer of stoichiometric CoSi 2 is already formed during implantation. It is found that the formation of a connected layer, already during implantation, is crucial for the formation of a buried CoSi 2 layer upon subsequent annealing. Particular attention is given to the coordination of the interfacial Co atoms at the Si/CoSi 2 (111) interfaces of both types of precipitates. We find that the interfacial Co atoms at the A-type interfaces are fully sevenfold coordinated, whereas at the B-type interfaces they appear to be eightfold coordinated

  8. Wear properties of metal ion implanted 4140 steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Paoloni, F.J.

    1994-01-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10 16 ionscm -2 were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  9. Tardive Dyskinesia, Oral Parafunction, and Implant-Supported Rehabilitation

    Directory of Open Access Journals (Sweden)

    S. Lumetti

    2016-01-01

    Full Text Available Oral movement disorders may lead to prosthesis and implant failure due to excessive loading. We report on an edentulous patient suffering from drug-induced tardive dyskinesia (TD and oral parafunction (OP rehabilitated with implant-supported screw-retained prostheses. The frequency and intensity of the movements were high, and no pharmacological intervention was possible. Moreover, the patient refused night-time splint therapy. A series of implant and prosthetic failures were experienced. Implant failures were all in the maxilla and stopped when a rigid titanium structure was placed to connect implants. Ad hoc designed studies are desirable to elucidate the mutual influence between oral movement disorders and implant-supported rehabilitation.

  10. Tardive Dyskinesia, Oral Parafunction, and Implant-Supported Rehabilitation.

    Science.gov (United States)

    Lumetti, S; Ghiacci, G; Macaluso, G M; Amore, M; Galli, C; Calciolari, E; Manfredi, E

    2016-01-01

    Oral movement disorders may lead to prosthesis and implant failure due to excessive loading. We report on an edentulous patient suffering from drug-induced tardive dyskinesia (TD) and oral parafunction (OP) rehabilitated with implant-supported screw-retained prostheses. The frequency and intensity of the movements were high, and no pharmacological intervention was possible. Moreover, the patient refused night-time splint therapy. A series of implant and prosthetic failures were experienced. Implant failures were all in the maxilla and stopped when a rigid titanium structure was placed to connect implants. Ad hoc designed studies are desirable to elucidate the mutual influence between oral movement disorders and implant-supported rehabilitation.

  11. Thermal behaviour of nitrogen implanted into zirconium

    International Nuclear Information System (INIS)

    Miyagawa, S.; Ikeyama, M.; Saitoh, K.; Nakao, S.; Niwa, H.; Tanemura, S.; Miyagawa, Y.

    1994-01-01

    Zirconium films were implanted with 15 N ions of energy 50keV to a total fluence of 1x10 18 ionscm -2 in an attempt to study the formation process and thermal stability of ZrN layers produced by high fluence implantation of nitrogen. Subsequent to the implantation at room temperature, samples were annealed at temperatures of 300 C-900 C. The depth profiles of the implanted nitrogen were measured by nuclear reaction analysis using the 15 N(p,αγ) 12 C at E R =429keV, and the surfaces were examined by thin film X-ray diffraction (XRD) and scanning electron microscopy. There were many blisters 0.2-0.4μm in diameter on the surface of the as-implanted samples and double peaks were observed in the nitrogen depth profiles; they were in both sides of the mean projected range. It was found that most of the blisters became extinct after annealing above 400 C, and the XRD peak (111) intensity was increased with the increase in the annealing temperature. Moreover, 14 N and 15 N implantations were superimposed on Zr samples in order to study the atomic migration of nitrogen at each stage of high fluence implantation. It was found that the decrease in the peak at the deeper layers was related to blister extinction and nitrogen diffusion into underling zirconium which could be correlated with radiation damage induced by post-implanted ions. ((orig.))

  12. Monoclonal origin of peritoneal implants and lymph node deposits in serous borderline ovarian tumors (s-BOT) with high intratumoral homogeneity.

    Science.gov (United States)

    Horn, Lars-Christian; Höhn, Anne K; Einenkel, Jens; Siebolts, Udo

    2014-11-01

    Molecular studies have shown that the most prevalent mutations in serous ovarian borderline tumors (s-BOT) are BRAF and/or KRAS alterations. About one third of s-BOT represent peritoneal implants and/or lymph node involvement. These extraovarian deposits may be monoclonal or polyclonal in origin. To test both the hypotheses, mutational analyses using pyrosequencing for BRAF codon 600 and KRAS codon 12/13 and 61 of microdissected tissue was performed in 15 s-BOT and their invasive and noninvasive peritoneal implants. Two to 6 implants from different peritoneal sites were examined in 13 cases. Lymph node deposits were available for the analysis in 3 cases. Six s-BOT showed mutation in exon 2 codon 12 of the KRAS proto-oncogen. Five additional cases showed BRAF p.V600E mutation representing an overall mutation rate of 73.3%. Multiple (2-6) peritoneal implants were analyzed after microdissection in 13 of 15 cases. All showed identical mutational results when compared with the ovarian site of the disease. All lymph node deposits, including those with multiple deposits in different nodes, showed identical results, suggesting high intratumoral mutational homogeneity. The evidence presented in this study and the majority of data reported in the literature support the hypothesis that s-BOT with their peritoneal implants and lymph node deposits show identical mutational status of BRAF and KRAS suggesting a monoclonal rather than a polyclonal disease regarding these both tested genetic loci. In addition, a high intratumoral genetic homogeneity can be suggested. In conclusion, the results of the present study support the monoclonal origin of s-BOT and their peritoneal implants and lymph node deposits.

  13. Thin-film Rechargeable Lithium Batteries for Implantable Devices

    Science.gov (United States)

    Bates, J. B.; Dudney, N. J.

    1997-05-01

    Thin films of LiCoO{sub 2} have been synthesized in which the strongest x ray reflection is either weak or missing, indicating a high degree of preferred orientation. Thin film solid state batteries with these textured cathode films can deliver practical capacities at high current densities. For example, for one of the cells 70% of the maximum capacity between 4.2 V and 3 V ({approximately}0.2 mAh/cm{sup 2}) was delivered at a current of 2 mA/cm{sup 2}. When cycled at rates of 0.1 mA/cm{sup 2}, the capacity loss was 0.001%/cycle or less. The reliability and performance of Li LiCoO{sub 2} thin film batteries make them attractive for application in implantable devices such as neural stimulators, pacemakers, and defibrillators.

  14. In Vivo Demonstration of Addressable Microstimulators Powered by Rectification of Epidermically Applied Currents for Miniaturized Neuroprostheses.

    Directory of Open Access Journals (Sweden)

    Laura Becerra-Fajardo

    Full Text Available Electrical stimulation is used in order to restore nerve mediated functions in patients with neurological disorders, but its applicability is constrained by the invasiveness of the systems required to perform it. As an alternative to implantable systems consisting of central stimulation units wired to the stimulation electrodes, networks of wireless microstimulators have been devised for fine movement restoration. Miniaturization of these microstimulators is currently hampered by the available methods for powering them. Previously, we have proposed and demonstrated a heterodox electrical stimulation method based on electronic rectification of high frequency current bursts. These bursts can be delivered through textile electrodes on the skin. This approach has the potential to result in an unprecedented level of miniaturization as no bulky parts such as coils or batteries are included in the implant. We envision microstimulators designs based on application-specific integrated circuits (ASICs that will be flexible, thread-like (diameters < 0.5 mm and not only with controlled stimulation capabilities but also with sensing capabilities for artificial proprioception. We in vivo demonstrate that neuroprostheses composed of addressable microstimulators based on this electrical stimulation method are feasible and can perform controlled charge-balanced electrical stimulation of muscles. We developed miniature external circuit prototypes connected to two bipolar probes that were percutaneously implanted in agonist and antagonist muscles of the hindlimb of an anesthetized rabbit. The electronic implant architecture was able to decode commands that were amplitude modulated on the high frequency (1 MHz auxiliary current bursts. The devices were capable of independently stimulating the target tissues, accomplishing controlled dorsiflexion and plantarflexion joint movements. In addition, we numerically show that the high frequency current bursts comply with

  15. Enhanced electrical activation of Zn and Be implants in GaAs by the co-implantation of phosphorus

    International Nuclear Information System (INIS)

    Tang, A.C.T.; Sealy, B.J.; Rezazadeh, A.A.

    1989-01-01

    In this paper, we report that, through the use of rapid thermal annealing (RTA) and the co-implantation of phosphorus, an effective way of preventing the in- and out-diffusion of zinc and beryllium has been achieved in GaAs. This is of particular significance in the case of the beryllium implanted samples because, to date, there has been no method for preventing the out-diffusion of beryllium atoms at high annealing temperatures. We have observed that the reverse annealing behaviour of the Be-implanted samples has been modified after the co-implantation of phosphorus. Furthermore, abrupt electrical profiles with hole concentrations of the order of 6x10 19 cm -3 have been achieved with the Zn+P implants after annealing at 850 0 C for 30 s. (author)

  16. High Retention and Safety of Percutaneously Implanted Endovascular Embolization Coils as Fiducial Markers for Image-Guided Stereotactic Ablative Radiotherapy of Pulmonary Tumors

    International Nuclear Information System (INIS)

    Hong, Julian C.; Yu Yao; Rao, Aarti K.; Dieterich, Sonja; Maxim, Peter G.; Le, Quynh-Thu; Diehn, Maximilian; Sze, Daniel Y.; Kothary, Nishita; Loo, Billy W.

    2011-01-01

    Purpose: To compare the retention rates of two types of implanted fiducial markers for stereotactic ablative radiotherapy (SABR) of pulmonary tumors, smooth cylindrical gold 'seed' markers ('seeds') and platinum endovascular embolization coils ('coils'), and to compare the complication rates associated with the respective implantation procedures. Methods and Materials: We retrospectively analyzed the retention of percutaneously implanted markers in 54 consecutive patients between January 2004 and June 2009. A total of 270 markers (129 seeds, 141 coils) were implanted in or around 60 pulmonary tumors over 59 procedures. Markers were implanted using a percutaneous approach under computed tomography (CT) guidance. Postimplantation and follow-up imaging studies were analyzed to score marker retention relative to the number of markers implanted. Markers remaining near the tumor were scored as retained. Markers in a distant location (e.g., pleural space) were scored as lost. CT imaging artifacts near markers were quantified on radiation therapy planning scans. Results: Immediately after implantation, 140 of 141 coils (99.3%) were retained, compared to 110 of 129 seeds (85.3%); the difference was highly significant (p < 0.0001). Of the total number of lost markers, 45% were reported lost during implantation, but 55% were lost immediately afterwards. No additional markers were lost on longer-term follow-up. Implanted lesions were peripherally located for both seeds (mean distance, 0.33 cm from pleural surface) and coils (0.34 cm) (p = 0.96). Incidences of all pneumothorax (including asymptomatic) and pneumothorax requiring chest tube placement were lower in implantation of coils (23% and 3%, respectively) vs. seeds (54% and 29%, respectively; p = 0.02 and 0.01). The degree of CT artifact was similar between marker types. Conclusions: Retention of CT-guided percutaneously implanted coils is significantly better than that of seed markers. Furthermore, implanting coils is at

  17. Hardness of ion implanted ceramics

    International Nuclear Information System (INIS)

    Oliver, W.C.; McHargue, C.J.; Farlow, G.C.; White, C.W.

    1985-01-01

    It has been established that the wear behavior of ceramic materials can be modified through ion implantation. Studies have been done to characterize the effect of implantation on the structure and composition of ceramic surfaces. To understand how these changes affect the wear properties of the ceramic, other mechanical properties must be measured. To accomplish this, a commercially available ultra low load hardness tester has been used to characterize Al 2 O 3 with different implanted species and doses. The hardness of the base material is compared with the highly damaged crystalline state as well as the amorphous material

  18. Doping of silicon carbide by ion implantation; Dopage du carbure de silicium par implantation ionique

    Energy Technology Data Exchange (ETDEWEB)

    Gimbert, J

    1999-03-04

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  19. Properties of high current RFQ injectors

    International Nuclear Information System (INIS)

    Schempp, A.; Goethe, J.W.

    1996-01-01

    RFQ linacs are efficient, compact low energy ion structures, which have found numerous applications. They use electrical rf focusing and can capture, bunch and transmit high current ion beams. Some recent development and new projects like a heavy ion injectors for a cyclotron, and the status of the work on high current high duty factor RFQs will be discussed. (author)

  20. Properties of high current RFQ injectors

    Energy Technology Data Exchange (ETDEWEB)

    Schempp, A.; Goethe, J.W. [Frankfurt Univ. (Germany). Inst. fuer Angewandte Physik

    1996-12-31

    RFQ linacs are efficient, compact low energy ion structures, which have found numerous applications. They use electrical rf focusing and can capture, bunch and transmit high current ion beams. Some recent development and new projects like a heavy ion injectors for a cyclotron, and the status of the work on high current high duty factor RFQs will be discussed. (author) 2 refs.

  1. X-Ray diffraction studies of silicon implanted with high energy ions

    International Nuclear Information System (INIS)

    Wieteska, K.; Wierzchowski, W.; Graeff, W.

    1998-01-01

    The character of lattice deformation in silicon implanted with high energy alpha-particles and protons was studied using a number of X-ray methods. The experiments included double-crystal spectrometer method as well as single crystal section and projection topography realised both with conventional and synchrotron X-ray sources. All observed diffraction patterns were reasonably explainable assuming the lattice parameter distribution proportional to the vacancy-interstitial distribution coming from the Biersack-ziegler theory. The theoretical rocking curves and distribution in back-reflection double-crystal and section topographs well corresponding to the experimental results were calculated using numerical integration of the takagi-taupin equations

  2. Comparison of two approaches to the surgical management of cochlear implantation

    NARCIS (Netherlands)

    Postelmans, Job T. F.; Grolman, Wilko; Tange, Rinze A.; Stokroos, Robert J.

    2009-01-01

    OBJECTIVES/HYPOTHESIS: Our study was designed to compare two surgical approaches that are currently employed in cochlear implantation. METHODS: There were 315 patients who were divided into two groups according to the surgical technique used for implantation. The suprameatal approach (SMA) was

  3. Implantation damage in silicon devices

    International Nuclear Information System (INIS)

    Nicholas, K.H.

    1977-01-01

    Ion implantation, is an attractive technique for producing doped layers in silicon devices but the implantation process involves disruption of the lattice and defects are formed, which can degrade device properties. Methods of minimizing such damage are discussed and direct comparisons made between implantation and diffusion techniques in terms of defects in the final devices and the electrical performance of the devices. Defects are produced in the silicon lattice during implantation but they are annealed to form secondary defects even at room temperature. The annealing can be at a low temperature ( 0 C) when migration of defects in silicon in generally small, or at high temperature when they can grow well beyond the implanted region. The defect structures can be complicated by impurity atoms knocked into the silicon from surface layers by the implantation. Defects can also be produced within layers on top of the silicon and these can be very important in device fabrication. In addition to affecting the electrical properties of the final device, defects produced during fabrication may influence the chemical properties of the materials. The use of these properties to improve devices are discussed as well as the degradation they can cause. (author)

  4. Reliability of implant placement after virtual planning of implant positions using cone beam CT data and surgical (guide) templates.

    Science.gov (United States)

    Nickenig, Hans-Joachim; Eitner, Stephan

    2007-01-01

    We assessed the reliability of implant placement after virtual planning of implant positions using cone-beam CT data and surgical guide templates. A total of 102 patients (250 implants, 55.4% mandibular; mean patient age, 40.4 years) who had undergone implant treatment therapy in an armed forces dental clinic (Cologne, Germany) between July 1, 2005 and December 1, 2005. They were treated with a system that allows transfer of virtual planning to surgical guide templates. Only in eight cases the surgical guides were not used because a delayed implant placement was necessary. In four posterior mandibular cases, handling was limited because of reduced interocclusal distance, requiring 50% shortening of the drill guides. The predictability of implant size was high: only one implant was changed to a smaller diameter (because of insufficient bone). In all cases, critical anatomical structures were protected and no complications were detected in postoperative panoramic radiographs. In 58.1% (147) of the 250 implants, a flapless surgery plan was realized. Implant placement after virtual planning of implant positions using cone beam CT data and surgical templates can be reliable for preoperative assessment of implant size, position, and anatomical complications. It is also indicative of cases amenable to flapless surgery.

  5. Interruption of Electrical Conductivity of Titanium Dental Implants Suggests a Path Towards Elimination Of Corrosion.

    Science.gov (United States)

    Pozhitkov, Alex E; Daubert, Diane; Brochwicz Donimirski, Ashley; Goodgion, Douglas; Vagin, Mikhail Y; Leroux, Brian G; Hunter, Colby M; Flemmig, Thomas F; Noble, Peter A; Bryers, James D

    2015-01-01

    Peri-implantitis is an inflammatory disease that results in the destruction of soft tissue and bone around the implant. Titanium implant corrosion has been attributed to the implant failure and cytotoxic effects to the alveolar bone. We have documented the extent of titanium release into surrounding plaque in patients with and without peri-implantitis. An in vitro model was designed to represent the actual environment of an implant in a patient's mouth. The model uses actual oral microbiota from a volunteer, allows monitoring electrochemical processes generated by biofilms growing on implants and permits control of biocorrosion electrical current. As determined by next generation DNA sequencing, microbial compositions in experiments with the in vitro model were comparable with the compositions found in patients with implants. It was determined that the electrical conductivity of titanium implants was the key factor responsible for the biocorrosion process. The interruption of the biocorrosion current resulted in a 4-5 fold reduction of corrosion. We propose a new design of dental implant that combines titanium in zero oxidation state for osseointegration and strength, interlaid with a nonconductive ceramic. In addition, we propose electrotherapy for manipulation of microbial biofilms and to induce bone healing in peri-implantitis patients.

  6. Interruption of Electrical Conductivity of Titanium Dental Implants Suggests a Path Towards Elimination Of Corrosion.

    Directory of Open Access Journals (Sweden)

    Alex E Pozhitkov

    Full Text Available Peri-implantitis is an inflammatory disease that results in the destruction of soft tissue and bone around the implant. Titanium implant corrosion has been attributed to the implant failure and cytotoxic effects to the alveolar bone. We have documented the extent of titanium release into surrounding plaque in patients with and without peri-implantitis. An in vitro model was designed to represent the actual environment of an implant in a patient's mouth. The model uses actual oral microbiota from a volunteer, allows monitoring electrochemical processes generated by biofilms growing on implants and permits control of biocorrosion electrical current. As determined by next generation DNA sequencing, microbial compositions in experiments with the in vitro model were comparable with the compositions found in patients with implants. It was determined that the electrical conductivity of titanium implants was the key factor responsible for the biocorrosion process. The interruption of the biocorrosion current resulted in a 4-5 fold reduction of corrosion. We propose a new design of dental implant that combines titanium in zero oxidation state for osseointegration and strength, interlaid with a nonconductive ceramic. In addition, we propose electrotherapy for manipulation of microbial biofilms and to induce bone healing in peri-implantitis patients.

  7. Why are mini-implants lost: the value of the implantation technique!

    Science.gov (United States)

    Romano, Fabio Lourenço; Consolaro, Alberto

    2015-01-01

    The use of mini-implants have made a major contribution to orthodontic treatment. Demand has aroused scientific curiosity about implant placement procedures and techniques. However, the reasons for instability have not yet been made totally clear. The aim of this article is to establish a relationship between implant placement technique and mini-implant success rates by means of examining the following hypotheses: 1) Sites of poor alveolar bone and little space between roots lead to inadequate implant placement; 2) Different sites require mini-implants of different sizes! Implant size should respect alveolar bone diameter; 3) Properly determining mini-implant placement site provides ease for implant placement and contributes to stability; 4) The more precise the lancing procedures, the better the implant placement technique; 5) Self-drilling does not mean higher pressures; 6) Knowing where implant placement should end decreases the risk of complications and mini-implant loss.

  8. Why are mini-implants lost: The value of the implantation technique!

    Directory of Open Access Journals (Sweden)

    Fabio Lourenço Romano

    2015-02-01

    Full Text Available The use of mini-implants have made a major contribution to orthodontic treatment. Demand has aroused scientific curiosity about implant placement procedures and techniques. However, the reasons for instability have not yet been made totally clear. The aim of this article is to establish a relationship between implant placement technique and mini-implant success rates by means of examining the following hypotheses: 1 Sites of poor alveolar bone and little space between roots lead to inadequate implant placement; 2 Different sites require mini-implants of different sizes! Implant size should respect alveolar bone diameter; 3 Properly determining mini-implant placement site provides ease for implant placement and contributes to stability; 4 The more precise the lancing procedures, the better the implant placement technique; 5 Self-drilling does not mean higher pressures; 6 Knowing where implant placement should end decreases the risk of complications and mini-implant loss.

  9. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  10. Three-Dimensional High-Frequency Ultrasonography for Early Detection and Characterization of Embryo Implantation Site Development in the Mouse.

    Directory of Open Access Journals (Sweden)

    Mary C Peavey

    Full Text Available Ultrasonography is a powerful tool to non-invasively monitor in real time the development of the human fetus in utero. Although genetically engineered mice have served as valuable in vivo models to study both embryo implantation and pregnancy progression, such studies usually require sacrifice of parous mice for subsequent phenotypic analysis. To address this issue, we used three-dimensional (3-D reconstruction in silico of high-frequency ultrasound (HFUS imaging data for early detection and characterization of murine embryo implantation sites and their development in utero. With HFUS imaging followed by 3-D reconstruction, we were able to precisely quantify embryo implantation site number and embryonic developmental progression in pregnant C57BL6J/129S mice from as early as 5.5 days post coitus (d.p.c. through to 9.5 d.p.c. using a VisualSonics Vevo 2100 (MS550S transducer. In addition to measurements of implantation site number, location, volume and spacing, embryo viability via cardiac activity monitoring was also achieved. A total of 12 dams were imaged with HFUS with approximately 100 embryos examined per embryonic day. For the post-implantation period (5.5 to 8.5 d.p.c., 3-D reconstruction of the gravid uterus in mesh or solid overlay format enabled visual representation in silico of implantation site location, number, spacing distances, and site volume within each uterine horn. Therefore, this short technical report describes the feasibility of using 3-D HFUS imaging for early detection and analysis of post-implantation events in the pregnant mouse with the ability to longitudinally monitor the development of these early pregnancy events in a non-invasive manner. As genetically engineered mice continue to be used to characterize female reproductive phenotypes, we believe this reliable and non-invasive method to detect, quantify, and characterize early implantation events will prove to be an invaluable investigative tool for the study of

  11. Influence of Statins locally applied from orthopedic implants on osseous integration

    Directory of Open Access Journals (Sweden)

    Pauly Stephan

    2012-10-01

    Full Text Available Abstract Background Simvastatin increases the expression of bone morphogenetic protein 2 (BMP-2 in osteoblasts, therefore it is important to investigate the influence of statins on bone formation, fracture healing and implant integration. The aim of the present study was to investigate the effect of Simvastatin, locally applied from intramedullary coated and bioactive implants, on bone integration using biomechanical and histomorphometrical analyses. Methods Eighty rats received retrograde nailing of the femur with titanium implants: uncoated vs. polymer-only (poly(D,L-lactide vs. polymer plus drug coated (either Simvastatin low- or high dosed; “SIM low/ high”. Femurs were harvested after 56 days for radiographic and histomorphometric or biomechanical analysis (push-out. Results Radiographic analysis revealed no pathological findings for animals of the control and SIM low dose group. However, n=2/10 animals of the SIM high group showed osteolysis next to the implant without evidence of bacterial infection determined by microbiological analysis. Biomechanical results showed a significant decrease in fixation strength for SIM high coated implants vs. the control groups (uncoated and PDLLA. Histomorphometry revealed a significantly reduced total as well as direct bone/implant contact for SIM high- implants vs. controls (uncoated and PDLLA-groups. Total contact was reduced for SIM low vs. uncoated controls. Significantly reduced new bone formation was measured around SIM high coated implants vs. both control groups. Conclusions This animal study suggests impaired implant integration with local application of Simvastatin from intramedullary titanium implants after 8 weeks when compared to uncoated or carrier-only coated controls.

  12. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  13. Primary prevention of peri-implantitis: Managing peri-implant mucositis

    OpenAIRE

    Jepsen, Søren; Berglundh, Tord; Genco, Robert; Aass, Anne Merete; Demirel, Korkud; Derks, Jan; Figuero, Elena; Giovannoli, Jean Louis; Goldstein, Moshe; LAMBERT, France; Ortiz-Vigon, Alberto; Polyzois, Ioannis; Salvi, Giovanni; Schwarz, Frank; Serino, Giovanni

    2015-01-01

    Abstract AIMS: Over the past decades, the placement of dental implants has become a routine procedure in the oral rehabilitation of fully and partially edentulous patients. However, the number of patients/implants affected by peri-implant diseases is increasing. As there are--in contrast to periodontitis--at present no established and predictable concepts for the treatment of peri-implantitis, primary prevention is of key importance. The management of peri-implant mucositis is considere...

  14. Neutron-induced helium implantation in GCFR cladding

    International Nuclear Information System (INIS)

    Yamada, H.; Poeppel, R.B.; Sevy, R.H.

    1980-10-01

    The neutron-induced implantation of helium atoms on the exterior surfaces of the cladding of a prototypic gas-cooled fast reactor (GCFR) has been investigated analytically. A flux of recoil helium particles as high as 4.2 x 10 10 He/cm 2 .s at the cladding surface has been calculated at the peak power location in the core of a 300-MWe GCFR. The calculated profile of the helium implantation rates indicates that although some helium is implanted as deep as 20 μm, more than 99% of helium particles are implanted in the first 2-μm-deep layer below the cladding surface. Therefore, the implanted helium particles should mainly affect surface properties of the GCFR cladding

  15. Osteogenesis and Morphology of the Peri-Implant Bone Facing Dental Implants

    Directory of Open Access Journals (Sweden)

    Marco Franchi

    2004-01-01

    Full Text Available This study investigated the influence of different implant surfaces on peri-implant osteogenesis and implant face morphology of peri-implant tissues during the early (2 weeks and complete healing period (3 months. Thirty endosseous titanium implants (conic screws with differently treated surfaces (smooth titanium = SS, titanium plasma sprayed = TPS, sand-blasted zirconium oxide = Zr-SLA were implanted in femur and tibiae diaphyses of two mongrel sheep. Histological sections of the implants and surrounding tissues obtained by sawing and grinding techniques were observed under light microscopy (LM. The peri-implant tissues of other samples were mechanically detached from the corresponding implants to be processed for SEM observation. Two weeks after implantation, we observed osteogenesis (new bone trabeculae around all implant surfaces only where a gap was present at the host bone-metal interface. No evident bone deposition was detectable where threads of the screws were in direct contact with the compact host bone. Distance osteogenesis predominated in SS implants, while around rough surfaces (TPS and Zr-SLA, both distance and contact osteogenesis were present. At SEM analysis 2 weeks after implantation, the implant face of SS peri-implant tissue showed few, thin, newly formed, bone trabeculae immersed in large, loose, marrow tissue with blood vessels. Around the TPS screws, the implant face of the peri-implant tissue was rather irregular because of the rougher metal surface. Zr-SLA screws showed more numerous, newly formed bone trabeculae crossing marrow spaces and also needle-like crystals in bone nodules indicating an active mineralising process. After 3 months, all the screws appeared osseointegrated, being almost completely covered by a compact, mature, newly formed bone. However, some marrow spaces rich in blood vessels and undifferentiated cells were in contact with the metal surface. By SEM analysis, the implant face of the peri-implant

  16. The effect of implant macro-thread design on implant stability in the early post-operative period: a randomized, controlled pilot study.

    Science.gov (United States)

    McCullough, Jeffrey J; Klokkevold, Perry R

    2017-10-01

    Available literature suggests there is a transient drop in implant stability from approximately week 0 to week 3-4 as a result of peri-implant bone remodeling as it transitions from a primary, mechanical stability to a secondary, biological stability. Research investigating the influence of macro-thread design on this process is scant. The specific aim of this study was to evaluate the role of macro-thread design on implant stability in the early post-operative healing period using resonance frequency analysis (RFA). Seven patients, each missing at least two posterior teeth in the same arch, were included in the study. Three patients qualified for four implants resulting in a total of 10 matched pairs. All sites were healed (>6 months), non-grafted sites with sufficient bone to place implants. Each site in a matched pair was randomly assigned to receive either a control (Megagen EZ Plus Internal; EZ) or test (Megagen AnyRidge; AR) implant. The test implant incorporates a novel thread design with a wide thread depth and increased thread pitch. RFA was used to determine implant stability quotient (ISQ) values for each implant at the time of placement and weekly for the first 8 weeks. Implants consistently achieved a relatively high insertion torque (30-45 N/cm) and high initial ISQ value (79.8 ± 1.49). Baseline ISQ values for test (AR; 79.55 ± 1.61) and control (EZ; 80.05 ± 1.37) implants were similar. A general pattern of stability from baseline through all eight follow-up evaluations was observed for the test implants. A pattern of decreasing ISQ values was observed for the control implants across the early follow-up evaluations up to week four, where the value plateaued. There was a statistically significant main effect due to implant type (P implant type and time (P implants performed differently at certain time points. Within the limitations of this study, macro-thread design appears to play a role in implant stability in the early post

  17. Role of hormonal therapy in the management of intermediate- to high-risk prostate cancer treated with permanent radioactive seed implantation

    International Nuclear Information System (INIS)

    Lee, Lucille N.; Stock, Richard G.; Stone, Nelson N.

    2002-01-01

    Purpose: To study the impact of hormonal therapy (HTx) on intermediate- to high-risk prostate cancer treated with permanent radioactive seed implantation. Methods and Materials: Patients with Stage T1b-T3bN0 prostate cancer, and Gleason score ≥7 or prostate-specific antigen (PSA) level >10 ng/mL were treated with seed implantation with or without HTx. Their disease was defined as intermediate risk (PSA 10-20, Gleason score 7, or Stage T2b) or high risk (two or more intermediate criteria, or PSA >20 ng/mL, Gleason score 8-10, or Stage T2c-T3). The median follow-up for 201 eligible patients was 42 months (range 18-110). Biochemical failure was defined as a rising PSA >1.0 ng/mL. Pretreatment disease characteristics, implant dose, and HTx were evaluated using univariate and multivariate analyses. Results: HTx significantly improved 5-year actuarial freedom from biochemical failure rate, 79% vs. 54% without HTx. In addition, high-dose, PSA ≤15 ng/mL, intermediate risk, and Stage T2a or lower significantly improved outcome in the univariate analyses. HTx was the most significant predictor of 5-year actuarial freedom from biochemical failure (p <0.0001) in a multivariate analysis. The best outcome was in the intermediate-risk patients treated with a high implant dose and HTx, resulting in a 4-year actuarial freedom from biochemical failure rate of 94%. Conclusion: In this retrospective review, HTx improved outcome in intermediate- to high-risk prostate cancer patients treated with brachytherapy. HTx was the most important prognostic factor in the univariate and multivariate analyses

  18. Establishment of in situ TEM-implanter/accelerator interface facility at Wuhan University

    International Nuclear Information System (INIS)

    Guo, L.P.; Liu, C.S.; Li, M.; Song, B.; Ye, M.S.; Fu, D.J.; Fan, X.J.

    2008-01-01

    In order to perform in situ investigations on the evolution of microstructures during ion irradiation for the evaluation of irradiation-resistance performance of advanced materials, we have established a transmission electron microscope (TEM)-implanter/accelerator interface facility at Wuhan University, the first of its kind in China. A Hitachi H800 TEM was linked to a 200 kV ion implanter and a 2x1.7 MV tandem accelerator through the interface system designed on the basis of ion beam transportation calculations. Effective steps were taken to isolate the TEM from mechanical vibration transmitted from the ion beam lines, and no significant degradation of microscope resolution was observed when the TEM operated under high zoom modes during the ion implantation. In the test experiments, ion beams of N + , He + , Ar + , and H + were successfully transported from the implanter into the TEM chamber through the interface system, and the ion currents measured at the entrance of the TEM column were between 20 and 80 nA. The amorphisation process of Si crystal irradiated by N + ion beams was successfully observed in the preliminary experiments, demonstrating that this interface facility is capable of in situ study of ion irradiated samples

  19. Plasma ion implantation technology for broad industrial application

    International Nuclear Information System (INIS)

    Deb, D.; Siambis, J.; Symons, R.

    1994-01-01

    The recently invented Plasma Ion Implantation (PII) process (1987) [J. R. Conrad, U.S. Patent No. 764394 (August 16, 1988)] is currently under intense industrial engineering investigation and development. A critical component of PII for broad industrial utilization is the availability of an efficient modulator system that applies the high voltage pulse to the workpiece. A modulator technology assessment and selection is carried out. The requirements of the PII process favor the selection of a hard-tube modulator. The PII process favors the application of beam switch tube technology such as the Litton L-5012 and L-5097. These Litton tubes have already been selected by LANL and utilized in their pilot engineering demonstration experiment with GM and the University of Wisconsin. The performance, physical operation, and potential enhancements of the Litton beam switch tubes L-5012 and L-5097 will be discussed in connection with the requirements of the emerging plasma ion implantation industrial modulator technology

  20. Maxillary "All-On-Four" treatment using zygomatic implants. A mechanical analysis.

    Science.gov (United States)

    de Moraes, P H; Olate, S; Nóbilo, M de Arruda; Asprino, L; de Moraes, M; Barbosa, J de Albergaría

    2016-04-01

    Zygomatic implants may be used for dental rehabilitation in atrophic maxillae. The aim of this study was to establish experimentally the areas of stress distribution using 2 kinds of "All-On-Four" maxillary procedures. The best position to insert the implants was selected using polyurethane craniomaxillary models and surgical guides were made. Group 1 was designed with two posterior zygomatic implants and two conventional anterior implants, and group 2 with two posterior zygomatic implants and two anterior zygomatic implants. A titanium bar was built to link the 4 implants in both groups. Photoelastic replicas of these models were made and the implants were inserted using the surgical guides. An Instrom 4411 testing machine was used to perform a unilateral compressive loading at the level of the right first molar until 2mm of displacement was obtained. Group 1 showed a high strain concentration in the right lateral orbital region at the level of the apex of the zygomatic implant. Less strain was noticed at the apical levels of the conventional implants in the anterior sector and of the contralateral zygomatic implant. Group 2 showed high strains in the lateral inferior orbital area. The load was low in the alveolar bone sector. Zygomatic bone and paranasal structures are loaded at high levels when zygomatic implants are used to stabilize a full maxillary prosthodontic rehabilitation on 4 implants. The use of 4 zygomatic implants loads the alveolar bone to a lower extent and seems better suited from a mechanical point of view than the use of 2 zygomatic implants. Copyright © 2015 Elsevier Masson SAS. All rights reserved.