WorldWideScience

Sample records for hgcdte layer grown

  1. Structural properties of layers of HgCdTe, grown by the laser epitaxy method on silicon substrates

    International Nuclear Information System (INIS)

    Plyatsko, S.V.; Vergush, M.M.; Litvin, P.M.; Kozirjev, Yu.M.; Shevlyakov, S.A.

    2001-01-01

    Thin films (0.1-1.5 μm) of HgCdTe on substrates Si (100) and Si (111) from monocrystal and pressed sources Hg 1-x Cd x Te (x=0.22) sprayed by laser IR radiation were grown and are investigated. The concentration of macro defects (drops) on the surface of films is determined by the relation of the diameter of a laser beam and depth of the crater, formed by laser irradiation. The size of crystal grains almost does not depend on the temperature of a substrate and power densities of a laser radiation and increases with the thickness of a layer

  2. Correlation of Etch Pits and Dislocations in As-grown and Thermal Cycle-Annealed HgCdTe(211) Films

    Science.gov (United States)

    Vaghayenegar, M.; Jacobs, R. N.; Benson, J. D.; Stoltz, A. J.; Almeida, L. A.; Smith, David J.

    2017-08-01

    This paper reports observations of the different types of etch pits and dislocations present in thick HgCdTe (211) layers grown by molecular beam epitaxy on CdTe/Si (211) composite substrates. Dislocation analysis for as-grown and thermal cycle-annealed samples has been carried out using bright-field transmission electron microscopy. Triangular pits present in as-grown material are associated with a mixture of Frank partials and perfect dislocations, while pits with fish-eye shapes have perfect dislocations with 1/2[0\\bar{1}1] Burgers vector. The dislocations beneath skew pits are more complex as they have two different crystallographic directions, and are associated with a mixture of Shockley partials and perfect dislocations. Dislocation analysis of samples after thermal cycle annealing (TCA) shows that the majority of dislocations under the etch pits are short segments of perfect dislocations with 1/2[0\\bar{1}1] Burgers vector while the remainder are Shockley partials. The absence of fish-eye shape pits in TCA samples suggests that they are associated with mobile dislocations that have reacted during annealing, causing the overall etch pit density to be reduced. Very large pits with a density ˜2×103 cm-2 are observed in as-grown and TCA samples. These defects thread from within the CdTe buffer layer into the upper regions of the HgCdTe layers. Their depth in as-grown material is so large that it is not possible to locate and identify the underlying defects.

  3. Recent progress in MBE grown HgCdTe materials and devices at UWA

    Science.gov (United States)

    Gu, R.; Lei, W.; Antoszewski, J.; Madni, I.; Umana-Menbreno, G.; Faraone, L.

    2016-05-01

    HgCdTe has dominated the high performance end of the IR detector market for decades. At present, the fabrication costs of HgCdTe based advanced infrared devices is relatively high, due to the low yield associated with lattice matched CdZnTe substrates and a complicated cooling system. One approach to ease this problem is to use a cost effective alternative substrate, such as Si or GaAs. Recently, GaSb has emerged as a new alternative with better lattice matching. In addition, implementation of MBE-grown unipolar n-type/barrier/n-type detector structures in the HgCdTe material system has been recently proposed and studied intensively to enhance the detector operating temperature. The unipolar nBn photodetector structure can be used to substantially reduce dark current and noise without impeding photocurrent flow. In this paper, recent progress in MBE growth of HgCdTe infrared material at the University of Western Australia (UWA) is reported, including MBE growth of HgCdTe on GaSb alternative substrates and growth of HgCdTe nBn structures.

  4. Growth characteristics of (100)HgCdTe layers in low-temperature MOVPE with ditertiarybutyltelluride

    Science.gov (United States)

    Yasuda, K.; Hatano, H.; Ferid, T.; Minamide, M.; Maejima, T.; Kawamoto, K.

    1996-09-01

    Low-temperature growth of (100)HgCdTe (MCT) layers in MOVPE has been studied using ditertiarybutyltelluride (DtBTe), dimethylcadmium (DMCd), and elementary mercury as precursors. MCT layers were grown at 275°C on (100)GaAs substrates. Growths were carried out in a vertical growth cell which has a narrow spacing between the substrate and cell ceiling. Using the growth cell, the Cd-composition ( x) of MCT layers was controlled over a wide range from 0 to 0.98 by the DMCd flow. The growth rate of the MCT layers was constant at 5 μm h -1 for the increased DMCd flow. Preferential Cd-incorporation into MCT layers and an increase of the growth rate were observed in the presence of mercury vapor. The growth characteristics were considered to be due to the alkyl-exchange reaction between DMCd and mercury. The electrical properties and crystallinity of grown layers were also evaluated, which showed that layers with high quality can be grown at 275°C.

  5. HgCdTe photovoltaic detectors on Si substrates

    International Nuclear Information System (INIS)

    Zanio, K.R.; Bean, R.C.

    1988-01-01

    HgCdTe photovoltaic detectors have been fabricated on Si substrates through intermediate CdTe/GaAs layers. Encapsulation of the GaAs between the CdTe and Si prevents unintentional doping of the HgCdTe by Ga and As. Uniform epitaxial GaAs is grown on three inch diameter Si substrates. Detectors on such large area Si substrates will offer hybrid focal plane arrays whose dimensions are not limited by the difference between the coefficients of thermal expansion of the Si signal processor and the substrate for the HgCdTe detector array. The growth of HgCdTe detectors on the Si signal processors for monolithic focal plane arrays is also considered. 40 references

  6. Growth features of HgCdTe LPE layers

    International Nuclear Information System (INIS)

    Huseynov, E.K.; Eminov, Sh.O.; Ibragimov, T.I.; Ismaylov, N.J.; Rajabli, A.A.

    2010-01-01

    Full text : The results of growth of Hg 1 -xCd x Te (MCT) layers by liquid phase epitaxy (LPE) from Te-rich solutions (molar fraction (Hg 1 -zCd z )(1.y)Te y , z=0.054, y=0.805 for TL=501 degrees Celsium) obtained by the tipping method in closed system is presented. Epitaxial layers with different compositions (x=0.20-0.22) and thicknesses (10-20 μm) suitable for manufacturing the photodiode structures operable at 8-14 μm spectrum range were grown on B oriented Cd 0 .96Zn 0 ,04Te polished and repolished substrates. The growth was carried out in the temperature range 500-480 degrees Celsium with cooling rates 0.05-0.1 degrees Celsium/min in a sealed quartz ampoule using the original apparatus for LPE. The attention was paid mainly to the surface morphological quality, good decantation from the layers, uniformity of composition and thickness of films. One of the limitations of the most LPE growth apparatus (cassettes) with slider or tipping system is their impossibility to wipe the last drop of growth solution from the surface of just-grown epilayer. Some remnant or residual of the growth solution tends to adhere to the surface of the epilayer after growth in such apparatus and strongly affect the surface quality. The novel apparatus for LPE providing the surface without unwanted residual drops of melt solution of Hg, Cd and Te was developed with the aim of solving such a problem. The effect of different steps of LPE growth on morphology and composition of epitaxial layers was studied. By holding the CdZnTe substrate inside the growth ampoule at the melt homogenization temperature during of 15-50 min without contact with the melt resulted in visually (using the Leitzorthoplan microscopes x 500-1000) observed surface roughness. Using the expressions for the Te-angle of Hg-Cd-Te phase diagram the effect of the preliminary synthesis of the source on liquidus temperature and composition of the epilayers was numerically evaluated. HgCdTe layers were characterized using

  7. Status of HgCdTe Barrier Infrared Detectors Grown by MOCVD in Military University of Technology

    Science.gov (United States)

    Kopytko, M.; Jóźwikowski, K.; Martyniuk, P.; Gawron, W.; Madejczyk, P.; Kowalewski, A.; Markowska, O.; Rogalski, A.; Rutkowski, J.

    2016-09-01

    In this paper we present the status of HgCdTe barrier detectors with an emphasis on technological progress in metalorganic chemical vapor deposition (MOCVD) growth achieved recently at the Institute of Applied Physics, Military University of Technology. It is shown that MOCVD technology is an excellent tool for HgCdTe barrier architecture growth with a wide range of composition, donor /acceptor doping, and without post-grown annealing. The device concept of a specific barrier bandgap architecture integrated with Auger-suppression is as a good solution for high-operating temperature infrared detectors. Analyzed devices show a high performance comparable with the state-of-the-art of HgCdTe photodiodes. Dark current densities are close to the values given by "Rule 07" and detectivities of non-immersed detectors are close to the value marked for HgCdTe photodiodes. Experimental data of long-wavelength infrared detector structures were confirmed by numerical simulations obtained by a commercially available software APSYS platform. A detailed analysis applied to explain dark current plots was made, taking into account Shockley-Read-Hall, Auger, and tunneling currents.

  8. Surface passivation of HgCdTe by CdZnTe and its characteristics

    Science.gov (United States)

    Lee, T. S.; Choi, K. K.; Jeoung, Y. T.; Kim, H. K.; Kim, J. M.; Kim, Y. H.; Chang, J. M.; Song, W. S.; Kim, S. U.; Park, M. J.; Lee, S. D.

    1997-06-01

    In this paper, we report the results of capacitance-voltage measurements conducted on several metal-insulator semiconductor (MIS) capacitors in which HgCdTe surfaces are treated with various surface etching and oxidation processes. CdZnTe passivation layers were deposited on HgCdTe surfaces by thermal evaporation after the surfaces were etched with 0.5-2.0% bromine in methanol solution, or thin oxide layers (tox ˜ few ten Å) were grown on the surfaces, in order to investigate effects of the surface treatments on the electrical properties of the surfaces, as determined from capacitance-voltage (C-V) measurements at 80K and 1 MHz. A negative flat band voltage has been observed for MIS capacitors fabricated after etching of HgCdTe surfaces with bromine in methanol solutions, which is reported to make the surface Te-rich. It is inferred that residual Te on the surface is a positive charge, Te4+. C-V characteristics for MIS capacitors fabricated on oxide surfaces grown by air-exposure and electrolytic process have shown large hysteresis effects, from which it is inferred that imperfect and electrically active oxide compounds and HgTe particles near the surface become slow interface states.

  9. Development of Buffer Layer Technologies for LWIR and VLWIR HgCdTe Integration on Si

    National Research Council Canada - National Science Library

    Golding, Terry D

    2005-01-01

    This program proposed to develop manufacturable, cost-effective buffer layer technologies that would allow either hybrid or monolithic integration of LWIR and VLWIR HgCdTe infrared focal plane arrays...

  10. HgCdTe e-avalanche photodiode detector arrays

    Directory of Open Access Journals (Sweden)

    Anand Singh

    2015-08-01

    Full Text Available Initial results on the MWIR e-APD detector arrays with 30 μm pitch fabricated on LPE grown compositionally graded p-HgCdTe epilayers are presented. High dynamic resistance times active area (R0A product 2 × 106 Ω-cm2, low dark current density 4 nA/cm2 and high gain 5500 at -8 V were achieved in the n+-υ-p+ HgCdTe e-APD at 80 K. LPE based HgCdTe e-APD development makes this technology amenable for adoption in the foundries established for the conventional HgCdTe photovoltaic detector arrays without any additional investment.

  11. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    Science.gov (United States)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  12. Passivation Effect of Atomic Layer Deposition of Al2O3 Film on HgCdTe Infrared Detectors

    Science.gov (United States)

    Zhang, Peng; Ye, Zhen-Hua; Sun, Chang-Hong; Chen, Yi-Yu; Zhang, Tian-Ning; Chen, Xin; Lin, Chun; Ding, Ring-Jun; He, Li

    2016-09-01

    The passivation effect of atomic layer deposition of (ALD) Al2O3 film on a HgCdTe infrared detector was investigated in this work. The passivation effect of Al2O3 film was evaluated by measuring the minority carrier lifetime, capacitance versus voltage ( C- V) characteristics of metal-insulator-semiconductor devices, and resistance versus voltage ( R- V) characteristics of variable-area photodiodes. The minority carrier lifetime, C- V characteristics, and R- V characteristics of HgCdTe devices passivated by ALD Al2O3 film was comparable to those of HgCdTe devices passivated by e-beam evaporation of ZnS/CdTe film. However, the baking stability of devices passivated by Al2O3 film is inferior to that of devices passivated by ZnS/CdTe film. In future work, by optimizing the ALD Al2O3 film growing process and annealing conditions, it may be feasible to achieve both excellent electrical properties and good baking stability.

  13. Extended short wavelength infrared HgCdTe detectors on silicon substrates

    Science.gov (United States)

    Park, J. H.; Hansel, D.; Mukhortova, A.; Chang, Y.; Kodama, R.; Zhao, J.; Velicu, S.; Aqariden, F.

    2016-09-01

    We report high-quality n-type extended short wavelength infrared (eSWIR) HgCdTe (cutoff wavelength 2.59 μm at 77 K) layers grown on three-inch diameter CdTe/Si substrates by molecular beam epitaxy (MBE). This material is used to fabricate test diodes and arrays with a planar device architecture using arsenic implantation to achieve p-type doping. We use different variations of a test structure with a guarded design to compensate for the lateral leakage current of traditional test diodes. These test diodes with guarded arrays characterize the electrical performance of the active 640 × 512 format, 15 μm pitch detector array.

  14. Massless Dirac fermions in semimetal HgCdTe

    Science.gov (United States)

    Marchewka, M.; Grendysa, J.; Żak, D.; Tomaka, G.; Śliż, P.; Sheregii, E. M.

    2017-01-01

    Magneto-transport results obtained for the strained 100 nm thick Hg1-x CdxTe (x=0.135) layer grown by MBE on the CdTe/GaAs substrate are interpreted by the 8×8 kp model with the in-plane tensile strain. The dispersion relation for the investigated structure proves that the Dirac point is located in the gap caused by the strain. It is also shown that the fan of the Landau Levels (LL's) energy calculated for topological protected surface states for the studied HgCdTe alloy corresponds to the fan of the LL's calculated using the graphen-like Hamiltonian which gives excellent agreement with the experimental data for velocity on the Fermi level equal to vf ≈ 0.85×106 m/s. That characterized strained Hg1-x CdxTe layers (0.13 < x < 0.14) are a perfect Topological Insulator with good perspectives of further applications.

  15. Uncooled middle wavelength infrared photoconductors based on (111) and (100) oriented HgCdTe

    Science.gov (United States)

    Madejczyk, Paweł; Kębłowski, Artur; Gawron, Waldemar; Martyniuk, Piotr; Kopytko, Małgorzata; Stępień, Dawid; Rutkowski, Jarosław; Piotrowski, Józef; Piotrowski, Adam; Rogalski, Antoni

    2017-09-01

    We present progress in metal organic chemical vapor deposition (MOCVD) growth of (100) HgCdTe epilayers achieved recently at the Institute of Applied Physics, Military University of Technology and Vigo System S.A. It is shown that MOCVD technology is an excellent tool for the fabrication of different HgCdTe detector structures with a wide range of composition, donor/acceptor doping, and without post grown ex-situ annealing. Surface morphology, residual background concentration, and acceptor doping efficiency are compared in (111) and (100) oriented HgCdTe epilayers. At elevated temperatures, the carrier lifetime in measured p-type photoresistors is determined by Auger 7 process with about one order of magnitude difference between theoretical and experimental values. Particular progress has been achieved in the growth of (100) HgCdTe epilayers for medium wavelength infrared photoconductors operated in high-operating temperature conditions.

  16. Arsenic complexes optical signatures in As-doped HgCdTe

    Energy Technology Data Exchange (ETDEWEB)

    Gemain, F.; Robin, I. C.; Brochen, S.; Ballet, P.; Gravrand, O.; Feuillet, G. [CEA-LETI Minatec Campus, 17 rue des Martyrs, 38000 Grenoble (France)

    2013-04-08

    In this paper, the optical signatures of arsenic complexes in As-doped HgCdTe samples grown by molecular beam epitaxy are clearly identified using comparison between photoluminescence spectra, Extended X-Ray Absorption Fine Structure, and Hall measurements. The ionization energies of the different complexes are measured both by photoluminescence and Hall measurements.

  17. Arsenic complexes optical signatures in As-doped HgCdTe

    International Nuclear Information System (INIS)

    Gemain, F.; Robin, I. C.; Brochen, S.; Ballet, P.; Gravrand, O.; Feuillet, G.

    2013-01-01

    In this paper, the optical signatures of arsenic complexes in As-doped HgCdTe samples grown by molecular beam epitaxy are clearly identified using comparison between photoluminescence spectra, Extended X-Ray Absorption Fine Structure, and Hall measurements. The ionization energies of the different complexes are measured both by photoluminescence and Hall measurements.

  18. Counting molecular-beam grown graphene layers

    Energy Technology Data Exchange (ETDEWEB)

    Plaut, Annette S. [School of Physics, University of Exeter, Exeter EX4 4QL (United Kingdom); Wurstbauer, Ulrich [Department of Physics, Columbia University, New York, New York 10027 (United States); Pinczuk, Aron [Department of Physics, Columbia University, New York, New York 10027 (United States); Department of Applied Physics and Applied Mathematics, Columbia University, New York, New York 10027 (United States); Garcia, Jorge M. [MBE Lab, IMM-Instituto de Microelectronica de Madrid (CNM-CSIC), Madrid, E-28760 (Spain); Pfeiffer, Loren N. [Electrical Engineering Department, Princeton University, New Jersey 08544 (United States)

    2013-06-17

    We have used the ratio of the integrated intensity of graphene's Raman G peak to that of the silicon substrate's first-order optical phonon peak, accurately to determine the number of graphene layers across our molecular-beam (MB) grown graphene films. We find that these results agree well both, with those from our own exfoliated single and few-layer graphene flakes, and with the results of Koh et al.[ACS Nano 5, 269 (2011)]. We hence distinguish regions of single-, bi-, tri-, four-layer, etc., graphene, consecutively, as we scan coarsely across our MB-grown graphene. This is the first, but crucial, step to being able to grow, by such molecular-beam-techniques, a specified number of large-area graphene layers, to order.

  19. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  20. The development of the room temperature LWIR HgCdTe detectors for free space optics communication systems

    Science.gov (United States)

    Martyniuk, Piotr; Gawron, Waldemar; Mikołajczyk, Janusz

    2017-10-01

    There are many room temperature applications to include free space optics (FSO) communication system combining quantum cascade lasers sources where HgCdTe long-wave (8-12 micrometer) infrared radiation (LWIR) detector reaching ultrafast response time 109 cmHz1/2/W. Since commercially available FSO could operate separately in SWIR, MWIR and LWIR range - the dual band detectors should be implemented into FSO. This paper shows theoretical performance of the dual band back-to-back MWIR and LWIR HgCdTe detector operating at 300 K pointing out the MWIR active layer influence on LWIR operating regime.

  1. Highly Efficient Spin-to-Charge Current Conversion in Strained HgTe Surface States Protected by a HgCdTe Layer

    Science.gov (United States)

    Noel, P.; Thomas, C.; Fu, Y.; Vila, L.; Haas, B.; Jouneau, P.-H.; Gambarelli, S.; Meunier, T.; Ballet, P.; Attané, J. P.

    2018-04-01

    We report the observation of spin-to-charge current conversion in strained mercury telluride at room temperature, using spin pumping experiments. We show that a HgCdTe barrier can be used to protect the HgTe from direct contact with the ferromagnet, leading to very high conversion rates, with inverse Edelstein lengths up to 2.0 ±0.5 nm . The influence of the HgTe layer thickness on the conversion efficiency is found to differ strongly from what is expected in spin Hall effect systems. These measurements, associated with the temperature dependence of the resistivity, suggest that these high conversion rates are due to the spin momentum locking property of HgTe surface states.

  2. InN layers grown by the HVPE

    International Nuclear Information System (INIS)

    Syrkin, A.L.; Ivantsov, V.; Usikov, A.; Dmitriev, V.A.; Chambard, G.; Ruterana, P.; Davydov, A.V.; Sundaresan, S.G.; Lutsenko, E.; Mudryi, A.V.; Readinger, E.D.; Chern-Metcalfe, G.D.; Wraback, M.

    2008-01-01

    We report on the properties of high quality HVPE InN and on successful subsequent MBE growth of InN layers with improved characteristics on HVPE InN template substrates. InN layers were grown by HVPE on GaN/sapphire HVPE templates. The (00.2) XRD rocking curve of the best InN layer (RC) had the FWHM of about 375 arc sec, being the narrowest XRD RCs ever reported for HVPE InN. Transmission Electron Microscopy (TEM) revealed that at the GaN/InN interface, the threading dislocations that come from GaN were transmitted into the InN layer. We estimated the dislocation density in HVPE grown InN to be in the low 10 9 cm -2 range. Reflection high energy electron diffraction (RHEED) confirmed monocrystalline structure of the InN layers surface. Layers photoluminescence (PL) showed edge emission around 0.8 eV. Hall measured free electron concentration was in the range of 10 19 -10 20 cm -3 and electron mobility was ∝200 cm 2 /V s. MBE growth of InN was performed on the HVPE grown InN template substrate demonstrating the improvement of material quality in the case of homo-epitaxial growth of InN. Demonstration of the high quality HVPE InN materials opens a new way for InN substrate development. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  4. Improved HgCdTe detectors with novel antireflection coating

    Science.gov (United States)

    Babu, Sachi R.; Hu, Kelley; Manthripragada, Sridhar; Martineau, Robert J.; Kotecki, C. A.; Peters, F. A.; Burgess, A. S.; Krebs, Danny J.; Mott, David B.; Ewin, Audrey J.; Miles, A.; Nguyen, Trang L.; Shu, Peter K.

    1996-10-01

    The composite infrared spctrometer (CIRS) is an important instrument for the upcoming Cassini mission for sensing infrared (IR) radiation from the Saturanian planetary system. We have delivered a linear, ten element, mercury cadmium telluride (HgCdTe) photoconductive detector array for use on focal plane 3 (FP3), which is responsible for detecting radiation from the 9.1 micrometer to 16.6 micrometer wavelength range. Reliable HgCdTe detectors require robust passivation, a low-stress zinc sulfide (ZnS) anti-reflection (AR) coating with good adhesion, and a proper optical cavity design to smooth out the resonance in the detector spectral response. During the development of CIRS flight array, we have demonstrated the potential of using an in-situ interfacial layer, such as SiN(subscript x), between ZnS and the anodic oxide. Such an interfacial layer drastically improves the adhesion between the ZnS and oxide, without degrading the minority carrier lifetime. We have also demonstrated the feasibility of applying a SiN(subscript x) 'rain coat' layer over the ZnS to prevent moisture and other chemicals from attacking the AR coating, thus improving the long term reliability. This also enables device operation in a hazardous environment. The alumina/epoxy/HgCdTe/oxide/ZnS structure is a complicated multi-cavity optical system. We have developed an extensive device simulation, which enables us to make the optimal choice of individual cavity thickness for minimizing the resonance and maximizing the quantum efficiency. We have also used 0.05 micrometer alumina powder loaded epoxy to minimize the reflections at the epoxy/HgCdTe interface, thus minimizing the resonance.

  5. InN-based layers grown by modified HVPE

    International Nuclear Information System (INIS)

    Syrkin, A.; Usikov, A.; Soukhoveev, V.; Kovalenkov, O.; Ivantsov, V.; Dmitriev, V.; Collins, C.; Readinger, E.; Shmidt, N.; Davydov, V.; Nikishin, S.; Kuryatkov, V.; Song, D.; Rosenbladt, D.; Holtz, Mark

    2006-01-01

    This paper contains results on InN and InGaN growth by Hydride Vapor Phase Epitaxy (HVPE) on various substrates including sapphire and GaN/sapphire, AlGaN/sapphire, and AlN/sapphire templates. The growth processes are carried out at atmospheric pressure in a hot wall reactor in the temperature range from 500 to 750 and ordm;C. Continuous InN layers are grown on GaN/sapphire template substrates. Textured InN layers are deposited on AlN/sapphire and AlGaN/sapphire templates. Arrays of nano-crystalline InN rods with various shapes are grown directly on sapphire substrates. X-ray diffraction rocking curves for the (002)InN reflection have the full width at half maximum (FWHM) as narrow as 270 arcsec for the nano-rods and 460 arcsec for the continuous layers. In x Ga 1-x N layers with InN content up to 10 mol.% are grown on GaN/sapphire templates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Passivation effect on optical and electrical properties of molecular beam epitaxy-grown HgCdTe/CdTe/Si layers

    Science.gov (United States)

    Kiran, Rajni; Mallick, Shubhrangshu; Hahn, Suk-Ryong; Lee, T. S.; Sivananthan, Sivalingam; Ghosh, Siddhartha; Wijewarnasuriya, P. S.

    2006-06-01

    The effects of passivation with two different passivants, ZnS and CdTe, and two different passivation techniques, physical vapor deposition (PVD) and molecular beam epitaxy (MBE), were quantified in terms of the minority carrier lifetime and extracted surface recombination velocity on both MBE-grown medium-wavelength ir (MWIR) and long-wavelength ir HgCdTe samples. A gradual increment of the minority carrier lifetime was reported as the passivation technique was changed from PVD ZnS to PVD CdTe, and finally to MBE CdTe, especially at low temperatures. A corresponding reduction in the extracted surface recombination velocity in the same order was also reported for the first time. Initial data on the 1/ f noise values of as-grown MWIR samples showed a reduction of two orders of noise power after 1200-Å ZnS deposition.

  7. Properties of MIS structures based on graded-gap HgCdTe grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadookh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Sidorov, Yu. G.; Vasiliev, V. V.

    2008-01-01

    The effect of near-surface graded-gap layers on the electrical characteristics of MIS structures fabricated based on heteroepitaxial Hg 1-x Cd x Te films grown by molecular beam epitaxy with a two-layer SiO 2 /Si 3 N 4 insulator and anodic oxide film is studied experimentally. It is shown that a larger modulation of capacitance (depth and width of the valley) is observed compared with the structures without the graded-gap layer. The field dependences of photovoltage of MIS structures with the graded-gap layers had a classical form and were characterized by a drop only in the enrichment region. For the structures without the graded-gap layer with x = 0.22, a drop in the voltage dependence of the photocurrent is observed in the region of pronounced inversion. This drop is governed by limitation of the space charge region by processes of tunneling generation via deep levels. The properties of the HgCdTe-insulator interfaces are studied.

  8. Ultra-Low Dark Current HgCdTe Detector in SWIR for Space Applications

    Science.gov (United States)

    Cervera, C.; Boulade, O.; Gravrand, O.; Lobre, C.; Guellec, F.; Sanson, E.; Ballet, P.; Santailler, J. L.; Moreau, V.; Zanatta, J. P.; Fieque, B.; Castelein, P.

    2017-10-01

    This paper presents recent developments at Commissariat à l'Energie atomique, Laboratoire d'Electronique et de Technologie de l'Information infrared laboratory on processing and characterization of p-on- n HgCdTe (MCT) planar infrared focal plane arrays (FPAs) in short-wave infrared (SWIR) spectral band for the astrophysics applications. These FPAs have been grown using both liquid phase epitaxy and molecular beam epitaxy on a lattice-matched CdZnTe substrate. This technology exhibits lower dark current and lower series resistance in comparison with n-on- p vacancy-doped architecture and is well adapted for low flux detection or high operating temperature. This architecture has been evaluated for space applications in long-wave infrared and very-long-wave infrared spectral bands with cut-off wavelengths from 10 μm up to 17 μm at 78 K and is now evaluated for the SWIR range. The metallurgical nature of the absorbing layer is also examined and both molecular beam epitaxy and liquid phase epitaxy have been investigated. Electro-optical characterizations have been performed on individual photodiodes from test arrays, whereas dark current investigation has been performed with a fully functional readout integrated circuit dedicated to low flux operations.

  9. Hydrogenated amorphous silicon photoresists for HgCdTe patterning

    Energy Technology Data Exchange (ETDEWEB)

    Hollingsworth, R.E.; DeHart, C.; Wang, L.; Dinan, J.H.; Johnson, J.N.

    1997-07-01

    A process to use a hydrogenated amorphous silicon (a-Si:H) film as a dry photoresist mask for plasma etching of HgCdTe has been demonstrated. The a-Si:H films were deposited using standard plasma enhanced chemical vapor deposition with pure silane as the source gas. X-ray photoelectron spectra show that virtually no oxide grows on the surface of an a-Si:H film after 3 hours in air, indicating that it is hydrogen passivated. Ultraviolet light frees hydrogen from the surface and enhances the oxide growth rate. A pattern of 60 micron square pixels was transferred from a contact mask to the surface of an a-Si:H film by ultraviolet enhanced oxidation in air. For the conditions used, the oxide thickness was 0.5--1.0 nm. Hydrogen plasmas were used to develop this pattern by removing the unexposed regions of the film. A hydrogen plasma etch selectivity between oxide and a-Si:H of greater than 500:1 allows patterns as thick as 700 nm to be generated with this very thin oxide. These patterns were transferred into HgCdTe by etching in an electron cyclotron resonance plasma. An etch selectivity between a-Si:H and HgCdTe of greater than 4:1 was observed after etching 2,500 nm into the HgCdTe. All of the steps are compatible with processing in vacuum.

  10. Impulse response measurement in the HgCdTe avalanche photodiode

    Science.gov (United States)

    Singh, Anand; Pal, Ravinder

    2018-04-01

    HgCdTe based mid-wave infrared focal plane arrays (MWIR FPAs) are being developed for high resolution imaging and range determination of distant camouflaged targets. Effect of bandgap grading on the response time in the n+/ν/p+ HgCdTe electron avalanche photodiode (e-APD) is evaluated using impulse response measurement. Gain normalized dark current density of 2 × 10-9 A/cm2 at low reverse bias for passive mode and 2 × 10-4 A/cm2 at -8 V for active mode is measured in the fabricated APD device, yielding high gain bandwidth product of 2.4 THZ at the maximum gain. Diffusion of carriers is minimized to achieve transit time limited impulse response by introducing composition grading in the HgCdTe epilayer. The noise equivalent photon performance less than one is achievable in the FPA that is suitable for active cum passive imaging applications.

  11. MBE growth of Topological Isolators based on strained semi-metallic HgCdTe layers

    Science.gov (United States)

    Grendysa, J.; Tomaka, G.; Sliz, P.; Becker, C. R.; Trzyna, M.; Wojnarowska-Nowak, R.; Bobko, E.; Sheregii, E. M.

    2017-12-01

    Particularities of Molecular Beam Epitaxial (MBE) technology for the growth of Topological Insulators (TI) based on the semi-metal Hg1-xCdx Te are presented. A series of strained layers grown on GaAs substrates with a composition close to the 3D Dirac point were studied. The composition of the layers was verified by means of the position of the E1 maximum in optical reflectivity in the visible region. The surface morphology was determined via atomic force and electron microscopy. Magneto-transport measurements show quantized Hall resistance curves and Shubnikov de Hass oscillations (up to 50 K). It has been demonstrated that a well-developed MBE technology enables one to grow strained Hg1-xCdx Te layers on GaAs/CdTe substrates with a well-defined composition near the 3D Dirac point and consequently allows one to produce a 3D topological Dirac semimetal - 3D analogy of graphene - for future applications.

  12. Characterization and growth mechanism of nonpolar and semipolar GaN layers grown on patterned sapphire substrates

    International Nuclear Information System (INIS)

    Okada, Narihito; Tadatomo, Kazuyuki

    2012-01-01

    Nonpolar and semipolar GaN layers with markedly improved crystalline quality can be obtained by selective-area growth from the sapphire sidewalls of patterned sapphire substrates (PSSs). In this paper, we review the crystalline qualities of GaN layers grown on PSSs and their growth mechanism. We grew semipolar {1 1 −2 2} and {1 0 −1 1} GaN layers on r- and n-PSSs. The crystalline qualities of the GaN layers grown on the PSSs were higher than those of GaN layers grown directly on heteroepitaxial substrates. To reveal the growth mechanism of GaN layers grown on PSSs, we also grew various nonpolar and semipolar GaN layers such as m-GaN on a-PSS, {1 1 −2 2} GaN on r-PSS, {1 0 − 1  1} GaN on n-PSS, m-GaN on c-PSS and a-GaN on m-PSS. It was found that the nucleation of GaN on the c-plane-like sapphire sidewall results in selective growth from the sapphire sidewall, and nonpolar or semipolar GaN can be obtained. Finally, we demonstrated a light-emitting diode fabricated on a {1 1 −2 2} GaN layer grown on an r-PSS. (paper)

  13. Crosstalk of HgCdTe LWIR n-on-p diode arrays

    International Nuclear Information System (INIS)

    Sun Yinghui; Zhang Bo; Yu Meifang; Liao Qingjun; Zhang Yan; Wen Xin; Jiang Peilu; Hu Xiaoning; Dai Ning

    2009-01-01

    Crosstalk of HgCdTe long-wavelength infrared (LWIR) n-on-p diode arrays was measured using scanning laser microscopy. During the measurement, HgCdTe diode arrays with different diode pitches were frontside illuminated by a He-Ne laser at liquid nitrogen temperature and room temperature. The experimental results show that crosstalk between the nearest neighboring diodes decreases exponentially as the diode pitch increases, and the factors that affect the obtained crosstalk are presented and analyzed. Crosstalk out of the nominal diode area (optically sensitive area) is also measured and discussed.

  14. Characterization of GaN/AlGaN epitaxial layers grown by ...

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical ... reported by introducing annealing of the GaN layer in nitrogen [5], Fe doping [6], .... [2] Y F Wu, S M Wood, R P Smith, S Sheppard, S T Allen, P Parikh and J Milligan,.

  15. Layer-controlled large area MoS{sub 2} layers grown on mica substrate for surface-enhanced Raman scattering

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.Y.; Yang, C. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Jiang, S.Z. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); State Key Lab of Crystal Materials Shandong University, Jinan 250100 (China); Man, B.Y., E-mail: byman@sdnu.edu.cn [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Liu, M.; Chen, C.S.; Zhang, C.; Sun, Z.C.; Qiu, H.W. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Li, H.S. [Department of Radiation Oncology, Key Laboratory of Radiation Oncology of Shandong Province, Shandong Cancer Hospital and Institute, Jinan 250117 (China); Feng, D.J. [College of Information Science and Engineering, Shandong University, Jinan 250100 (China); Zhang, J.X. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China)

    2015-12-01

    Highlights: • Layer-controlled large-area and continuous MoS{sub 2} atomic layers were obtained on mica substrate by thermally decomposing ammonium thiomolybdate at relatively low temperature. • The as-grown MoS{sub 2}/mica substrate was demonstrated to be suitable as a substrate for enhancing Raman signals without any modification and we even collected Raman signals of R6G as low as 10{sup −7} M. • Using the Raman peak of R6G at 1361 cm{sup −1} as a signature, Raman intensity showed an approximately linear increase with the increasing of the logarithm of R6G concentrations. - Abstract: Molybdenum disulfide has recently raised more and more interest due to its layer-related properties and potential applications in optoelectronics and electronics. Here, layer-controlled large-area and continuous MoS{sub 2} atomic layers were obtained on mica substrate by thermally decomposing ammonium thiomolybdate. The obtained MoS{sub 2} film is three layers uniformly. Because of the small lattice mismatch between MoS{sub 2} and mica, the epitaxial MoS{sub 2} film is well grown on the substrate. The as-grown MoS{sub 2}/mica substrate is demonstrated to be suitable as a substrate for enhancing Raman signals of adsorbed molecules without any modification, which even can compare with graphene and will expand the application of MoS{sub 2} to microanalysis.

  16. Photoluminescence characteristics of Pb-doped, molecular-beam-epitaxy grown ZnSe crystal layers

    International Nuclear Information System (INIS)

    Mita, Yoh; Kuronuma, Ryoichi; Inoue, Masanori; Sasaki, Shoichiro; Miyamoto, Yoshinobu

    2004-01-01

    The characteristic green photoluminescence emission and related phenomena in Pb-doped, molecular-beam-epitaxy (MBE)-grown ZnSe crystal layers were investigated to explore the nature of the center responsible for the green emission. The intensity of the green emission showed a distinct nonlinear dependence on excitation intensity. Pb-diffused polycrystalline ZnSe was similarly examined for comparison. The characteristic green emission has been observed only in MBE-grown ZnSe crystal layers with moderate Pb doping. The results of the investigations on the growth conditions, luminescence, and related properties of the ZnSe crystal layers suggest that the green emission is due to isolated Pb replacing Zn and surrounded with regular ZnSe lattice with a high perfection

  17. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wrocaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Drzik, Milan [International Laser Center, Ilkovicova 3, 841-04 Bratislava 4 (Slovakia)

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grown with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.

  18. Single-Photon-Sensitive HgCdTe Avalanche Photodiode Detector

    Science.gov (United States)

    Huntington, Andrew

    2013-01-01

    The purpose of this program was to develop single-photon-sensitive short-wavelength infrared (SWIR) and mid-wavelength infrared (MWIR) avalanche photodiode (APD) receivers based on linear-mode HgCdTe APDs, for application by NASA in light detection and ranging (lidar) sensors. Linear-mode photon-counting APDs are desired for lidar because they have a shorter pixel dead time than Geiger APDs, and can detect sequential pulse returns from multiple objects that are closely spaced in range. Linear-mode APDs can also measure photon number, which Geiger APDs cannot, adding an extra dimension to lidar scene data for multi-photon returns. High-gain APDs with low multiplication noise are required for efficient linear-mode detection of single photons because of APD gain statistics -- a low-excess-noise APD will generate detectible current pulses from single photon input at a much higher rate of occurrence than will a noisy APD operated at the same average gain. MWIR and LWIR electron-avalanche HgCdTe APDs have been shown to operate in linear mode at high average avalanche gain (M > 1000) without excess multiplication noise (F = 1), and are therefore very good candidates for linear-mode photon counting. However, detectors fashioned from these narrow-bandgap alloys require aggressive cooling to control thermal dark current. Wider-bandgap SWIR HgCdTe APDs were investigated in this program as a strategy to reduce detector cooling requirements.

  19. Can graphene make better HgCdTe infrared detectors?

    Directory of Open Access Journals (Sweden)

    Shi Yanli

    2011-01-01

    Full Text Available Abstract We develop a simple and low-cost technique based on chemical vapor deposition from which large-size graphene films with 5-10 graphene layers can be produced reliably and the graphene films can be transferred easily onto HgCdTe (MCT thin wafers at room temperature. The proposed technique does not cause any thermal and mechanical damages to the MCT wafers. It is found that the averaged light transmittance of the graphene film on MCT thin wafer is about 80% in the mid-infrared bandwidth at room temperature and 77 K. Moreover, we find that the electrical conductance of the graphene film on the MCT substrate is about 25 times larger than that of the MCT substrate at room temperature and 77 K. These experimental findings suggest that, from a physics point of view, graphene can be utilized as transparent electrodes as a replacement for metal electrodes while producing better and cheaper MCT infrared detectors.

  20. Epitaxially Grown Layered MFI–Bulk MFI Hybrid Zeolitic Materials

    KAUST Repository

    Kim, Wun-gwi

    2012-11-27

    The synthesis of hybrid zeolitic materials with complex micropore-mesopore structures and morphologies is an expanding area of recent interest for a number of applications. Here we report a new type of hybrid zeolite material, composed of a layered zeolite material grown epitaxially on the surface of a bulk zeolite material. Specifically, layered (2-D) MFI sheets were grown on the surface of bulk MFI crystals of different sizes (300 nm and 10 μm), thereby resulting in a hybrid material containing a unique morphology of interconnected micropores (∼0.55 nm) and mesopores (∼3 nm). The structure and morphology of this material, referred to as a "bulk MFI-layered MFI" (BMLM) material, was elucidated by a combination of XRD, TEM, HRTEM, SEM, TGA, and N2 physisorption techniques. It is conclusively shown that epitaxial growth of the 2-D layered MFI sheets occurs in at least two principal crystallographic directions of the bulk MFI crystal and possibly in the third direction as well. The BMLM material combines the properties of bulk MFI (micropore network and mechanical support) and 2-D layered MFI (large surface roughness, external surface area, and mesoporosity). As an example of the uses of the BMLM material, it was incorporated into a polyimide and fabricated into a composite membrane with enhanced permeability for CO2 and good CO2/CH4 selectivity for gas separations. SEM-EDX imaging and composition analysis showed that the polyimide and the BMLM interpenetrate into each other, thereby forming a well-adhered polymer/particle microstructure, in contrast with the defective interfacial microstructure obtained using bare MFI particles. Analysis of the gas permeation data with the modified Maxwell model also allows the estimation of the effective volume of the BMLM particles, as well as the CO2 and CH4 gas permeabilities of the interpenetrated layer at the BMLM/polyimide interface. © 2012 American Chemical Society.

  1. Monolithic dual-band HgCdTe infrared detector structure

    CSIR Research Space (South Africa)

    Parish, G

    1997-07-01

    Full Text Available A monolithic HgCdTe photoconductive device structure is presented that is suitable for dual-band optically registered infrared photodetection in the two atmospheric transmission windows of 3-5 mu m and 8-12 mu m, which correspond to the mid...

  2. Temperature-sensitive junction transformations for mid-wavelength HgCdTe photovoltaic infrared detector arrays by laser beam induced current microscope

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, Weicheng [College of Photoelectric Science and Engineering, National University of Defense Technology, Changsha, Hunan 410073 (China); National Laboratory for Infrared Physics, Shanghai Institute of Technical Physics, Chinese Academy of Sciences, Shanghai 200083 (China); Hu, Weida, E-mail: wdhu@mail.sitp.ac.cn; Lin, Tie; Yin, Fei; Zhang, Bo; Chen, Xiaoshuang; Lu, Wei [National Laboratory for Infrared Physics, Shanghai Institute of Technical Physics, Chinese Academy of Sciences, Shanghai 200083 (China); Cheng, Xiang' ai, E-mail: xiang-ai-cheng@126.com; Wang, Rui [College of Photoelectric Science and Engineering, National University of Defense Technology, Changsha, Hunan 410073 (China)

    2014-11-10

    In this paper, we report on the disappearance of the photosensitive area extension effect and the unusual temperature dependence of junction transformation for mid-wavelength, n-on-p HgCdTe photovoltaic infrared detector arrays. The n-type region is formed by B{sup +} ion implantation on Hg-vacancy-doped p-type HgCdTe. Junction transformations under different temperatures are visually captured by a laser beam induced current microscope. A physical model of temperature dependence on junction transformation is proposed and demonstrated by using numerical simulations. It is shown that Hg-interstitial diffusion and temperature activated defects jointly lead to the p-n junction transformation dependence on temperature, and the weaker mixed conduction compared with long-wavelength HgCdTe photodiode contributes to the disappearance of the photosensitive area extension effect in mid-wavelength HgCdTe infrared detector arrays.

  3. Optical transparency of graphene layers grown on metal surfaces

    International Nuclear Information System (INIS)

    Rut’kov, E. V.; Lavrovskaya, N. P.; Sheshenya, E. S.; Gall, N. R.

    2017-01-01

    It is shown that, in contradiction with the fundamental results obtained for free graphene, graphene films grown on the Rh(111) surface to thicknesses from one to ~(12–15) single layers do not absorb visible electromagnetic radiation emitted from the surface and influence neither the brightness nor true temperature of the sample. At larger thicknesses, such absorption occurs. This effect is observed for the surfaces of other metals, specifically, Pt(111), Re(1010), and Ni(111) and, thus, can be considered as being universal. It is thought that the effect is due to changes in the electronic properties of thin graphene layers because of electron transfer between graphene and the metal substrate.

  4. Optical transparency of graphene layers grown on metal surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Rut’kov, E. V. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Lavrovskaya, N. P. [State University of Aerospace Instrumentation (Russian Federation); Sheshenya, E. S., E-mail: sheshenayket@gmail.ru; Gall, N. R. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-04-15

    It is shown that, in contradiction with the fundamental results obtained for free graphene, graphene films grown on the Rh(111) surface to thicknesses from one to ~(12–15) single layers do not absorb visible electromagnetic radiation emitted from the surface and influence neither the brightness nor true temperature of the sample. At larger thicknesses, such absorption occurs. This effect is observed for the surfaces of other metals, specifically, Pt(111), Re(1010), and Ni(111) and, thus, can be considered as being universal. It is thought that the effect is due to changes in the electronic properties of thin graphene layers because of electron transfer between graphene and the metal substrate.

  5. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  6. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy

    2012-08-20

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  7. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy; Centeno, Anthony; Mendis, Budhika G.; Reehal, H. S.; Alford, Neil

    2012-01-01

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  8. Composition, structure and electrical properties of alumina barrier layers grown in fluoride-containing oxalic acid solutions

    Energy Technology Data Exchange (ETDEWEB)

    Jagminas, A. [Institute of Chemistry, A. Gostauto 9, LT-01108 Vilnius (Lithuania)], E-mail: jagmin@ktl.mii.lt; Vrublevsky, I. [Department of Microelectricals, Belarusian State University of Informatics and Radioelectricals, 6 Brovka Street, Minsk 220013 (Belarus); Kuzmarskyte, J.; Jasulaitiene, V. [Institute of Chemistry, A. Gostauto 9, LT-01108 Vilnius (Lithuania)

    2008-04-15

    The composition, structure and electrical properties of alumina barrier layers grown by anodic oxidation in F{sup -}-containing (FC) and F{sup -}-free (FF) oxalic acid solutions were studied using the re-anodizing/dissolution technique, Fourier-transformed infrared and X-ray photoelectron spectroscopy. These results confirmed formation in FC anodizing solutions of films structurally different from ones grown in FF oxalic acid baths. It was found that the barrier layer of FC alumina films is composed of two layers differing in the dissolution rate. These differences are related to the formation in the FC electrolyte of a barrier layer composed of a more microporous outer part and a thin, non-porous and non-scalloped inner part consisting of aluminum oxide and aluminum fluoride.

  9. High quality InAsSb grown on InP substrates using AlSb/AlAsSb buffer layers

    International Nuclear Information System (INIS)

    Wu, B.-R.; Liao, C.; Cheng, K. Y.

    2008-01-01

    High quality InAsSb grown on semi-insulating InP substrates by molecular beam epitaxy was achieved using AlSb/AlAsSb structure as the buffer layer. A 1000 A InAsSb layer grown on top of 1 μm AlSb/AlAsSb buffer layer showed a room temperature electron mobility of ∼12 000 cm 2 /V s. High structural quality and low misfit defect density were also demonstrated in the InAsSb layer. This novel AlSb/AlAsSb buffer layer structure with the AlAsSb layer lattice matched to InP substrates could enhance the performance of optoelectronic devices utilizing 6.1 A family of compound semiconductor alloys

  10. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  11. Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M

    2006-01-01

    Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices

  12. Structural characterization of AgGaTe{sub 2} layers grown on a- and c-sapphire substrates by a closed space sublimation method

    Energy Technology Data Exchange (ETDEWEB)

    Uruno, Aya; Usui, Ayaka [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2014-07-15

    AgGaTe{sub 2} layers were grown on a- and c-plane sapphire substrates by a closed space sublimation method with varying the source temperature. Grown films were evaluated by θ -2θ and pole figure measurements of X-ray diffraction. AgGaTe{sub 2} layers were grown to have strong preference for the (103) orientation. However, it was cleared the Ag{sub 5}Te{sub 3} was formed along with the AgGaTe{sub 2} when the layer was grown on c-plane sapphire. The orientation of the film was analyzed by using the pole figure, and resulted in AgGaTe{sub 2} without Ag{sub 5}Te{sub 3} layers could be grown on a-plane sapphire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Low temperature synthesis and field emission characteristics of single to few layered graphene grown using PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Khan, Sunny; Zulfequar, M.; Harsh; Husain, Mushahid, E-mail: mush_reslab@rediffmail.com

    2017-04-30

    Highlights: • Graphene was synthesized by PECVD system at a low temperature of 600 °C. • From different characterization techniques, the presence of single and few layered graphene was confirmed. • X-ray diffraction pattern of the graphene showed single crystalline nature of the film. • The as-grown graphene films were observed extremely good field emitters with long term emission current stability. - Abstract: In this work, high-quality graphene has successfully been synthesized on copper (Cu) coated Silicon (Si) substrate at very large-area by plasma enhanced chemical vapor deposition system. This method is low cost and highly effective for synthesizing graphene relatively at low temperature of 600 °C. Electron microscopy images have shown that surface morphology of the grown samples is quite uniform consisting of single layered graphene (SLG) to few layered graphene (FLG). Raman spectra reveal that graphene has been grown with high-quality having negligible defects and the observation of G and G' peaks is also an indicative of stokes phonon energy shift caused due to laser excitation. Scanning probe microscopy image also depicts the synthesis of single to few layered graphene. The field emission characteristics of as-grown graphene samples were studied in a planar diode configuration at room temperature. The graphene samples were observed to be a good field emitter having low turn-on field, higher field amplification factor and long term emission current stability.

  14. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    Science.gov (United States)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  15. Suppression of Mg propagation into subsequent layers grown by MOCVD

    Science.gov (United States)

    Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-01-01

    Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.

  16. Linear Mode HgCdTe Avalanche Photodiodes for Photon Counting Applications

    Science.gov (United States)

    Sullivan, William, III; Beck, Jeffrey; Scritchfield, Richard; Skokan, Mark; Mitra, Pradip; Sun, Xiaoli; Abshire, James; Carpenter, Darren; Lane, Barry

    2015-01-01

    An overview of recent improvements in the understanding and maturity of linear mode photon counting with HgCdTe electron-initiated avalanche photodiodes is presented. The first HgCdTe LMPC 2x8 format array fabricated in 2011 with 64 micron pitch was a remarkable success in terms of demonstrating a high single photon signal to noise ratio of 13.7 with an excess noise factor of 1.3-1.4, a 7 ns minimum time between events, and a broad spectral response extending from 0.4 micron to 4.2 micron. The main limitations were a greater than 10x higher false event rate than expected of greater than 1 MHz, a 5-7x lower than expected APD gain, and a photon detection efficiency of only 50% when greater than 60% was expected. This paper discusses the reasons behind these limitations and the implementation of their mitigations with new results.

  17. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  18. Thermally oxidized formation of new Ge dots over as-grown Ge dots in the Si capping layer

    International Nuclear Information System (INIS)

    Nie Tianxiao; Lin Jinhui; Shao Yuanmin; Wu Yueqin; Yang Xinju; Fan Yongliang; Jiang Zuimin; Chen Zhigang; Zou Jin

    2011-01-01

    A Si-capped Ge quantum dot sample was self-assembly grown via Stranski-Krastanov mode in a molecular beam epitaxy system with the Si capping layer deposited at 300 deg. C. After annealing the sample in an oxygen atmosphere at 1000 deg. C, a structure, namely two layers of quantum dots, was formed with the newly formed Ge-rich quantum dots embedded in the oxidized matrix with the position accurately located upon the as-grown quantum dots. It has been found that the formation of such nanostructures strongly depends upon the growth temperature and oxygen atmosphere. A growth mechanism was proposed to explain the formation of the nanostructure based on the Ge diffusion from the as-grown quantum dots, Ge segregation from the growing oxide, and subsequent migration/agglomeration.

  19. Microstructural and magnetic properties of L10 FePt-C (0 0 1) textured nanocomposite films grown on different intermediate layers

    International Nuclear Information System (INIS)

    Chen, J S; Chow, G M; Lim, B C; Hu, J F; Ding, Y F; Ju, G

    2008-01-01

    The FePt : C films with different volume fractions of carbon and different thicknesses were epitaxially grown on a CrRu(2 0 0) underlayer with Pt and MgO intermediate layers. The magnetic properties and microstructure of these FePt : C films were investigated. The FePt : C films grown on the Pt intermediate layer consisted of a continuous layer of FePt, with overlying granular FePt grains, while the FePt : C films grown on the MgO intermediate layer consisted of granular FePt : C layers with overlying granular grains. The formation of the overlying granular FePt grains was attributed to carbon diffusion to the surface which resulted in the second nucleation of FePt. The different interface energies and surface energies of FePt on Pt and MgO intermediate layers caused the formation of an initial continuous FePt layer on the Pt intermediate layer and initial granular FePt layers on the MgO intermediate layer. The coupling between the continuous FePt layer or the granular FePt layer and the overlying granular FePt grains resulted in simultaneous magnetization reversal and thus strong exchange coupling in FePt : C films.

  20. Very high resolution detection of gamma radiation at room-temperature using P-I-N detectors of CdZnTe and HgCdTe

    Science.gov (United States)

    Hamilton, W. J.; Rhiger, D. R.; Sen, S.; Kalisher, M. H.; James, K.; Reid, C. P.; Gerrish, V.; Baccash, C. O.

    1994-08-01

    High-energy photon detectors have been constructed by engineering and fabricating p-i-n diode structures consisting of bulk CdZnTe and epitaxial HgCdTe. The p-i-n structure was obtained by liquid-phase epitaxial growth of p and n doped HgCdTe layers on 'intrinsic' CdZnTe material about 1mm thick and approximately 25mm square. Curve tracing shows I-V curves with diode characteristics having resistivity above 1011 Omega -cm and leakage current of less than 400 pA to about - 60V reverse bias on a typical test piece approximately 5 x 8 x 1 mm. Spectra of similar test pieces have been obtained at room temperature with various nuclear isotopic sources over the range of 22 keV to 662 keV which show exceptionally high energy resolution. Resolution as good as 1.82% FWHM was obtained for the 356 keV line of 133Ba with a P/V = 3.4. The performance of these detectors combined with contemporary infrared technology capable of fabricating 2D arrays of these II-VI materials opens up manifold exciting applications in astrophysics, medical, industrial, environmental, and defense spectroscopy and imaging.

  1. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  2. Reducing the layer number of AB stacked multilayer graphene grown on nickel by annealing at low temperature.

    Science.gov (United States)

    Velasco, J Marquez; Giamini, S A; Kelaidis, N; Tsipas, P; Tsoutsou, D; Kordas, G; Raptis, Y S; Boukos, N; Dimoulas, A

    2015-10-09

    Controlling the number of layers of graphene grown by chemical vapor deposition is crucial for large scale graphene application. We propose here an etching process of graphene which can be applied immediately after growth to control the number of layers. We use nickel (Ni) foil at high temperature (T = 900 °C) to produce multilayer-AB-stacked-graphene (MLG). The etching process is based on annealing the samples in a hydrogen/argon atmosphere at a relatively low temperature (T = 450 °C) inside the growth chamber. The extent of etching is mainly controlled by the annealing process duration. Using Raman spectroscopy we demonstrate that the number of layers was reduced, changing from MLG to few-layer-AB-stacked-graphene and in some cases to randomly oriented few layer graphene near the substrate. Furthermore, our method offers the significant advantage that it does not introduce defects in the samples, maintaining their original high quality. This fact and the low temperature our method uses make it a good candidate for controlling the layer number of already grown graphene in processes with a low thermal budget.

  3. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  4. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm

  5. Update on Linear Mode Photon Counting with the HgCdTe Linear Mode Avalanche Photodiode

    Science.gov (United States)

    Beck, Jeffrey D.; Kinch, Mike; Sun, Xiaoli

    2014-01-01

    The behavior of the gain-voltage characteristic of the mid-wavelength infrared cutoff HgCdTe linear mode avalanche photodiode (e-APD) is discussed both experimentally and theoretically as a function of the width of the multiplication region. Data are shown that demonstrate a strong dependence of the gain at a given bias voltage on the width of the n- gain region. Geometrical and fundamental theoretical models are examined to explain this behavior. The geometrical model takes into account the gain-dependent optical fill factor of the cylindrical APD. The theoretical model is based on the ballistic ionization model being developed for the HgCdTe APD. It is concluded that the fundamental theoretical explanation is the dominant effect. A model is developed that combines both the geometrical and fundamental effects. The model also takes into account the effect of the varying multiplication width in the low bias region of the gain-voltage curve. It is concluded that the lower than expected gain seen in the first 2 × 8 HgCdTe linear mode photon counting APD arrays, and higher excess noise factor, was very likely due to the larger than typical multiplication region length in the photon counting APD pixel design. The implications of these effects on device photon counting performance are discussed.

  6. Sensitivity of the crystal quality of SiGe layers grown at low temperatures by trisilane and germane

    Energy Technology Data Exchange (ETDEWEB)

    Abedin, A., E-mail: aabedin@kth.se; Moeen, M.; Cappetta, C.; Östling, M.; Radamson, H.H., E-mail: rad@kth.se

    2016-08-31

    This work investigates the crystal quality of SiGe layers grown at low temperatures using trisilane, and germane precursors. The crystal quality sensitivity was monitored for hydrogen chloride and/or minor oxygen amount during SiGe epitaxy or at the interface of SiGe/Si layers. The quality of the epi-layers was examined by quantifying noise parameter, K{sub 1/f} obtained from the power spectral density vs. 1/f curves. The results indicate that while it is difficult to detect small defect densities in SiGe layers by physical material characterization, the noise measurement could reveal the effects of oxygen contamination as low as 0.16 mPa inside and in the interface of the layers. - Highlights: • SiGe layers were grown using trisilane and germane. • Effect of HCl flow on Ge content and growth rate was investigated. • O{sub 2} partial pressures up to 4.3 mPa did not affect x-ray diffraction pattern. • O{sub 2} partial pressures as low as 0.16 mPa increased the noise level. • HCl increased metal contaminations of the layers and the noise level consequently.

  7. A method to eliminate wetting during the homogenization of HgCdTe

    Science.gov (United States)

    Su, Ching-Hua; Lehoczky, S. L.; Szofran, F. R.

    1986-01-01

    Adhesion of HgCdTe samples to fused silica ampoule walls, or 'wetting', during the homogenization process was eliminated by adopting a slower heating rate. The idea is to decrease Cd activity in the sample so as to reduce the rate of reaction between Cd and the silica wall.

  8. High-Operating Temperature HgCdTe: A Vision for the Near Future

    Science.gov (United States)

    Lee, D.; Carmody, M.; Piquette, E.; Dreiske, P.; Chen, A.; Yulius, A.; Edwall, D.; Bhargava, S.; Zandian, M.; Tennant, W. E.

    2016-09-01

    We review recent advances in the HgCdTe material quality and detector performance achieved at Teledyne using molecular beam epitaxy growth and the double-layer planar hetero-junction (DLPH) detector architecture. By using an un-doped, fully depleted absorber, Teledyne's DLPH architecture can be extended for use in high operating temperatures and other applications. We assess the potential achievable performance for long wavelength infrared (LWIR) hetero-junction p-lightly-doped n or p-intrinsic- n (p-i-n) detectors based on recently reported results for 10.7 μm cutoff 1 K × 1 K focal plane arrays (FPAs) tested at temperatures down to 30 K. Variable temperature dark current measurements show that any Shockley-Read-Hall currents in the depletion region of these devices have lifetimes that are reproducibly greater than 100 ms. Under the assumption of comparable lifetimes at higher temperatures, it is predicted that fully-depleted background radiation-limited performance can be expected for 10- μm cutoff detectors from room temperature to well below liquid nitrogen temperatures, with room-temperature dark current nearly 400 times lower than predicted by Rule 07. The hetero-junction p-i-n diode is shown to have numerous other significant potential advantages including minimal or no passivation requirements for pBn-like processing, low 1/ f noise, compatibility with small pixel pitch while maintaining high modulation transfer function, low crosstalk and good quantum efficiency. By appropriate design of the FPA dewar shielding, analysis shows that dark current can theoretically be further reduced below the thermal equilibrium radiative limit. Modeling shows that background radiation-limited LWIR HgCdTe operating with f/1 optics has the potential to operate within √2 of background-limited performance at 215 K. By reducing the background radiation by 2/3 using novel shielding methods, operation with a single-stage thermo-electric-cooler may be possible. If the

  9. Enhanced B doping in CVD-grown GeSn:B using B δ-doping layers

    Science.gov (United States)

    Kohen, David; Vohra, Anurag; Loo, Roger; Vandervorst, Wilfried; Bhargava, Nupur; Margetis, Joe; Tolle, John

    2018-02-01

    Highly doped GeSn material is interesting for both electronic and optical applications. GeSn:B is a candidate for source-drain material in future Ge pMOS device because Sn adds compressive strain with respect to pure Ge, and therefore can boost the Ge channel performances. A high B concentration is required to obtain low contact resistivity between the source-drain material and the metal contact. To achieve high performance, it is therefore highly desirable to maximize both the Sn content and the B concentration. However, it has been shown than CVD-grown GeSn:B shows a trade-off between the Sn incorporation and the B concentration (increasing B doping reduces Sn incorporation). Furthermore, the highest B concentration of CVD-grown GeSn:B process reported in the literature has been limited to below 1 × 1020 cm-3. Here, we demonstrate a CVD process where B δ-doping layers are inserted in the GeSn layer. We studied the influence of the thickness between each δ-doping layers and the δ-doping layers process conditions on the crystalline quality and the doping density of the GeSn:B layers. For the same Sn content, the δ-doping process results in a 4-times higher B doping than the co-flow process. In addition, a B doping concentration of 2 × 1021 cm-3 with an active concentration of 5 × 1020 cm-3 is achieved.

  10. Selective exfoliation of single-layer graphene from non-uniform graphene grown on Cu

    International Nuclear Information System (INIS)

    Lim, Jae-Young; Lee, Jae-Hyun; Jang, Hyeon-Sik; Whang, Dongmok; Joo, Won-Jae; Hwang, SungWoo

    2015-01-01

    Graphene growth on a copper surface via metal-catalyzed chemical vapor deposition has several advantages in terms of providing high-quality graphene with the potential for scale-up, but the product is usually inhomogeneous due to the inability to control the graphene layer growth. The non-uniform regions strongly affect the reliability of the graphene in practical electronic applications. Herein, we report a novel graphene transfer method that allows for the selective exfoliation of single-layer graphene from non-uniform graphene grown on a Cu foil. Differences in the interlayer bonding energy are exploited to mechanically separate only the top single-layer graphene and transfer this to an arbitrary substrate. The dry-transferred single-layer graphene showed electrical characteristics that were more uniform than those of graphene transferred using conventional wet-etching transfer steps. (paper)

  11. HgCdTe APDS for space applications

    Science.gov (United States)

    Rothman, Johan; de Broniol, Eric; Foubert, Kevin; Mollard, Laurent; Péré-Laperne, Nicolas; Salvetti, Frederic; Kerlain, Alexandre; Reibel, Yann

    2017-11-01

    HgCdTe avalanche photodiode focal plane arrays (FPAs) and single element detectors have been developed for a large scope of photon starved applications. The present communication present the characteristics of our most recent detector developments that opens the horizon for low infrared (IR) photon number detection with high information conservation for imaging, atmospheric lidar and free space telecommunications. In particular, we report on the performance of TEC cooled large area detectors with sensitive diameters ranging from 30- 200 μm, characterised by detector gains of 2- 20 V/μW and noise equivalent input power of 0.1-1 nW for bandwidths ranging from 20 to 400 MHz.

  12. Thermal Cycle Annealing and its Application to Arsenic-Ion Implanted HgCdTe

    Science.gov (United States)

    2014-06-26

    Rao Mulpuri Sina Simingalam, Priyalal Wijewarnasuriya, Mulpuri V. Rao 1720BH c. THIS PAGE The public reporting burden for this collection of...Implanted HgCdTe Sina Simingalama,b,c, Priyalal Wijewarnasuriyab, Mulpuri V. Raoc a. School of Physics, Astronomy and Computational Sciences, George

  13. Development of HgCdTe large format MBE arrays and noise-free high speed MOVPE EAPD arrays for ground based NIR astronomy

    Science.gov (United States)

    Finger, G.; Baker, I.; Downing, M.; Alvarez, D.; Ives, D.; Mehrgan, L.; Meyer, M.; Stegmeier, J.; Weller, H. J.

    2017-11-01

    Large format near infrared HgCdTe 2Kx2K and 4Kx4K MBE arrays have reached a level of maturity which meets most of the specifications required for near infrared (NIR) astronomy. The only remaining problem is the persistence effect which is device specific and not yet fully under control. For ground based multi-object spectroscopy on 40 meter class telescopes larger pixels would be advantageous. For high speed near infrared fringe tracking and wavefront sensing the only way to overcome the CMOS noise barrier is the amplification of the photoelectron signal inside the infrared pixel by means of the avalanche gain. A readout chip for a 320x256 pixel HgCdTe eAPD array will be presented which has 32 parallel video outputs being arranged in such a way that the full multiplex advantage is also available for small sub-windows. In combination with the high APD gain this allows reducing the readout noise to the subelectron level by applying nondestructive readout schemes with subpixel sampling. Arrays grown by MOVPE achieve subelectron readout noise and operate with superb cosmetic quality at high APD gain. Efforts are made to reduce the dark current of those arrays to make this technology also available for large format focal planes of NIR instruments offering noise free detectors for deep exposures. The dark current of the latest MOVPE eAPD arrays is already at a level adequate for noiseless broad and narrow band imaging in scientific instruments.

  14. Long wavelength stimulated emission up to 9.5 μm from HgCdTe quantum well heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Morozov, S. V.; Rumyantsev, V. V., E-mail: rumyantsev@ipmras.ru; Dubinov, A. A.; Kudryavtsev, K. E.; Antonov, A. V.; Gavrilenko, V. I. [Institute for Physics of Microstructures of Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Kadykov, A. M. [Institute for Physics of Microstructures of Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); UMR CNRS 5221, GIS-TERALAB, Université Montpellier II, 34095 Montpellier (France); Mikhailov, N. N. [A.V. Rzhanov Institute of Semiconductor Physics, Siberian Branch of Russian Academy of Sciences, 630090 Novosibirsk (Russian Federation); Novosibirsk State University, 630090 Novosibirsk (Russian Federation); Dvoretskii, S. A. [A.V. Rzhanov Institute of Semiconductor Physics, Siberian Branch of Russian Academy of Sciences, 630090 Novosibirsk (Russian Federation)

    2016-02-29

    Stimulated emission from waveguide HgCdTe structures with several quantum wells inside waveguide core is demonstrated at wavelengths up to 9.5 μm. Photoluminescence line narrowing down to kT energy, as well as superlinear rise in its intensity evidence the onset of the stimulated emission, which takes place under optical pumping with intensity as small as ∼0.1 kW/cm{sup 2} at 18 K and 1 kW/cm{sup 2} at 80 K. One can conclude that HgCdTe structures potential for long-wavelength lasers is not exhausted.

  15. Effects of a GaSb buffer layer on an InGaAs overlayer grown on Ge(111) substrates: Strain, twin generation, and surface roughness

    Science.gov (United States)

    Kajikawa, Y.; Nishigaichi, M.; Tenma, S.; Kato, K.; Katsube, S.

    2018-04-01

    InGaAs layers were grown by molecular-beam epitaxy on nominal and vicinal Ge(111) substrates with inserting GaSb buffer layers. High-resolution X-ray diffraction using symmetric 333 and asymmetric 224 reflections was employed to analyze the crystallographic properties of the grown layers. By using the two reflections, we determined the lattice constants (the unit cell length a and the angle α between axes) of the grown layers with taking into account the rhombohedral distortion of the lattices of the grown layers. This allowed us the independent determination of the strain components (perpendicular and parallel components to the substrate surface, ε⊥ and ε//) and the composition x of the InxGa1-xAs layers by assuming the distortion coefficient D, which is defined as the ratio of ε⊥ against ε//. Furthermore, the twin ratios were determined for the GaSb and the InGaAs layers by comparing asymmetric 224 reflections from the twin domain with that from the normal domain of the layers. As a result, it has been shown that the twin ratio in the InGaAs layer can be decreased to be less than 0.1% by the use of the vicinal substrate together with annealing the GaSb buffer layer during the growth interruption before the InGaAs overgrowth.

  16. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  17. Stimulated emission at 2.8 μm from Hg-based quantum well structures grown by photoassisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Giles, N.C.; Yang, Z.; Han, J.W.; Cook, J.W. Jr.; Schetzina, J.F.

    1990-01-01

    We report the first observation of stimulated emission from Hg-based quantum well structures in which the active region is a HgCdTe superlattice. The laser structures were grown on (100) CdZnTe substrates by photoassisted molecular beam epitaxy. Cleaved laser cavities were optically pumped using the 1.06 μm output from a continuous wave Nd:YAG laser. Stimulated emission cavity modes were seen at cw laser power densities as low as 3.4 kW/cm 2 and at temperatures ≥60 K

  18. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  19. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  20. Evaluation of Rockwell HgCdTe arrays for astronomical use

    Science.gov (United States)

    Lebofsky, M. J.; Montgomery, E. F.; Kailey, W. F.

    1986-01-01

    The 32 x 32 HgCdTe array manufactured by Rockwell International was proven to be a highly competitive detector type for use at wavelengths shorter than 2.5 microns. The goal of a K=+16 sky survey using this array attached to the Steward Observatory Transit Telescope is clearly within reach. The detector material exhibits high quantum efficiency and low dark currents indicating that its usefulness may extend beyond its use with a CCD readout on groundbased telescopes.

  1. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  2. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  3. Photo-electrochemical and impedance investigation of passive layers grown anodically on titanium alloys

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, N.T.C. [Departamento de Quimica, Universidade Federal de Sao Carlos, CP 676, 13560-970 Sao Carlos, SP (Brazil); Biaggio, S.R. [Departamento de Quimica, Universidade Federal de Sao Carlos, CP 676, 13560-970 Sao Carlos, SP (Brazil); Piazza, S. [Dipartimento di Ingegneria Chimica dei Processi e dei Materiali, Universita di Palermo, Viale delle Scienze, 90128 Palermo (Italy)]. E-mail: piazza@dicpm.unipa.it; Sunseri, C. [Dipartimento di Ingegneria Chimica dei Processi e dei Materiali, Universita di Palermo, Viale delle Scienze, 90128 Palermo (Italy); Di Quarto, F. [Dipartimento di Ingegneria Chimica dei Processi e dei Materiali, Universita di Palermo, Viale delle Scienze, 90128 Palermo (Italy)

    2004-10-15

    The anodic behaviour of two titanium cast alloys, obtained by fusion in a voltaic arc under argon atmosphere, was analyzed in aerated aqueous solutions having different pH values. In all solutions the alloys, having nominal compositions Ti-50Zr at.% and Ti-13Zr-13Nb wt.%, displayed a valve-metal behaviour, owing to the formation of barrier-type oxide films. Passive films, grown potentiodynamically up to about 9 V, were investigated by photocurrent spectroscopy (PCS) and electrochemical impedance spectroscopy (EIS). These passive layers show photoactivity under anodic polarizations, with optical gaps close to 3.55 and 3.25 eV for the binary and the ternary alloy, respectively, independent of the anodizing electrolyte. Films grown on the binary alloy present insulating behaviour and anodic impedance spectra with one time constant; this was interpreted in terms of a single-layer mixed Ti-Zr oxide enriched in Ti with respect to the alloy composition. Also for the ternary alloy the results are consistent with the formation, upon anodization, of Ti-Nb-Zr mixed oxide films, but they display n-type semiconducting behaviour, owing to their poor content of ZrO{sub 2} groups.

  4. Silicon transport in sputter-deposited tantalum layers grown under ion bombardment

    International Nuclear Information System (INIS)

    Gallais, P.; Hantzpergue, J.J.; Remy, J.C.; Roptin, D.

    1988-01-01

    Tantalum was sputter deposited on (111) Si substrate under low-energy ion bombardment in order to study the effects of the ion energy on the silicon transport into the Ta layer. The Si substrate was heated up to 500 0 C during growth. For ion energies up to 180 eV silicon is not transported into tantalum and the growth temperature has no effect. An ion bombardment energy of 280 eV enhances the transport of silicon throughout the tantalum layer. Growth temperatures up to 300 0 C have no effect on the silicon transport which is mainly enhanced by the ion bombardment. For growth temperatures between 300 and 500 0 C, the silicon transport is also enhanced by the thermal diffusion. The experimental depth distribution of silicon is similar to the theoretical depth distribution calculated for the case of an interdiffusion. The ion-enhanced process of silicon transport is characterized by an activation energy of 0.4 eV. Silicon into the layers as-grown at 500 0 C is in both states, amorphous silicide and microcrystalline cubic silicon

  5. Positron annihilation studies of defects in molecular beam epitaxy grown III-V layers

    International Nuclear Information System (INIS)

    Umlor, M.T.; Keeble, D.J.; Cooke, P.W.

    1994-01-01

    A summary of recent positron annihilation experiments on molecular beam epitaxy (MBE) grown III-V layers is Presented. Variable energy positron beam measurements on Al 0.32 Ga 0.68 As undoped and Si doped have been completed. Positron trapping at a open volume defect in Al 0.32 Ga 0.68 :Si for temperatures from 300 to 25 K in the dark was observed. The positron trap was lost after 1.3 eV illumination at 25K. These results indicate an open volume defect is associated with the local structure of the deep donor state of the DX center. Stability of MBE GaAs to thermal annealing war, investigated over the temperature range of 230 to 700 degrees C, Proximity wafer furnace anneals in flowing argon were used, Samples grown above 450 degrees C were shown to be stable but for sample below this temperature an anneal induced vacancy related defect was produced for anneals between 400 and 500 degrees C. The nature of the defect was shown to be different for material grown at 350 and 230 degrees C. Activation energies of 2.5 eV to 2.3 eV were obtained from isochronal anneal experiments for samples grown at 350 and 230 degrees C, respectively

  6. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Fu Chen

    2017-12-01

    Full Text Available In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD. We have observed that the growth of GaN nucleation layers (NLs under N2 ambient leads to a large full width at half maximum (FWHM of (102 X-ray diffraction (XRD line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  7. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Chen, Fu; Sun, Shichuang; Deng, Xuguang; Fu, Kai; Yu, Guohao; Song, Liang; Hao, Ronghui; Fan, Yaming; Cai, Yong; Zhang, Baoshun

    2017-12-01

    In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD). We have observed that the growth of GaN nucleation layers (NLs) under N2 ambient leads to a large full width at half maximum (FWHM) of (102) X-ray diffraction (XRD) line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS) measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  8. Electrical properties of MIS devices on CdZnTe/HgCdTe

    Science.gov (United States)

    Lee, Tae-Seok; Jeoung, Y. T.; Kim, Hyun Kyu; Kim, Jae Mook; Song, Jinhan; Ann, S. Y.; Lee, Ji Y.; Kim, Young Hun; Kim, Sun-Ung; Park, Mann-Jang; Lee, S. D.; Suh, Sang-Hee

    1998-10-01

    In this paper, we report the capacitance-voltage (C-V) properties of metal-insulator-semiconductor (MIS) devices on CdTe/HgCdTe by the metalorganic chemical vapor deposition (MOCVD) and CdZnTe/HgCdTe by thermal evaporation. In MOCVD, CdTe layers are directly grown on HgCdTe using the metal organic sources of DMCd and DiPTe. HgCdTe layers are converted to n-type and the carrier concentration, ND is low 1015 cm-3 after Hg-vacancy annealing at 260 degrees Celsius. In thermal evaporation, CdZnTe passivation layers were deposited on HgCdTe surfaces after the surfaces were etched with 0.5 - 2.0% bromine in methanol solution. To investigate the electrical properties of the MIS devices, the C-V measurement is conducted at 80 K and 1 MHz. C-V curve of MIS devices on CdTe/HgCdTe by MOCVD has shown nearly flat band condition and large hysteresis, which is inferred to result from many defects in CdTe layer induced during Hg-vacancy annealing process. A negative flat band voltage (VFB approximately equals -2 V) and a small hysteresis have been observed for MIS devices on CdZnTe/HgCdTe by thermal evaporation. It is inferred that the negative flat band voltage results from residual Te4+ on the surface after etching with bromine in methanol solution.

  9. Mosaic Structure Characterization of the AlInN Layer Grown on Sapphire Substrate

    Directory of Open Access Journals (Sweden)

    Engin Arslan

    2014-01-01

    Full Text Available The 150 nm thick, (0001 orientated wurtzite-phase Al1−xInxN epitaxial layers were grown by metal organic chemical vapor deposition on GaN (2.3 µm template/(0001 sapphire substrate. The indium (x concentration of the Al1−xInxN epitaxial layers was changed as 0.04, 0.18, 0.20, 0.47, and 0.48. The Indium content (x, lattice parameters, and strain values in the AlInN layers were calculated from the reciprocal lattice mapping around symmetric (0002 and asymmetric (10–15 reflection of the AlInN and GaN layers. The mosaic structure characteristics of the AlInN layers, such as lateral and vertical coherence lengths, tilt and twist angle, heterogeneous strain, and dislocation densities (edge and screw type dislocations of the AlInN epilayers, were investigated by using high-resolution X-ray diffraction measurements and with a combination of Williamson-Hall plot and the fitting of twist angles.

  10. STM studies of GeSi thin layers epitaxially grown on Si(111)

    Science.gov (United States)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  11. Unusual strain in homoepitaxial CdTe(001) layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Heinke, H.; Waag, A.; Moeller, M.O.; Regnet, M.M.; Landwehr, G. [Physikalisches Institut, Univ. Wuerzburg (Germany)

    1994-01-01

    For homoepitaxial CdTe(001) films grown by molecular beam epitaxy onto CdTe(001) substrates, a difference between the lattice constants of the substrate and the layer was systematically observed using high resolution X-ray diffraction. Reciprocal space maps point out an unusual strain state of such layers which is indicated by the position of their reciprocal lattice points. They lie in a section of reciprocal space which is usually forbidden by elasticity theory. The strain is laterally anisotropic leading to a monoclinic symmetry of the thin films. The lateral strain is depth dependent. Possible reasons for the formation of the unusual strain are discussed, and a correlation of the unusual strain with the growth conditions is attempted

  12. Investigation of InN layers grown by MOCVD using analytical and high resolution TEM: The structure, band gap, role of the buffer layers

    International Nuclear Information System (INIS)

    Ruterana, P.; Abouzaid, M.; Gloux, F.; Maciej, W.; Doualan, J.L.; Drago, M.; Schmidtling, T.; Pohl, U.W.; Richter, W.

    2006-01-01

    In this work we investigate the microstructure of InN layers grown by MOCVD on different buffer layers using TEM (InN, GaN). The large mismatch between the various lattices (InN, sapphire or GaN) leads to particular interface structures. Our local analysis allows to show that at atomic scale, the material has the InN lattice parameters and that no metallic In precipitates are present, meaning that the PL emission below 0.8 eV is a genuine property of the InN semiconductor. It is also shown that the N polar layers, which exhibit a 2D growth, have poorer PL emission than In polar layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  14. Surface potential driven dissolution phenomena of [0 0 0 1]-oriented ZnO nanorods grown from ZnO and Pt seed layers

    Science.gov (United States)

    Seo, Youngmi; Kim, Jung Hyeun

    2011-06-01

    Highly oriented ZnO nanorods are synthesized hydrothermally on ZnO and Pt seed layers, and they are dissolved in KOH solution. The rods grown on ZnO seed layer show uniform dissolution, but those grown on Pt seed layer are rod-selectively dissolved. The ZnO nanorods from both seed layers show the same crystalline structure through XRD and Raman spectrometer data. However, the surface potential analysis reveals big difference for ZnO and Pt seed cases. The surface potential distribution is very uniform for the ZnO seed case, but it is much fluctuated on the Pt seed case. It suggests that the rod-selective dissolution phenomena on Pt seed case are likely due to the surface energy difference.

  15. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  16. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  17. Inverted fractal analysis of TiO{sub x} thin layers grown by inverse pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Égerházi, L., E-mail: egerhazi.laszlo@gmail.com [University of Szeged, Faculty of Medicine, Department of Medical Physics and Informatics, Korányi fasor 9., H-6720 Szeged (Hungary); Smausz, T. [University of Szeged, Faculty of Science, Department of Optics and Quantum Electronics, Dóm tér 9., H-6720 Szeged (Hungary); Bari, F. [University of Szeged, Faculty of Medicine, Department of Medical Physics and Informatics, Korányi fasor 9., H-6720 Szeged (Hungary)

    2013-08-01

    Inverted fractal analysis (IFA), a method developed for fractal analysis of scanning electron microscopy images of cauliflower-like thin films is presented through the example of layers grown by inverse pulsed laser deposition (IPLD). IFA uses the integrated fractal analysis module (FracLac) of the image processing software ImageJ, and an objective thresholding routine that preserves the characteristic features of the images, independently of their brightness and contrast. IFA revealed f{sub D} = 1.83 ± 0.01 for TiO{sub x} layers grown at 5–50 Pa background pressures. For a series of images, this result was verified by evaluating the scaling of the number of still resolved features on the film, counted manually. The value of f{sub D} not only confirms the fractal structure of TiO{sub x} IPLD thin films, but also suggests that the aggregation of plasma species in the gas atmosphere may have only limited contribution to the deposition.

  18. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  19. Effect of annealing on metastable shallow acceptors in Mg-doped GaN layers grown on GaN substrates

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Paskov, Plamen P.; Bergman, Peder; Monemar, Bo; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.

    2008-01-01

    Mg-doped GaN layers grown by metal-organic vapor phase epitaxy on GaN substrates produced by the halide vapor phase technique demonstrate metastability of the near-band-gap photoluminescence (PL). The acceptor bound exciton (ABE) line possibly related to the C acceptor vanishes in as-grown samples within a few minutes under UV laser illumination. Annealing activates the more stable Mg acceptors and passivates C acceptors. Consequently, only the ABE line related to Mg is dominant in PL spectra...

  20. ZnO nanostructures directly grown on paper and bacterial cellulose substrates without any surface modification layer.

    Science.gov (United States)

    Costa, Saionara V; Gonçalves, Agnaldo S; Zaguete, Maria A; Mazon, Talita; Nogueira, Ana F

    2013-09-21

    In this report, hierarchical ZnO nano- and microstructures were directly grown for the first time on a bacterial cellulose substrate and on two additional different papers by hydrothermal synthesis without any surface modification layer. Compactness and smoothness of the substrates are two important parameters that allow the growth of oriented structures.

  1. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  2. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  3. A Highly Sensitive Multi-Element HgCdTe E-APD Detector for IPDA Lidar Applications

    Science.gov (United States)

    Beck, Jeff; Welch, Terry; Mitra, Pradip; Reiff, Kirk; Sun, Xiaoli; Abshire, James

    2014-01-01

    An HgCdTe electron avalanche photodiode (e-APD) detector has been developed for lidar receivers, one application of which is integrated path differential absorption lidar measurements of such atmospheric trace gases as CO2 and CH4. The HgCdTe APD has a wide, visible to mid-wave-infrared, spectral response, high dynamic range, substantially improved sensitivity, and an expected improvement in operational lifetime. A demonstration sensor-chip assembly consisting of a 4.3 lm cutoff HgCdTe 4 9 4 APD detector array with 80 micrometer pitch pixels and a custom complementary metal-oxide-semiconductor readout integrated circuit was developed. For one typical array the APD gain was 654 at 12 V with corresponding gain normalized dark currents ranging from 1.2 fA to 3.2 fA. The 4 9 4 detector system was characterized at 77 K with a 1.55 micrometer wavelength, 1 microsecond wide, laser pulse. The measured unit gain detector photon conversion efficiency was 91.1%. At 11 V bias the mean measured APD gain at 77 K was 307.8 with sigma/mean uniformity of 1.23%. The average, noise-bandwidth normalized, system noise-equivalent power (NEP) was 1.04 fW/Hz(exp 1/2) with a sigma/mean of 3.8%. The measured, electronics-limited, bandwidth of 6.8 MHz was more than adequate for 1 microsecond pulse detection. The system had an NEP (3 MHz) of 0.4 fW/Hz(exp 1/2) at 12 V APD bias and a linear dynamic range close to 1000. A gain-independent quantum-limited SNR of 80% of full theoretical was indicative of a gain-independent excess noise factor very close to 1.0 and the expected APD mode quantum efficiency.

  4. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  5. Successful Fabrication of GaN Epitaxial Layer on Non-Catalytically grown Graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Sung Won [Konkuk University, Chungju (Korea, Republic of); Choi, Suk-Ho [Kyung Hee University, Yongin (Korea, Republic of)

    2016-07-15

    Sapphire is widely used as a substrate for the growth of GaN epitaxial layer (EPI), but has several drawbacks such as high cost, large lattice mismatch, non-flexibility, and so on. Here, we first employ graphene directly grown on Si or sapphire substrate as a platform for the growth and lift-off of GaN-light-emitting diode (LED) EPI, useful for not only recycling the substrate but also transferring the GaN-LED EPI to other flexible substrates. Sequential standard processes of nucleation/recrystallization of GaN seeds and deposition of undoped (u-) GaN/AlN buffer layer were done on graphene/substrate before the growth of GaN-LED EPI, accompanied by taping and lift-off of u-GaN/AlN or GaN-LED EPI. This approach can overcome the limitations by the catalytic growth and transfer of graphene, and make the oxygen-plasma treatment of graphene for the growth of GaN EPI unnecessary.

  6. XRD Investigation of the relaxation of InAsP layers grown by CBE on (100) InP

    NARCIS (Netherlands)

    Marschner, T.H.; Leijs, M.R.; Vonk, H.; Wolter, J.H.

    1998-01-01

    We present X-ray diffraction (XRD) investigations of the influence of the substrate off-orientation on the relaxation of InAsP layers grown on InP by chemical beam epitaxy (CBE). Our measurements show that with beginning relaxation the As-concentration increases drastically and stays constant if the

  7. A Comparative Study on Structural and Optical Properties of ZnO Micro-Nanorod Arrays Grown on Seed Layers Using Chemical Bath Deposition and Spin Coating Methods

    Directory of Open Access Journals (Sweden)

    Sibel MORKOÇ KARADENİZ

    2016-11-01

    Full Text Available In this study, Zinc Oxide (ZnO seed layers were prepared on Indium Tin Oxide (ITO substrates by using Chemical Bath Deposition (CBD method and Sol-gel Spin Coating (SC method. ZnO micro-nanorod arrays were grown on ZnO seed layers by using Hydrothermal Synthesis method. Seed layer effects of structural and optical properties of ZnO arrays were characterized. X-ray diffractometer (XRD, Scanning Electron Microscopy (SEM and Ultraviolet Visible (UV-Vis Spectrometer were used for analyses. ZnO micro-nanorod arrays consisted of a single crystalline wurtzite ZnO structure for each seed layer. Besides, ZnO rod arrays were grown smoothly and vertically on SC seed layer, while ZnO rod arrays were grown randomly and flower like structures on CBD seed layer. The optical absorbance peaks found at 422 nm wavelength in the visible region for both ZnO arrays. Optical bandgap values were determined by using UV-Vis measurements at 3.12 and 3.15 eV for ZnO micro-nanorod arrays on CBD seed layer and for ZnO micro-nanorod arrays on SC-seed layer respectively.DOI: http://dx.doi.org/10.5755/j01.ms.22.4.13443

  8. Near-field microwave microscopy of high-κ oxides grown on graphene with an organic seeding layer

    Energy Technology Data Exchange (ETDEWEB)

    Tselev, Alexander, E-mail: tseleva@ornl.gov; Kalinin, Sergei V. [Oak Ridge National Laboratory, Center for Nanophase Materials Sciences, Oak Ridge, Tennessee 37831 (United States); Sangwan, Vinod K.; Jariwala, Deep; Lauhon, Lincoln J. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Marks, Tobin J.; Hersam, Mark C. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Department of Chemistry, Northwestern University, Evanston, Illinois 60208 (United States)

    2013-12-09

    Near-field scanning microwave microscopy (SMM) is used for non-destructive nanoscale characterization of Al{sub 2}O{sub 3} and HfO{sub 2} films grown on epitaxial graphene on SiC by atomic layer deposition using a self-assembled perylene-3,4,9,10-tetracarboxylic dianhydride seeding layer. SMM allows imaging of buried inhomogeneities in the dielectric layer with a spatial resolution close to 100 nm. The results indicate that, while topographic features on the substrate surface cannot be eliminated as possible sites of defect nucleation, the use of a vertically heterogeneous Al{sub 2}O{sub 3}/HfO{sub 2} stack suppresses formation of large outgrowth defects in the oxide film, ultimately improving lateral uniformity of the dielectric film.

  9. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  10. Thin-Film Solar Cells with InP Absorber Layers Directly Grown on Nonepitaxial Metal Substrates

    KAUST Repository

    Zheng, Maxwell

    2015-08-25

    The design and performance of solar cells based on InP grown by the nonepitaxial thin-film vapor-liquid-solid (TF-VLS) growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and indium tin oxide transparent top electrode. An ex situ p-doping process for TF-VLS grown InP is introduced. Properties of the cells such as optoelectronic uniformity and electrical behavior of grain boundaries are examined. The power conversion efficiency of first generation cells reaches 12.1% under simulated 1 sun illumination with open-circuit voltage (VOC) of 692 mV, short-circuit current (JSC) of 26.9 mA cm-2, and fill factor (FF) of 65%. The FF of the cell is limited by the series resistances in the device, including the top contact, which can be mitigated in the future through device optimization. The highest measured VOC under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP. The design and performance of solar cells based on indium phosphide (InP) grown by the nonepitaxial thin-film vapor-liquid-solid growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and an indium tin oxide transparent top electrode. The highest measured open circuit voltage (VOC) under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP.

  11. Luminescence and deep-level transient spectroscopy of grown dislocation-rich Si layers

    Directory of Open Access Journals (Sweden)

    I. I. Kurkina

    2012-09-01

    Full Text Available The charge deep-level transient spectroscopy (Q-DLTS is applied to the study of the dislocation-rich Si layers grown on a surface composed of dense arrays of Ge islands prepared on the oxidized Si surface. This provides revealing three deep-level bands located at EV + 0.31 eV, EC – 0.35 eV and EC – 0.43 eV using the stripe-shaped p-i-n diodes fabricated on the basis of these layers. The most interesting observation is the local state recharging process which proceeds with low activation energy (∼50 meV or without activation. The recharging may occur by carrier tunneling within deep-level bands owing to the high dislocation density ∼ 1011 - 1012 cm-2. This result is in favor of the suggestion on the presence of carrier transport between the deep states, which was previously derived from the excitation dependence of photoluminescence (PL intensity. Electroluminescence (EL spectra measured from the stripe edge of the same diodes contain two peaks centered near 1.32 and 1.55 μm. Comparison with PL spectra indicates that the EL peaks are generated from arsenic-contaminated and pure areas of the layers, respectively.

  12. Effects of buffer layer annealing temperature on the structural and optical properties of hydrothermal grown ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, X.Q.; Kim, C.R.; Lee, J.Y.; Heo, J.H.; Shin, C.M. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Lee, H.C. [Department of Mechatronics Engineering, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Jung, W.G. [School of Advanced Materials Engineering, Kookmin University, 861-1 Jeongneung-dong, Seongbuk-gu, Seoul 136-702 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-02-01

    ZnO was deposited on bare Si(1 0 0), as-deposited, and annealed ZnO/Si(1 0 0) substrates by hydrothermal synthesis. The effects of a ZnO buffer layer and its thermal annealing on the properties of the ZnO deposited by hydrothermal synthesis were studied. The grain size and root mean square (RMS) roughness values of the ZnO buffer layer increased after thermal annealing of the buffer layer. The effect of buffer layer annealing temperature on the structural and optical properties was investigated by photoluminescence, X-ray diffraction, atomic force microscopy, and scanning electron microscopy. Hydrothermal grown ZnO deposited on ZnO/Si(1 0 0) annealed at 750 deg. C with the concentration of 0.3 M exhibits the best structural and optical properties.

  13. Crystallinity and superconductivity of as-grown MgB2 thin films with AlN buffer layers

    International Nuclear Information System (INIS)

    Tsujimoto, K.; Shimakage, H.; Wang, Z.; Kaya, N.

    2005-01-01

    The effects of aluminum nitride (AlN) buffer layers on the superconducting properties of MgB 2 thin film were investigated. The AlN buffer layers and as-grown MgB 2 thin films were deposited in situ using the multiple-target sputtering system. The best depositing condition for the AlN/MgB 2 bi-layer occurred when the AlN was deposited on c-cut sapphire substrates at 290 deg. C. The crystallinity of the AlN/MgB 2 bi-layer was studied using the XRD φ-scan and it showed that AlN and MgB 2 had the same in-plane alignment rotated at an angle of 30 deg. as compared to c-cut sapphire. The critical temperature of the MgB 2 film was 29.8 K and the resistivity was 50.0 μΩ cm at 40 K

  14. Dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Bhartiya, S. [Laser Materials Development & Devices Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Gupta, M. [UGC-DAE Consortium for Scientific Research, Indore 452 017 (India)

    2016-01-25

    We report on the dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers having well-defined and spatially-localized Ti dopant profiles along film thickness. These films were grown by in situ incorporation of sub-monolayer TiO{sub x} on the growing ZnO film surface and subsequent overgrowth of thin conducting ZnO spacer layer using atomic layer deposition. Film thickness was varied in the range of ∼6–65 nm by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers of nearly identical dopant-profiles. The evolution of zero-field sheet resistance (R{sub ◻}) versus temperature with decreasing film thickness showed a metal to insulator transition. On the metallic side of the metal-insulator transition, R{sub ◻}(T) and magnetoresistance data were found to be well corroborated with the theoretical framework of electron weak localization in the diffusive transport regime. The temperature dependence of both R{sub ◻} and inelastic scattering length provided strong evidence for a smooth crossover from 2D to 3D weak localization behaviour. Results of this study provide deeper insight into the electron transport in low-dimensional n-type ZnO/TiO{sub x} stacked layers which have potential applications in the field of transparent oxide electronics.

  15. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  16. Active Pixel HgCdTe Detectors With Built-in Dark Current Reduction for Near-Room Temperature Operation, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — High sensitivity HgCdTe infrared arrays operating at 77K can now be tailored in a wide range of wavelengths from 1 to 14 microns. However, due to the cooling...

  17. Active Pixel HgCdTe Detectors With Built-in Dark Current Reduction for Near-Room Temperature Operation, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — High sensitivity HgCdTe infrared arrays operating at 77K can now be tailored in a wide range of wavelengths from 1 to14 um. However, the cooling requirements make...

  18. Fifty years of HgCdTe at Texas Instruments and beyond

    Science.gov (United States)

    Kinch, Michael A.

    2009-05-01

    Work on HgCdTe began at Texas Instruments in the early 1960s, and continued through 1997 when TI's defense business was sold first to Raytheon, and subsequently in 1998 to DRS Technologies. This presentation traces the history of HgCdTe's evolution throughout this timeframe to the present day, as viewed through the eyes of the author and several of his TI contemporaries who have survived the experience. The materials technology will be traced from the early days of bulk growth by the solid state recrystalization technique, through the traveling heater method of growth, to liquid phase epitaxy from large Te-rich melts, to vapor phase growth by molecular beam epitaxy and metal organic chemical vapor deposition. The evolution of detector device architectures at TI over the years will be discussed, from the early, successful days of photoconductors and the Common Module System, through the somewhat problematic and relatively unsuccessful foray into charge coupled and charge injection devices for 2nd generation FPAs for the Javelin program, to the outstandingly successful development of the vertically integrated photodiode (VIP) and high density VIP FPA architectures for mono-color and multi-color 3rd generation systems. The versatile, and unique nature of this infrared semiconductor materials system will be highlighted by reference to current work at DRS Technologies into electron avalanche photodiodes (EAPDs), for use in active/passive IR systems, and high operating temperature (HOT) detectors, which threaten to eventually offer BLIP photon detection at uncooled operating temperatures, over the whole IR spectrum from 1 to 12um.

  19. RBS/channeling study of buried Ge quantum dots grown in a Si layer

    International Nuclear Information System (INIS)

    Fonseca, A.; Alves, E.; Barradas, N.P.; Leitao, J.P.; Sobolev, N.A.; Carmo, M.C.; Nikiforov, A.I.; Presting, H.

    2006-01-01

    In last decades we have been assisting to a crescent importance of low dimensional systems for the fabrication of nano- and opto-electronic devices. Ge quantum dots (QDs) are well suited for fulfilling these requirements. In this work we present and discuss Ge/Si multilayer and single layer samples grown by molecular beam epitaxy. RBS/channeling results reveal the evidence for the presence of Ge QD for the thickest (with 1 ML of SiO 2 and 0.9 nm of Ge) single layer sample. On the other hand Ge atoms are fully substitutional incorporated in the Si matrix for the thinner sample, excluding the formation of Ge QDs. Multilayer sample shows an angular deviation of the Ge curve (-0.48 o ) with respect to the Si ones, indicating the compressive strain of the films. A tetragonal distortion of (1.78 ± 0.19%) was calculated

  20. Nanomechanical properties of thick porous silicon layers grown on p- and p+-type bulk crystalline Si

    International Nuclear Information System (INIS)

    Charitidis, C.A.; Skarmoutsou, A.; Nassiopoulou, A.G.; Dragoneas, A.

    2011-01-01

    Highlights: → The nanomechanical properties of bulk crystalline Si. → The nanomechanical properties of porous Si. → The elastic-plastic deformation of porous Si compared to bulk crystalline quantified by nanoindentation data analysis. - Abstract: The nanomechanical properties and the nanoscale deformation of thick porous Si (PSi) layers of two different morphologies, grown electrochemically on p-type and p+-type Si wafers were investigated by the depth-sensing nanoindentation technique over a small range of loads using a Berkovich indenter and were compared with those of bulk crystalline Si. The microstructure of the thick PSi layers was characterized by field emission scanning electron microscopy. PSi layers on p+-type Si show an anisotropic mesoporous structure with straight vertical pores of diameter in the range of 30-50 nm, while those on p-type Si show a sponge like mesoporous structure. The effect of the microstructure on the mechanical properties of the layers is discussed. It is shown that the hardness and Young's modulus of the PSi layers exhibit a strong dependence on their microstructure. In particular, PSi layers with the anisotropic straight vertical pores show higher hardness and elastic modulus values than sponge-like layers. However, sponge-like PSi layers reveal less plastic deformation and higher wear resistance compared with layers with straight vertical pores.

  1. CVD grown 2D MoS{sub 2} layers: A photoluminescence and fluorescence lifetime imaging study

    Energy Technology Data Exchange (ETDEWEB)

    Oezden, Ayberk; Madenoglu, Buesra [Department of Materials Science and Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey); Sar, Hueseyin; Ay, Feridun; Perkgoez, Nihan Kosku [Department of Electrical and Electronics Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey); Yeltik, Aydan [Department of Physics, UNAM Institute of Materials Science and Nanotechnology, Bilkent University, Ankara (Turkey); Sevik, Cem [Department of Mechanical Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey)

    2016-11-15

    In this letter, we report on the fluorescence lifetime imaging and accompanying photoluminescence properties of a chemical vapour deposition (CVD) grown atomically thin material, MoS{sub 2}. μ-Raman, μ-photoluminescence (PL) and fluorescence lifetime imaging microscopy (FLIM) are utilized to probe the fluorescence lifetime and photoluminescence properties of individual flakes of MoS{sub 2} films. Usage of these three techniques allows identification of the grown layers, grain boundaries, structural defects and their relative effects on the PL and fluorescence lifetime spectra. Our investigation on individual monolayer flakes reveals a clear increase of the fluorescence lifetime from 0.3 ns to 0.45 ns at the edges with respect to interior region. On the other hand, investigation of the film layer reveals quenching of PL intensity and lifetime at the grain boundaries. These results could be important for applications where the activity of edges is important such as in photocatalytic water splitting. Finally, it has been demonstrated that PL mapping and FLIM are viable techniques for the investigation of the grain-boundaries. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. A possibility of enhancing Jc in MgB2 film grown on metallic hastelloy tape with the use of SiC buffer layer

    International Nuclear Information System (INIS)

    Putri, W. B. K.; Kang, B.; Ranot, M.; Lee, J. H.; Kang, W. N.

    2014-01-01

    We have grown MgB 2 on SiC buffer layer by using metallic Hastelloy tape as the substrate. Hastelloy tape was chosen for its potential practical applications, mainly in the power cable industry. SiC buffer layers were deposited on Hastelloy tapes at 400, 500, and 600 degrees C by using a pulsed laser deposition method, and then by using a hybrid physical-chemical vapor deposition technique, MgB 2 films were grown on the three different SiC buffer layers. An enhancement of critical current density values were noticed in the MgB 2 films on SiC/Hastelloy deposited at 500 and 600 degrees C. From the surface analysis, smaller and denser grains of MgB 2 tapes are likely to cause this enhancement. This result infers that the addition of SiC buffer layers may contribute to the improvement of superconducting properties of MgB 2 tapes.

  3. Development of High-Performance eSWIR HgCdTe-Based Focal-Plane Arrays on Silicon Substrates

    Science.gov (United States)

    Park, J. H.; Pepping, J.; Mukhortova, A.; Ketharanathan, S.; Kodama, R.; Zhao, J.; Hansel, D.; Velicu, S.; Aqariden, F.

    2016-09-01

    We report the development of high-performance and low-cost extended short-wavelength infrared (eSWIR) focal-plane arrays (FPAs) fabricated from molecular beam epitaxial (MBE)-grown HgCdTe on Si-based substrates. High-quality n-type eSWIR HgCdTe (cutoff wavelength ˜2.68 μm at 77 K, electron carrier concentration 5.82 × 1015 cm-3) layers were grown on CdTe/Si substrates by MBE. High degrees of uniformity in composition and thickness were demonstrated over three-inch areas, and low surface defect densities (voids 9.56 × 101 cm-2, micro-defects 1.67 × 103 cm-2) were measured. This material was used to fabricate 320 × 256 format, 30 μm pitch FPAs with a planar device architecture using arsenic implantation to achieve p-type doping. The dark current density of test devices showed good uniformity between 190 K and room temperature, and high-quality eSWIR imaging from hybridized FPAs was obtained with a median dark current density of 2.63 × 10-7 A/cm2 at 193 K with a standard deviation of 1.67 × 10-7 A/cm2.

  4. Optical study of HgCdTe infrared photodetectors using internal photoemission spectroscopy

    International Nuclear Information System (INIS)

    Lao, Yan-Feng; Unil Perera, A. G.; Wijewarnasuriya, Priyalal S.

    2014-01-01

    We report a study of internal photoemission spectroscopy (IPE) applied to a n-type Hg 1−x Cd x Te/Hg 1−y Cd y Te heterojunction. An exponential line-shape of the absorption tail in HgCdTe is identified by IPE fittings of the near-threshold quantum yield spectra. The reduction of quantum yield (at higher photon energy) below the fitting value is explained as a result of carrier-phonon scatterings. In addition, the obtained bias independence of the IPE threshold indicates a negligible electron barrier at the heterojunction interface

  5. Effects of ZnO Seed Layers Prepared with Various Precursor Concentrations on Structural and Defect Emission Properties of ZnO Nanorods Grown by Hydrothermal Method

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Soaram; Nam, Giwoong; Leem, Jae-Young; Kim, Yangsoo [Inje University, Gimhae (Korea, Republic of); Kim, Ghun Sik; Yoon, Sung Pil [Korea Institute of Science and Technology, Seoul (Korea, Republic of)

    2013-07-15

    ZnO nanorods were grown by a hydrothermal method on ZnO seed layers that had previously been prepared from solutions containing various precursor concentrations. The effects of the ZnO seed layers prepared with various precursor concentrations on the structural and defect emissions of the ZnO nanorods were investigated by scanning electron microscopy (SEM), X-ray diffraction (XRD), and photoluminescence (PL) spectroscopy. The surface morphology of the ZnO seed layers changed with an increasing precursor concentration, and the diameters and densities of the ZnO nanorods depended on the morphologies of the ZnO seed layers. The ZnO seed layers prepared with various precursor concentrations affected the residual stress in the nanorods grown on the seed layers, the intensity and full widths at half maximum of the 2-theta angle in the XRD spectra for the nanorods, and the intensity and position of the defect emission peak in deep-level emission (DLE) PL spectra for the ZnO nanorods.

  6. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  7. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  8. Impact of growth and annealing conditions on the parameters of Ge/Si(001) relaxed layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-11-15

    Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.

  9. Effects of Complex Structured Anodic Oxide Dielectric Layer Grown in Pore Matrix for Aluminum Capacitor.

    Science.gov (United States)

    Shin, Jin-Ha; Yun, Sook Young; Lee, Chang Hyoung; Park, Hwa-Sun; Suh, Su-Jeong

    2015-11-01

    Anodization of aluminum is generally divided up into two types of anodic aluminum oxide structures depending on electrolyte type. In this study, an anodization process was carried out in two steps to obtain high dielectric strength and break down voltage. In the first step, evaporated high purity Al on Si wafer was anodized in oxalic acidic aqueous solution at various times at a constant temperature of 5 degrees C. In the second step, citric acidic aqueous solution was used to obtain a thickly grown sub-barrier layer. During the second anodization process, the anodizing potential of various ranges was applied at room temperature. An increased thickness of the sub-barrier layer in the porous matrix was obtained according to the increment of the applied anodizing potential. The microstructures and the growth of the sub-barrier layer were then observed with an increasing anodizing potential of 40 to 300 V by using a scanning electron microscope (SEM). An impedance analyzer was used to observe the change of electrical properties, including the capacitance, dissipation factor, impedance, and equivalent series resistance (ESR) depending on the thickness increase of the sub-barrier layer. In addition, the breakdown voltage was measured. The results revealed that dielectric strength was improved with the increase of sub-barrier layer thickness.

  10. Evaluation of Space Radiation Effects on HgCdTe Avalanche Photodiode Arrays for Lidar Applications

    Science.gov (United States)

    Sun, Xiaoli; Abshire, James B.; Lauenstein, Jean-Marie; Sullivan, William III; Beck, Jeff; Hubbs, John E.

    2018-01-01

    We report the results from proton and gamma ray radiation testing of HgCdTe avalanche photodiode (APD) arrays developed by Leonardo DRS for space lidar detectors. We tested these devices with both approximately 60 MeV protons and gamma rays, with and without the read out integrated circuit (ROIC). We also measured the transient responses with the device fully powered and with the APD gain from unity to greater than 1000. The detectors produced a large current impulse in response to each proton hit but the response completely recovered within 1 microsecond. The devices started to have persistent damage at a proton fluence of 7e10 protons/cm2, equivalent to 10 krad(Si) total ionization dose. The dark current became much higher after the device was warmed to room temperature and cooled to 80K again, but it completely annealed after baking at 85 C for several hours. These results showed the HgCdTe APD arrays are suitable for use in space lidar for typical Earth orbiting and planetary missions provided that provisions are made to heat the detector chip to 85 C for several hours after radiation damage becomes evident that system performance is impacted.

  11. Comparison of Measured Dark Current Distributions with Calculated Damage Energy Distributions in HgCdTe

    Science.gov (United States)

    Marshall, C. J.; Marshall, P. W.; Howe, C. L.; Reed, R. A.; Weller, R. A.; Mendenhall, M.; Waczynski, A.; Ladbury, R.; Jordan, T. M.

    2007-01-01

    This paper presents a combined Monte Carlo and analytic approach to the calculation of the pixel-to-pixel distribution of proton-induced damage in a HgCdTe sensor array and compares the results to measured dark current distributions after damage by 63 MeV protons. The moments of the Coulombic, nuclear elastic and nuclear inelastic damage distributions were extracted from Monte Carlo simulations and combined to form a damage distribution using the analytic techniques first described in [1]. The calculations show that the high energy recoils from the nuclear inelastic reactions (calculated using the Monte Carlo code MCNPX [2]) produce a pronounced skewing of the damage energy distribution. While the nuclear elastic component (also calculated using the MCNPX) contributes only a small fraction of the total nonionizing damage energy, its inclusion in the shape of the damage across the array is significant. The Coulombic contribution was calculated using MRED [3-5], a Geant4 [4,6] application. The comparison with the dark current distribution strongly suggests that mechanisms which are not linearly correlated with nonionizing damage produced according to collision kinematics are responsible for the observed dark current increases. This has important implications for the process of predicting the on-orbit dark current response of the HgCdTe sensor array.

  12. Reduction of buffer layer conduction near plasma-assisted molecular-beam epitaxy grown GaN/AlN interfaces by beryllium doping

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Glaser, E.R.; Shanabrook, B.V.; Roussos, J.A.

    2002-01-01

    Beryllium doping of epitaxial GaN layers is used to reduce leakage currents through interfacial or buffer conducting layers grown by plasma-assisted molecular-beam epitaxy on SiC. Capacitance-voltage measurements of Schottky barrier test structures and dc pinch-off characteristics of unintentionally doped GaN high-electron-mobility transistors indicate that these leakage currents are localized near the GaN/AlN interface of our AlGaN/GaN/AlN device structures. Insertion of a 2000 Aa Be:GaN layer at the interface reduces these currents by three orders of magnitude

  13. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    Science.gov (United States)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  14. Electroplating of CdTe Thin Films from Cadmium Sulphate Precursor and Comparison of Layers Grown by 3-Electrode and 2-Electrode Systems

    Directory of Open Access Journals (Sweden)

    Imyhamy M. Dharmadasa

    2017-01-01

    Full Text Available Electrodeposition of CdTe thin films was carried out from the late 1970s using the cadmium sulphate precursor. The solar energy group at Sheffield Hallam University has carried out a comprehensive study of CdTe thin films electroplated using cadmium sulfate, cadmium nitrate and cadmium chloride precursors, in order to select the best electrolyte. Some of these results have been published elsewhere, and this manuscript presents the summary of the results obtained on CdTe layers grown from cadmium sulphate precursor. In addition, this research program has been exploring the ways of eliminating the reference electrode, since this is a possible source of detrimental impurities, such as K+ and Ag+ for CdS/CdTe solar cells. This paper compares the results obtained from CdTe layers grown by three-electrode (3E and two-electrode (2E systems for their material properties and performance in CdS/CdTe devices. Thin films were characterized using a wide range of analytical techniques for their structural, morphological, optical and electrical properties. These layers have also been used in device structures; glass/FTO/CdS/CdTe/Au and CdTe from both methods have produced solar cells to date with efficiencies in the region of 5%–13%. Comprehensive work carried out to date produced comparable and superior devices fabricated from materials grown using 2E system.

  15. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  16. Luminescence properties of ZnO layers grown on Si-on-insulator substrates

    International Nuclear Information System (INIS)

    Kumar, Bhupendra; Gong, Hao; Vicknesh, S.; Chua, S. J.; Tripathy, S.

    2006-01-01

    The authors report on the photoluminescence properties of polycrystalline ZnO thin films grown on compliant silicon-on-insulator (SOI) substrates by radio frequency magnetron sputtering. The ZnO thin films on SOI were characterized by micro-Raman and photoluminescence (PL) spectroscopy. The observation of E 2 high optical phonon mode near 438 cm -1 in the Raman spectra of the ZnO samples represents the wurtzite crystal structure. Apart from the near-band-edge free exciton (FX) transition around 3.35 eV at 77 K, the PL spectra of such ZnO films also showed a strong defect-induced violet emission peak in the range of 3.05-3.09 eV. Realization of such ZnO layers on SOI would be useful for heterointegration with SOI-based microelectronics and microelectromechanical systems

  17. Single layer porous gold films grown at different temperatures

    International Nuclear Information System (INIS)

    Zhang Renyun; Hummelgard, Magnus; Olin, Hakan

    2010-01-01

    Large area porous gold films can be used in several areas including electrochemical electrodes, as an essential component in sensors, or as a conducting material in electronics. Here, we report on evaporation induced crystal growth of large area porous gold films at 20, 40 and 60 deg. C. The gold films were grown on liquid surface at 20 deg. C, while the films were grown on the wall of beakers when temperature increased to 40 and 60 deg. C. The porous gold films consisted of a dense network of gold nanowires as characterized by TEM and SEM. TEM diffraction results indicated that higher temperature formed larger crystallites of gold wires. An in situ TEM imaging of the coalescence of gold nanoparticles mimicked the process of the growth of these porous films, and a plotting of the coalescence time and the neck radius showed a diffusion process. The densities of these gold films were also characterized by transmittance, and the results showed film grown at 20 deg. C had the highest density, while the film grown at 60 deg. C had the lowest consistent with SEM and TEM characterization. Electrical measurements of these gold films showed that the most conductive films were the ones grown at 40 deg. C. The conductivities of the gold films were related to the amount of contamination, density and the diameter of the gold nanowires in the films. In addition, a gold film/gold nanoparticle hybrid was made, which showed a 10% decrease in transmittance during hybridization, pointing to applications as chemical and biological sensors.

  18. Determination of the thickness distribution of a graphene layer grown on a 2″ SiC wafer by means of Auger electron spectroscopy depth profiling

    International Nuclear Information System (INIS)

    Kotis, L.; Gurban, S.; Pecz, B.; Menyhard, M.; Yakimova, R.

    2014-01-01

    Highlights: • The thickness of graphene grown on SiC was determined by AES depth profiling. • The AES depth profiling verified the presence of buffer layer on SiC. • The presence of unsaturated Si bonds in the buffer layer has been shown. • Using multipoint analysis thickness distribution of the graphene on the wafer was determined. - Abstract: Auger electron spectroscopy (AES) depth profiling was applied for determination of the thickness of a macroscopic size graphene sheet grown on 2 in. 6H-SiC (0 0 0 1) by sublimation epitaxy. The measured depth profile deviated from the expected exponential form showing the presence of an additional, buffer layer. The measured depth profile was compared to the simulated one which allowed the derivation of the thicknesses of the graphene and buffer layers and the Si concentration of buffer layer. It has been shown that the graphene-like buffer layer contains about 30% unsaturated Si. The depth profiling was carried out in several points (diameter 50 μm), which permitted the constructing of a thickness distribution characterizing the uniformity of the graphene sheet

  19. Near-infrared emitting In-rich InGaN layers grown directly on Si: Towards the whole composition range

    Energy Technology Data Exchange (ETDEWEB)

    Aseev, Pavel, E-mail: pavel.aseev@upm.es; Rodriguez, Paul E. D. Soto; Gómez, Víctor J.; Alvi, Naveed ul Hassan; Calleja, Enrique [Instituto de Sistemas Optoelectrónicos y Microtecnología, Universidad Politécnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Mánuel, José M.; Jiménez, Juan J.; García, Rafael [Departamente Ciencia de los Materiales e Ingeniería Metalúrgica y Química Inorgánica, Facultad de Ciencias, Universidad de Cádiz, Puerto Real, 11510 Cádiz (Spain); Morales, Francisco M. [Departamente Ciencia de los Materiales e Ingeniería Metalúrgica y Química Inorgánica, Facultad de Ciencias, Universidad de Cádiz, Puerto Real, 11510 Cádiz (Spain); IMEYMAT: Institute of Research on Electron Microscopy and Materials of the University of Cádiz, 11510 Cádiz (Spain); Senichev, Alexander [Max Planck Institute of Microstructure Physics, Weinberg 2, 06120 Halle (Germany); Lienau, Christoph [Institute of Physics and Center of Interface Science, Carl von Ossietzky Universität Oldenburg, Ammerländer Heerstr. 114-118, 26129 Oldenburg (Germany); and others

    2015-02-16

    The authors report compact and chemically homogeneous In-rich InGaN layers directly grown on Si (111) by plasma-assisted molecular beam epitaxy. High structural and optical quality is evidenced by transmission electron microscopy, near-field scanning optical microscopy, and X-ray diffraction. Photoluminescence emission in the near-infrared is observed up to room temperature covering the important 1.3 and 1.55 μm telecom wavelength bands. The n-InGaN/p-Si interface is ohmic due to the absence of any insulating buffer layers. This qualitatively extends the application fields of III-nitrides and allows their integration with established Si technology.

  20. Near-infrared emitting In-rich InGaN layers grown directly on Si: Towards the whole composition range

    International Nuclear Information System (INIS)

    Aseev, Pavel; Rodriguez, Paul E. D. Soto; Gómez, Víctor J.; Alvi, Naveed ul Hassan; Calleja, Enrique; Mánuel, José M.; Jiménez, Juan J.; García, Rafael; Morales, Francisco M.; Senichev, Alexander; Lienau, Christoph

    2015-01-01

    The authors report compact and chemically homogeneous In-rich InGaN layers directly grown on Si (111) by plasma-assisted molecular beam epitaxy. High structural and optical quality is evidenced by transmission electron microscopy, near-field scanning optical microscopy, and X-ray diffraction. Photoluminescence emission in the near-infrared is observed up to room temperature covering the important 1.3 and 1.55 μm telecom wavelength bands. The n-InGaN/p-Si interface is ohmic due to the absence of any insulating buffer layers. This qualitatively extends the application fields of III-nitrides and allows their integration with established Si technology

  1. Surface diffusion coefficient of Au atoms on single layer graphene grown on Cu

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, F., E-mail: francesco.ruffino@ct.infn.it; Cacciato, G.; Grimaldi, M. G. [Dipartimento di Fisica ed Astronomia-Universitá di Catania, via S. Sofia 64, 95123 Catania, Italy and MATIS IMM-CNR, via S. Sofia 64, 95123 Catania (Italy)

    2014-02-28

    A 5 nm thick Au film was deposited on single layer graphene sheets grown on Cu. By thermal processes, the dewetting phenomenon of the Au film on the graphene was induced so to form Au nanoparticles. The mean radius, surface-to-surface distance, and surface density evolution of the nanoparticles on the graphene sheets as a function of the annealing temperature were quantified by scanning electron microscopy analyses. These quantitative data were analyzed within the classical mean-field nucleation theory so to obtain the temperature-dependent Au atoms surface diffusion coefficient on graphene: D{sub S}(T)=[(8.2±0.6)×10{sup −8}]exp[−(0.31±0.02(eV)/(at) )/kT] cm{sup 2}/s.

  2. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  3. Some studies on successive ionic layer adsorption and reaction (SILAR) grown indium sulphide thin films

    International Nuclear Information System (INIS)

    Pathan, H.M.; Lokhande, C.D.; Kulkarni, S.S.; Amalnerkar, D.P.; Seth, T.; Han, Sung-Hwan

    2005-01-01

    Indium sulphide (In 2 S 3 ) thin films were grown on amorphous glass substrate by the successive ionic layer adsorption and reaction (SILAR) method. X-ray diffraction, optical absorption, scanning electron microscopy (SEM) and Rutherford back scattering (RBS) were applied to study the structural, optical, surface morphological and compositional properties of the indium sulphide thin films. Utilization of triethanolamine and hydrazine hydrate complexed indium sulphate and sodium sulphide as precursors resulted in nanocrystalline In 2 S 3 thin film. The optical band gap was found to be 2.7 eV. The film appeared to be smooth and homogeneous from SEM study

  4. SWIR HgCdTe avalanche photiode focal plane array performances evaluation

    Science.gov (United States)

    de Borniol, E.; Rothman, J.; Salveti, F.; Feautrier, P.

    2017-11-01

    One of the main challenges of modern astronomical instruments like adaptive optics (AO) systems or fringe trackers is to deal with the very low photons flux detection scenarios. The typical timescale of atmospheric turbulences being in the range of some tens of milliseconds, infrared wavefront sensors for AO systems needs frame rates higher than 1 KHz leading to integration times lower than 1 ms. This integration time associated with a low irradiance results in a few number of integrated photons per frame per pixel. To preserve the information coming from this weak signal, the focal plane array (FPA) has to present a low read out noise, a high quantum efficiency and a low dark current. Up to now, the output noise of high speed near infrared sensors is limited by the silicon read out circuit noise. The use of HgCdTe avalanche photodiodes with high gain at moderate reverse bias and low excess noise seems then a logical way to reduce the impact of the read noise on images signal to noise ratio. These low irradiance passive imaging applications with integration times in the millisecond range needs low photodiode dark current and low background current. These requirements lead to the choice of the photodiode cut off wavelength. The short wave infrared (SWIR) around 3 μm is a good compromise between the gain that can be obtain for a given APD bias and the background and dark current. The CEA LETI HgCdTe APD technology, and a fine analysis of the gain curve characteristic are presented in [1] and won't be detailed here. The response time of the APD is also a key factor for a high frame rate FPA. This parameter has been evaluated in [2] and the results shows cut off frequencies in the GHz range. In this communication we report the performances of a SWIR APD FPA designed and fabricated by CEA LETI and SOFRADIR for astrophysical applications. This development was made in the frame of RAPID, a 4 years R&D project funded by the French FUI (Fond Unique Interministériel). This

  5. Structural and optical properties of GaxIn1-xP layers grown by chemical beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Yang, Jung-Ja; Ryu, Mee Yi; Song, Jong-In; Yu, Phil W.

    1998-05-01

    Chemical beam epitaxial (CBE) GaxIn1-xP layers (x≈0.5) grown on (001) GaAs substrates at temperatures ranging from 490 to 580°C have been investigated using transmission electron diffraction (TED), transmission electron microscopy, and photoluminescence (PL). TED examination revealed the presence of diffuse scattering 1/2{111}B positions, indicating the occurrence of typical CuPt-type ordering in the GaInP CBE layers. As the growth temperature decreased from 580 to 490°C, maxima in the intensity of the diffuse scattering moved from ½{111}B to ½{-1+δ,1-δ,0} positions, where δ is a positive value. As the growth temperature increased from 490 to 550°C, the maxima in the diffuse scattering intensity progressively approached positions of 1/2\\{bar 110\\} , i.e., the value of δ decreased from 0.25 to 0.17. Bandgap reduction (˜45 meV) was observed in the CBE GaInP layers and was attributed to the presence of ordered structures.

  6. Comparative study of polar and semipolar (112¯2) InGaN layers grown by metalorganic vapour phase epitaxy

    International Nuclear Information System (INIS)

    Dinh, Duc V.; Zubialevich, V. Z.; Oehler, F.; Kappers, M. J.; Humphreys, C. J.; Alam, S. N.; Parbrook, P. J.; Caliebe, M.; Scholtz, F.

    2014-01-01

    InGaN layers were grown simultaneously on (112 ¯ 2) GaN and (0001) GaN templates by metalorganic vapour phase epitaxy. At higher growth temperature (≥750 °C), the indium content ( ¯ 2) and (0001) InGaN layers was similar. However, for temperatures less than 750 °C, the indium content of the (112 ¯ 2) InGaN layers (15%–26%) were generally lower than those with (0001) orientation (15%–32%). The compositional deviation was attributed to the different strain relaxations between the (112 ¯ 2) and (0001) InGaN layers. Room temperature photoluminescence measurements of the (112 ¯ 2) InGaN layers showed an emission wavelength that shifts gradually from 380 nm to 580 nm with decreasing growth temperature (or increasing indium composition). The peak emission wavelength of the (112 ¯ 2) InGaN layers with an indium content of more than 10% blue-shifted a constant value of ≈(50–60) nm when using higher excitation power densities. This blue-shift was attributed to band filling effects in the layers.

  7. Effects of the annealing duration of the ZnO buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process

    Energy Technology Data Exchange (ETDEWEB)

    Shin, C.M.; Lee, J.Y.; Heo, J.H.; Park, J.H.; Kim, C.R. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-07-30

    In this study, the effects of the annealing duration of a zinc oxide (ZnO) buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process are discussed. A ZnO buffer layer was deposited on p-type Si (1 1 1) substrates by the metal organic chemical vapor deposition (MOCVD) method. After that, ZnO rods were grown on the ZnO-buffer/Si (1 1 1) substrate by a hydrothermal process. In order to determine the optimum annealing duration of the buffer layer for the growth of ZnO rods, durations ranging from 0.5 to 30 min were tried. The morphology and crystal structure of the ZnO/ZnO-buffer/Si (1 1 1) were measured by field emission scanning electron microscopy (FE-SEM) and x-ray diffraction (XRD). The optical properties were investigated by photoluminescence (PL) measurement.

  8. Effects of buffer layer on the structural and electrical properties of InAsSb epilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jayavel, P.; Nakamura, S.; Koyama, T.; Hayakawa, Y.

    2006-01-01

    InAsSb ternary epilayers with arsenic composition of 0.5 have been grown on GaAs(001) substrates. Linear-graded and step-graded InAsSb buffer layers with an InSb layer have been used to relax lattice mismatch between the epilayer and substrate. X-ray diffraction results of the epilayers indicate that an enhancement in the peak intensity of the buffer layer samples is due to improved crystalline quality of the epilayers. We find that the growth technique of the buffer layer strongly influences the surface morphology and roughness of the epilayer. Hall effect measurements of the step-graded buffer layer samples show an order of magnitude higher electron mobility than the direct and linear-graded buffer layer samples. These results demonstrate that high crystalline quality and electron mobility of the InAs 0.5 Sb 0.5 ternary epilayers can be achieved by using the step-graded InAsSb buffer layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Aperture-time of oxygen-precursor for minimum silicon incorporation into the interface-layer in atomic layer deposition-grown HfO{sub 2}/Si nanofilms

    Energy Technology Data Exchange (ETDEWEB)

    Mani-Gonzalez, Pierre Giovanni [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro, Mexico and Departamento de Física y Matemáticas, Instituto de Ingeniería y Tecnología, Universidad Autónoma de Ciudad Juárez, Ave. Del Charro 450, Cd. Juárez C.P. 32310, Chihuahua (Mexico); Vazquez-Lepe, Milton Oswaldo [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro, Mexico and Departamento de Ingeniería de Proyectos, Universidad de Guadalajara, Guadalajara 45100, Jalisco (Mexico); Herrera-Gomez, Alberto, E-mail: aherrera@qro.cinvestav.mx [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro (Mexico)

    2015-01-15

    Hafnium oxide nanofilms were grown with atomic layer deposition on H-terminated Si (001) wafers employing tetrakis dimethyl amino hafnium (TDMA-Hf) and water as precursors. While the number of cycles (30) and the aperture-time for TDMA-Hf (0.08 s) were kept constant, the aperture-time (τ{sub H{sub 2O}}) for the oxidant-agent (H{sub 2}O) was varied from 0 to 0.10 s. The structure of the films was characterized with robust analysis employing angle-resolved x-ray photoelectron spectroscopy. In addition to a ∼1 nm hafnium oxide layer, a hafnium silicate interface layer, also ∼1 nm thick, is formed for τ{sub H{sub 2O}} > 0. The incorporation degree of silicon into the interface layer (i.e., the value of 1 − x in Hf{sub x}Si{sub 1−x}O{sub y}) shows a minimum of 0.32 for τ{sub H{sub 2O}} = 0.04 s. By employing the simultaneous method during peak-fitting analysis, it was possible to clearly resolve the contribution from the silicate and from oxide to the O 1s spectra, allowing for the assessment of the oxygen composition of each layer as a function of oxidant aperture time. The uncertainties of the peak areas and on the thickness and composition of the layers were calculated employing a rigorous approach.

  10. Multiple defects in GaInN multiple quantum wells grown on ELO GaN layers and on GaN substrates

    International Nuclear Information System (INIS)

    Tomiya, S.; Goto, O.; Hoshina, Y.; Tanaka, T.; Ikeda, M.

    2006-01-01

    A new type of structural defects was observed in GaInN multiple quantum well structures with higher In concentrations that were grown on low-threading-dislocation-density templates. The defects were investigated by using various kinds of transmission electron microscopy techniques, and were found to consist of planar defects and associated dislocations. The planar defects nucleate at the interfaces between the quantum well layers and barrier layers. The dislocations are created at the edge boundary of the planar defects and run almost along the c-axis towards the epi-surface. The planar defects are revealed to be inversion domains which are thought to be caused by the segregation of excess In-In bonds at the interface between the quantum well layer and the barrier layer. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Multiple defects in GaInN multiple quantum wells grown on ELO GaN layers and on GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tomiya, S. [Materials Analysis Laboratory, Sony Corporation, Kanagawa (Japan); Goto, O.; Hoshina, Y.; Tanaka, T.; Ikeda, M. [Shiroishi Laser Center, Semiconductor Laser Division, MSNC, Sony Corporation, Miyagi (Japan)

    2006-06-15

    A new type of structural defects was observed in GaInN multiple quantum well structures with higher In concentrations that were grown on low-threading-dislocation-density templates. The defects were investigated by using various kinds of transmission electron microscopy techniques, and were found to consist of planar defects and associated dislocations. The planar defects nucleate at the interfaces between the quantum well layers and barrier layers. The dislocations are created at the edge boundary of the planar defects and run almost along the c-axis towards the epi-surface. The planar defects are revealed to be inversion domains which are thought to be caused by the segregation of excess In-In bonds at the interface between the quantum well layer and the barrier layer. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Analysis of reaction between c+a and -c+a dislocations in GaN layer grown on 4-inch Si(111) substrate with AlGaN/AlN strained layer superlattice by transmission electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Sugawara, Yoshihiro; Ishikawa, Yukari, E-mail: yukari@jfcc.or.jp [Japan Fine Ceramics Center, Atsuta, Nagoya, 456-8587 (Japan); Watanabe, Arata [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Nagoya, 466-8555 (Japan); Miyoshi, Makoto; Egawa, Takashi [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Nagoya, 466-8555 (Japan); Innovation Center for Multi-Business of Nitride Semiconductors, Nagoya Institute of Technoloy, Nagoya, 466-8555 (Japan)

    2016-04-15

    The behavior of dislocations in a GaN layer grown on a 4-inch Si(111) substrate with an AlGaN/AlN strained layer superlattice using horizontal metal-organic chemical vapor deposition was observed by transmission electron microscopy. Cross-sectional observation indicated that a drastic decrease in the dislocation density occurred in the GaN layer. The reaction of a dislocation (b=1/3[-211-3]) and anothor dislocation (b =1/3[-2113]) to form one dislocation (b =2/3[-2110]) in the GaN layer was clarified by plan-view observation using weak-beam dark-field and large-angle convergent-beam diffraction methods.

  13. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    Science.gov (United States)

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  14. Electrical properties of pseudo-single-crystalline Ge films grown by Au-induced layer exchange crystallization at 250 °C

    Science.gov (United States)

    Higashi, H.; Kudo, K.; Yamamoto, K.; Yamada, S.; Kanashima, T.; Tsunoda, I.; Nakashima, H.; Hamaya, K.

    2018-06-01

    We study the electrical properties of pseudo-single-crystalline Ge (PSC-Ge) films grown by a Au-induced layer exchange crystallization method at 250 °C. By inserting the SiNx layer between PSC-Ge and SiO2, we initiatively suppress the influence of the Ge/SiO2 interfacial defective layers, which have been reported in our previous works, on the electrical properties of the PSC-Ge layers. As a result, we can detect the influence of the ionized Au+ donors on the temperature-dependent hole concentration and Hall mobility. To further examine their electrical properties in detail, we also fabricate p-thin-film transistors (TFTs) with the PSC-Ge layer. Although the off-state leakage currents are suppressed by inserting the SiNx layer, the value of on/off ratio remains poor (leakage current although a nominal field effect mobility is enhanced up to ˜25 cm2/V s. Considering these features, we conclude that the Au contaminations into the PSC-Ge layer can affect the electrical properties and device performances despite a low-growth temperature of 250 °C. To achieve further high-performance p-TFTs, we have to suppress the Au contaminations into PSC-Ge during the Au-induced crystallization growth.

  15. MTF measurement and analysis of linear array HgCdTe infrared detectors

    Science.gov (United States)

    Zhang, Tong; Lin, Chun; Chen, Honglei; Sun, Changhong; Lin, Jiamu; Wang, Xi

    2018-01-01

    The slanted-edge technique is the main method for measurement detectors MTF, however this method is commonly used on planar array detectors. In this paper the authors present a modified slanted-edge method to measure the MTF of linear array HgCdTe detectors. Crosstalk is one of the major factors that degrade the MTF value of such an infrared detector. This paper presents an ion implantation guard-ring structure which was designed to effectively absorb photo-carriers that may laterally defuse between adjacent pixels thereby suppressing crosstalk. Measurement and analysis of the MTF of the linear array detectors with and without a guard-ring were carried out. The experimental results indicated that the ion implantation guard-ring structure effectively suppresses crosstalk and increases MTF value.

  16. Liquid-phase exfoliation of chemical vapor deposition-grown single layer graphene and its application in solution-processed transparent electrodes for flexible organic light-emitting devices

    International Nuclear Information System (INIS)

    Wu, Chaoxing; Li, Fushan; Wu, Wei; Chen, Wei; Guo, Tailiang

    2014-01-01

    Efficient and low-cost methods for obtaining high performance flexible transparent electrodes based on chemical vapor deposition (CVD)-grown graphene are highly desirable. In this work, the graphene grown on copper foil was exfoliated into micron-size sheets through controllable ultrasonication. We developed a clean technique by blending the exfoliated single layer graphene sheets with conducting polymer to form graphene-based composite solution, which can be spin-coated on flexible substrate, forming flexible transparent conducting film with high conductivity (∼8 Ω/□), high transmittance (∼81% at 550 nm), and excellent mechanical robustness. In addition, CVD-grown-graphene-based polymer light emitting diodes with excellent bendable performances were demonstrated

  17. Complementary and bipolar regimes of resistive switching in TiN/HfO{sub 2}/TiN stacks grown by atomic-layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Egorov, K.V.; Kirtaev, R.V.; Markeev, A.M.; Zablotskiy, A.V. [Moscow Institute of Physics and Technology, Institutskii per. 9, 141700, Dolgoprudny (Russian Federation); Lebedinskii, Yu.Yu.; Matveyev, Yu.A.; Zenkevich, A.V. [Moscow Institute of Physics and Technology, Institutskii per. 9, 141700, Dolgoprudny (Russian Federation); National Research Nuclear University MEPhI (Moscow Engineering Physics Institute), Kashirskoye shosse 31, 115409, Moscow (Russian Federation); Orlov, O.M. [Scientific Research Institute of Molecular Electronics and Plant ' ' Micron' ' , 124462, Zelenograd (Russian Federation)

    2015-04-01

    Atomic-layer deposition (ALD) technique in combination with in vacuo X-ray photoelectron spectroscopy (XPS) analysis has been successfully employed to obtain fully ALD-grown planar TiN/HfO{sub 2}/TiN metal-insulator-metal structures for resistive random access memory (ReRAM) memory elements. In vacuo XPS analysis of ALD-grown TiN/HfO{sub 2}/TiN stacks reveals the presence of the ultrathin oxidized layers consisting of TiON (∝0.5 nm) and TiO{sub 2} (∝0.6 nm) at the bottom TiN/HfO{sub 2} interface (i); the nonoxidized TiN at the top HfO{sub 2}/TiN interface (ii); the oxygen deficiency in the HfO{sub 2} layer does not exceed the XPS detection limit (iii). Electroformed ALD TiN/HfO{sub 2}/TiN stacks reveal both conventional bipolar and complementary types of resistive switching. In the complementary resistive switching regime, each programming sequence is terminated by a reset operation, leaving the TiN/HfO{sub 2}/TiN stack in a high-resistance state. The observed feature can avoid detrimental leaky paths during successive reading operation, which is useful in the passive ReRAM arrays without a selector element. The bipolar regime of resistive switching is found to reveal the gradual character of the SET and RESET switching processes. Long-term potentiation and depression tests performed on ALD-grown TiN/HfO{sub 2}/TiN stacks indicate that they can be used as electronic synapse devices for the implementation of emerging neuromorphic computation systems. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  19. High-quality AlGaN/GaN grown on sapphire by gas-source molecular beam epitaxy using a thin low-temperature AlN layer

    Energy Technology Data Exchange (ETDEWEB)

    Jurkovic, M.J.; Li, L.K.; Turk, B.; Wang, W.I.; Syed, S.; Simonian, D.; Stormer, H.L.

    2000-07-01

    Growth of high-quality AlGaN/GaN heterostructures on sapphire by ammonia gas-source molecular beam epitaxy is reported. Incorporation of a thin AlN layer grown at low temperature within the GaN buffer is shown to result in enhanced electrical and structural characteristics for subsequently grown heterostructures. AlGaN/GaN structures exhibiting reduced background doping and enhanced Hall mobilities (2100, 10310 and 12200 cm{sup 2}/Vs with carrier sheet densities of 6.1 x 10{sup 12} cm{sup {minus}2}, and 5.8 x 10{sup 12} cm{sup {minus}2} at 300 K, 77 K, and 0.3 K, respectively) correlate with dislocation filtering in the thin AlN layer. Magnetotransport measurements at 0.3 K reveal well-resolved Shubnikov-de Haas oscillations starting at 3 T.

  20. Effects of growth duration on the structural and optical properties of ZnO nanorods grown on seed-layer ZnO/polyethylene terephthalate substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Y.I.; Shin, C.M.; Heo, J.H. [Department of Nano Systems Engineering, Center for Nano Manufacturing Inje University, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing Inje University, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, Busan 614-714 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Busan 617-736 (Korea, Republic of); Yun, J. [Department of Nano Science and Engineering, Institute of Advanced Materials Kyungnam University, Changwon, Gyeongnam 631-701 (Korea, Republic of)

    2011-10-01

    Well-aligned single crystalline zinc oxide (ZnO) nanorods were successfully grown, by hydrothermal synthesis at a low temperature, on flexible polyethylene terephthalate (PET) substrates with a seed layer. Photoluminescence (PL), field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) measurements were used to analyze the optical and structural properties of ZnO nanorods grown for various durations from 0.5 h to 10 h. Regular and well-aligned ZnO nanorods with diameters ranging from 62 nm to 127 nm and lengths from 0.3 {mu}m to 1.65 {mu}m were formed after almost 5 h of growth. The growth rate of ZnO grown on PET substrates is lower than that grown on Si (1 0 0) substrates. Enlarged TEM images show that the tips of the ZnO nanorods grown for 6 h have a round shape, whereas the tips grown for 10 h are sharpened. The crystal properties of ZnO nanorods can be tuned by using the growth duration as a growth condition. The XRD and PL results indicate that the structural and optical properties of the ZnO nanorods are most improved after 5 h and 6 h of growth, respectively.

  1. Polarity and microstructure in InN thin layers grown by MOVPE

    International Nuclear Information System (INIS)

    Kuwano, N.; Nakahara, Y.; Amano, H.

    2006-01-01

    Microstructures in InN grown on sapphire (0001) and yttria-stabilized zirconia (YSZ) (111) by metal-organic vapor phase epitaxy (MOVPE) were analyzed by means of transmission electron microscopy (TEM) in order to clarify the growth process. Special attention was paid to the selectivity of the crystal polarity of InN. The InN thin films grown on sapphire after nitridation has a flat surface while those grown on YSZ has hillocks on the surface. The crystal polarity was determined by comparing the experimentally observed intensity distribution in convergent beam electron diffraction (CBED) disks with those simulated by the Broch-wave method. It was found that the InN grown on the sapphire has a nitrogen-polarity and the one on YSZ has a mixture of In- and N-polarities. The effect of surface-nitridation of sapphire on the growth process is also discussed (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Numerical analysis of three-colour HgCdTe detectors

    Science.gov (United States)

    Jóźwikowski, K.; Rogalski, A.

    2007-12-01

    The performance of three-colour HgCdTe photovoltaic heterostructure detector is examined theoretically. In comparison with two-colour detectors with two back-to-back junctions, three-colour structure contains an absorber of intermediate wavelength placed between two junctions and electronic barriers are used to isolate this intermediate region. This structure was first proposed by British workers. Three-detector structures with different localizations of separating barriers are analyzed. The calculation results are presented in the form of spatial distributions of bandgap energy and quantum efficiency. Enhanced original computer programs are applied to solve the system of non-linear continuity equations for carriers and Poisson equations. In addition, the numerical analysis includes the dependence of absorption coefficient on Burstein effect as well as interference effects in heterostructure with metallic electrical contacts. It is shown that the performance of the detector is critically dependent on the barrier’s doping level and position in relation to the junction. This behaviour is serious disadvantage of the considered three-colour detector. A small shift of the barrier location and doping level causes serious changes in spectral responsivity.

  3. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    Energy Technology Data Exchange (ETDEWEB)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.; Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh Frequency Semiconductor Electronics (Russian Federation); Grekhov, M. M. [National Research Nuclear University “MEPhI” (Russian Federation); Ilyakov, I. E.; Shishkin, B. V.; Akhmedzhanov, R. A. [Russian Academy of Sciences, Institute of Applied Physics (Russian Federation)

    2017-04-15

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  4. Numerical simulation of crosstalk in reduced pitch HgCdTe photon-trapping structure pixel arrays.

    Science.gov (United States)

    Schuster, Jonathan; Bellotti, Enrico

    2013-06-17

    We have investigated crosstalk in HgCdTe photovoltaic pixel arrays employing a photon trapping (PT) structure realized with a periodic array of pillars intended to provide broadband operation. We have found that, compared to non-PT pixel arrays with similar geometry, the array employing the PT structure has a slightly higher optical crosstalk. However, when the total crosstalk is evaluated, the presence of the PT region drastically reduces the total crosstalk; making the use of the PT structure not only useful to obtain broadband operation, but also desirable for reducing crosstalk in small pitch detector arrays.

  5. Optical properties of metastable shallow acceptors in Mg-doped GaN layers grown by metal-organic vapor phase epitaxy

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.; Monemar, Bo

    2010-01-01

    GaN layers doped by Mg show a metastable behavior of the near-band-gap luminescence caused by electron irradiation or UV excitation. At low temperatures < 30 K the changes in luminescence are permanent. Heating to room temperature recovers the initial low temperature spectrum shape completely. Two acceptors are involved in the recombination process as confirmed by transient PL. In as-grown samples a possible candidate for the metastable acceptor is C-N, while after annealing a second m...

  6. Structural and electrical properties of Ge-on-Si(0 0 1) layers with ultra heavy n-type doping grown by MBE

    Science.gov (United States)

    Yurasov, D. V.; Antonov, A. V.; Drozdov, M. N.; Yunin, P. A.; Andreev, B. A.; Bushuykin, P. A.; Baydakova, N. A.; Novikov, A. V.

    2018-06-01

    In this paper we report about the formation of ultra heavy doped n-Ge layers on Si(0 0 1) substrates by molecular beam epitaxy and their characterization by different independent techniques. Combined study of structural and electrical properties of fabricated layers using secondary ion mass spectroscopy, X-ray diffraction, Hall effect and reflection measurements was carried out and it has revealed the achievable charge carrier densities exceeding 1020 cm-3 without deterioration of crystalline quality of such doped layers. It was also shown that X-ray analysis can be used as a fast, reliable and non-destructive method for evaluation of the electrically active Sb concentration in heavy doped Ge layers. The appropriate set of doping density allowed to adjust the plasmonic resonance position in Ge:Sb layers in a rather wide range reaching the wavelength of 3.6 μm for the highest doping concentration. Room temperature photoluminescence confirmed the high crystalline quality of such doped layers. Our results indicated the attainability of high electron concentration in Ge:Sb layers grown on Si substrates without crystalline quality deterioration which may find potential applications in the fields of Si-based photonics and mid-IR plasmonics.

  7. Raman scattering from epitaxial HfN layers grown on MgO(001)

    International Nuclear Information System (INIS)

    Stoehr, M.; Seo, H.-S.; Petrov, I.; Greene, J.E.

    2006-01-01

    Stoichiometric single-crystal HfN layers grown on MgO(001) are analyzed by Raman spectroscopy. Second-order Raman scattering predominates, but first-order modes in the acoustic and optical ranges are also visible. The latter indicates that the O h symmetry of NaCl-structure HfN is broken. The large mass difference between Hf and N leads to a correspondingly large separation, 250 cm -1 , between the first-order acoustic and optical bands. Within this gap, four Raman lines are clearly observed. The first three are the second-order transverse acoustic mode (240 cm -1 ), the sum of the first-order transverse and longitudinal acoustic modes (280 cm -1 ), and the second-order longitudinal acoustic mode (325 cm -1 ). The fourth line at 380 cm -1 is identified as the difference between the first-order optical and acoustic modes. The observed first-order Raman scattering, as well as the width of the gap between the first-order acoustic and optical modes, is in good agreement with previously calculated HfN phonon density of states

  8. Optical and magnetic resonance studies of Mg-doped GaN homoepitaxial layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Glaser, E.R.; Murthy, M.; Freitas, J.A.; Storm, D.F.; Zhou, L.; Smith, D.J.

    2007-01-01

    Low-temperature photoluminescence (PL) and optically detected magnetic resonance (ODMR) at 24 GHz have been performed on a series of MBE-grown Mg-doped (10 17 -10 20 cm -3 ) GaN homoepitaxial layers. High-resolution PL at 5 K revealed intense bandedge emission with narrow linewidths (0.2-0.4 meV) attributed to annihilation of excitons bound to shallow Mg acceptors. In contrast to many previous reports for GaN heteroepitaxial layers doped with [Mg]>3x10 18 cm -3 , the only visible PL observed was strong shallow donor-shallow acceptor recombination with zero phonon line at 3.27 eV. Most notably, ODMR on this emission from a sample doped with [Mg] of 1x10 17 cm -3 revealed the first evidence for the highly anisotropic g-tensor (g parallel ∼2.19, g perpendicular ∼0) expected for Mg shallow acceptors in wurtzite GaN. This result is attributed to the much reduced dislocation densities (≤5x10 6 cm -3 ) and Mg impurity concentrations compared to those characteristic of the more conventional investigated Mg-doped GaN heteroepitaxial layers

  9. Phase-coherent electron transport in (Zn, Al)Ox thin films grown by atomic layer deposition

    Science.gov (United States)

    Saha, D.; Misra, P.; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M.

    2014-11-01

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)Ox thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al2O3 sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length ( l φ ∝ T - 3 / 4 ), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  10. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition

    Science.gov (United States)

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph; Cantelli, Valentina; Albertini, David; Gautier, Brice; Brémond, Georges; Fong, Dillon D.; Renevier, Hubert; Consonni, Vincent

    2017-03-01

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscale-engineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol-gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 107 nano-objects both on the macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscale-engineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.

  11. In-situ Ga doping of fully strained Ge1-xSnx heteroepitaxial layers grown on Ge(001) substrates

    International Nuclear Information System (INIS)

    Shimura, Y.; Takeuchi, S.; Nakatsuka, O.; Vincent, B.; Gencarelli, F.; Clarysse, T.; Vandervorst, W.; Caymax, M.; Loo, R.; Jensen, A.; Petersen, D.H.; Zaima, S.

    2012-01-01

    We have investigated the Ga and Sn content dependence of the crystallinity and electrical properties of Ga-doped Ge 1-x Sn x layers that are heteroepitaxially grown on Ge(001) substrates. The doping of Ga to levels as high as the solubility limit of Ga at the growth temperature leads to the introduction of dislocations, due to the increase in the strain of the Ge 1-x Sn x layers. We achieved the growth of a fully strained Ge 0.922 Sn 0.078 layer on Ge with a Ga concentration of 5.5 × 10 19 /cm 3 without any dislocations and stacking faults. The resistivity of the Ga-doped Ge 1-x Sn x layer decreased as the Sn content was increased. This decrease was due to an increase in the carrier concentration, with an increase in the activation level of Ga atoms in the Ge 1-x Sn x epitaxial layers being induced by the introduction of Sn. As a result, we found that the resistivity for the Ge 0.950 Sn 0.050 layer annealed at 600°C for 1 min is 3.6 times less than that of the Ga-doped Ge/Ge sample. - Highlights: ► Heavy Ga-doping into fully strained GeSn layers without the introduction of dislocations ► The uniform Ga depth profile allowed the introduction of Sn ► The decrease in resistivity with an increase in the activation level of Ga was caused by the introduction of Sn

  12. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    Science.gov (United States)

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  14. Far-infrared phonon spectroscopy of Pb1-xMn xTe layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Romcevic, N.; Nadolny, A.J.; Romcevic, M.; Story, T.; Taliashvili, B.; Milutinovic, A.; Trajic, J.; Lusakowska, E.; Vasiljevic-Radovic, D.; Domukhovski, V.; Osinniy, V.; Hadzic, B.; Dziawa, P.

    2007-01-01

    In this paper we used far-infrared spectroscopy, reflection high energy electron diffraction (RHEED), X-ray diffraction and atomic force microscopy (AFM) to investigate structural and optical properties of Pb 1-x Mn x Te layers grown by molecular beam epitaxy (MBE). A numerical model for calculating the reflectivity coefficient for complex systems which include films, buffer layer and substrate has been applied. The infrared reflectivity spectra consist of Pb 1-x Mn x Te phonons, which exhibit intermediate one-two mode behavior, and MnTe phonons. A good agreement between calculated and experimental spectra is achieved. We registered the local distribution of Mn impurities depending on substrate type. For films growth on BaF 2 substrate we registered the orthorhombic local structure of MnTe clusters, while in the case of KCl substrate this structure is cubic. The Pb 1-x Mn x Te long wavelength optical phonons were described by the modified Genzel's model

  15. Design and characterization of thick InxGa1-xAs metamorphic buffer layers grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Schulte, K. L.; Zutter, B. T.; Wood, A. W.; Babcock, S. E.; Kuech, T. F.

    2014-03-01

    Thick InxGa1-xAs metamorphic buffer layers (MBLs) grown by hydride vapor phase epitaxy (HVPE) were studied. Relationships between MBL properties and growth parameters such as grading rate, cap layer thickness, final xInAs, and deposition temperature (TD) were explored. The MBLs were characterized by measurement of in-plane residual strain (ɛ¦¦), surface etch pit density (EPD), and surface roughness. Capping layer thickness had a strong effect on strain relaxation, with thickly capped samples exhibiting the lowest ɛ¦¦. EPD was higher in samples with thicker caps, reflecting their increased relaxation through dislocation generation. ɛ¦¦ and EPD were weakly affected by the grading rate, making capping layer thickness the primary structural parameter which controls these properties. MBLs graded in discrete steps had similar properties to MBLs with continuous grading. In samples with identical thickness and 10-step grading style, ɛ¦¦ increased almost linearly with final xInAs, while total relaxation stayed relatively constant. Relaxation as a function of xInAs could be described by an equilibrium model in which dislocation nucleation is impeded by the energy of the existing dislocation array. EPD was constant from xInAs = 0 to 0.24 then increased exponentially, which is related to the increased dislocation interaction and blocking seen at higher dislocation densities. RMS roughness increased with xInAs above a certain strain rate (0.15%/µm) samples grown below this level possessed large surface hillocks and high roughness values. The elimination of hillocks at higher values of xInAs is attributed to increased density of surface steps and is related to the out-of-plane component of the burgers vector of the dominant type of 60° dislocation. TD did not affect ɛ¦¦ for samples with a given xInAs. EPD tended to increase with TD, indicating dislocation glide likely is impeded at higher temperatures.

  16. IR detectors for the Infrared Atmospheric Sounding Interferometer (IASI) instrument payload for the METOP-1 ESA polar platform

    Science.gov (United States)

    Royer, Michel; Lorans, Dominique; Bischoff, Isabelle; Giotta, Dominique; Wolny, Michel

    1994-12-01

    IASI is an Infrared Atmospheric Sounding Interferometer devoted to the operational meteorology and to atmospheric studies and is to be installed on board the second ESA Polar Platform called METOP-1, planned to be launched in the year 2000. The main purpose of this high performance instrument is to record temperature and humidity profiles. The required lifetime is 4 years. This paper presents the characteristics of the LW IR detection arrays for the IASI spectrometer which consist of HgCdTe de- tectors. SAT has to develop the Engineering Model, Qualification Model and Fight Models of detectors, each having 4 pixels and AR-coated microlenses in a dedicated space housing equipped with a flexible line and a connector. An array is composed of HgCdTe photoconductive detectors. For this long wavelength the array is sensitive from 8.26 micrometers to 15.5 micrometers . The detectors, with sensitive areas of 900 x 900 micrometers 2, are 100 K operating with passive cooling. High quality HgCdTe material is a key feature for the manufacturing of high performance photoconductive detectors. Therefore epitaxial HgCdTe layers are used in this project. These epilayers are grown at CEA/LETI on lattice matched CdZnTe substrates, by Te-rich liquid phase epitaxy, based on a slider technique. The Cd content in the layer is carefully adjusted to meet the required cut off wavelength on the devices. After growth of the epilayers, the samples are annealed under Hg pressure in order to convert them into N type mate- rials. The electrical transport properties of the liquid phase epitaxied wafers are, at 100 K, mobility (mu) over 150,000 cm2/V.s and electrical concentration N of 1.5 1015 cm-3, the residual doping level being 1014 cm-3 at low temperature. On these materials the feasibility study of long wavelength HgCdTe photoconductors has been achieved with the following results: the responsivity is 330 V/W. The bias voltage is Vp=300 mV for a 4 mW limitation of power for each element. The

  17. Performances of a HGCDTE APD based direct detection lidar at 2 μm. Application to dial measurements

    Science.gov (United States)

    Gibert, Fabien; Dumas, Arnaud; Rothman, Johan; Edouart, Dimitri; Cénac, Claire; Pellegrino, Jessica

    2018-04-01

    A lidar receiver with a direct detection chain adapted to a HgCdTe APD based detector with electric cooling is associated to a 2.05 μm Ho :YLF pulsed dual wavelength single mode transmitter to provide the first atmospheric lidar measurements using this technology. Experiments confirm the outstanding sensitivity of the detector and hightligth its huge potential for DIAL measurements of trace gas (CO2 and H2O) in this spectral domain. Performances of coherent vs direct detection at 2.05 μm is assessed.

  18. Performances of a HGCDTE APD based direct detection lidar at 2 μm. Application to dial measurements

    Directory of Open Access Journals (Sweden)

    Gibert Fabien

    2018-01-01

    Full Text Available A lidar receiver with a direct detection chain adapted to a HgCdTe APD based detector with electric cooling is associated to a 2.05 μm Ho :YLF pulsed dual wavelength single mode transmitter to provide the first atmospheric lidar measurements using this technology. Experiments confirm the outstanding sensitivity of the detector and hightligth its huge potential for DIAL measurements of trace gas (CO2 and H2O in this spectral domain. Performances of coherent vs direct detection at 2.05 μm is assessed.

  19. n-VO{sub 2}/p-GaN based nitride–oxide heterostructure with various thickness of VO{sub 2} layer grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Minhuan [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Bian, Jiming, E-mail: jmbian@dlut.edu.cn [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050, China (China); Sun, Hongjun; Liu, Weifeng [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Zhang, Yuzhi [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050, China (China); Luo, Yingmin [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China)

    2016-12-15

    Graphical abstract: The significant influences of VO{sub 2} layer thickness on the structural, electrical and contact properties of the n-VO{sub 2}/p-GaN based nitride-oxide heterostructure were investigated systemically. - Highlights: • High quality VO{sub 2} films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). • A distinct reversible SMT phase transition was observed for the n-VO{sub 2}/p-GaN based nitride-oxide heterostructure. • The clear rectifying transport characteristics originated from the n-VO{sub 2}/p-GaN interface were demonstrated before and after SMT of the VO{sub 2} over layer. • The XPS analyses confirmed the valence state of V in VO{sub 2} films was principally composed of V{sup 4+} with trace amount of V{sup 5+}. • The design and modulation of the n-VO{sub 2}/p-GaN based heterostructure devices will benefit significantly from these achievements. - Abstract: High quality VO{sub 2} films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). Results indicated that a distinct reversible semiconductor-to-metal (SMT) phase transition was observed for all the samples in the temperature dependent electrical resistance measurement, and the influence of VO{sub 2} layer thickness on the SMT properties of the as-grown n-VO{sub 2}/p-GaN based nitride-oxide heterostructure was investigated. Meanwhile, the clear rectifying transport characteristics originated from the n-VO{sub 2}/p-GaN interface were demonstrated before and after SMT of the VO{sub 2} over layer, which were attributed to the p-n junction behavior and Schottky contact character, respectively. Moreover, the X-ray photoelectron spectroscopy (XPS) analyses confirmed the valence state of vanadium (V) in VO{sub 2} films was principally composed of V{sup 4+} with trace amount of V{sup 5+}. The design and modulation of the n-VO{sub 2}/p-GaN based heterostructure

  20. Hybrid Organic/ZnO p-n Junctions with n-Type ZnO Grown by Atomic Layer Deposition

    Science.gov (United States)

    Łuka, G.; Krajewski, T.; Szczerbakow, A.; Łusakowska, E.; Kopalko, K.; Guziewicz, E.; Wachnicki, Ł.; Szczepanik, A.; Godlewski, M.; Fidelus, J. D.

    2008-11-01

    We report on fabrication of hybrid inorganic-on-organic thin film structures with polycrystalline zinc oxide films grown by atomic layer deposition technique. ZnO films were deposited on two kinds of thin organic films, i.e. pentacene and poly(dimethylosiloxane) elastomer with a carbon nanotube content (PDMS:CNT). Surface morphology as well as electrical measurements of the films and devices were analyzed. The current density versus voltage (I-V) characteristics of ITO/pentacene/ZnO/Au structure show a low-voltage switching phenomenon typical of organic memory elements. The I-V studies of ITO/PDMS:CNT/ZnO/Au structure indicate some charging effects in the system under applied voltages.

  1. Cross-sectional scanning tunneling microscopy of antiphase boundaries in epitaxially grown GaP layers on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de [Technische Universität Berlin, Institut für Festkörperphysik, 10623 Berlin (Germany); Döscher, Henning; Kleinschmidt, Peter; Hannappel, Thomas [Helmholtz Center Berlin for Materials and Energy, 14109 Berlin (Germany)

    2016-05-15

    In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surface sensitivity of this method.

  2. Enhanced numerical analysis of three-color HgCdTe detectors

    Science.gov (United States)

    Jóźwikowski, K.; Rogalski, A.

    2007-04-01

    The performance of three-color HgCdTe photovoltaic heterostructure detector is examined theoretically. In comparison with two-color detectors with two back-to-back junctions, three-color structure contain an absorber of intermediate wavelength placed between two junctions, and electronic barriers are used to isolate this intermediate region. This structure was first proposed by British workers. Enhanced original computer programs are applied to solve the system of non-linear continuity equations for carriers and Poisson equations. In addition, the numerical analysis includes the dependence of absorption coefficient on Burstein effect as well as interference effects in heterostructure with metallic electrical contacts. Three detector structures with different localizations of separating barriers are analyzed. The calculations results are presented in the form of spatial distributions of bandgap energy and quantum efficiency. It is shown that the performance of the detector is critically dependent on the barrier's doping level and position in relation to the junction. This behavior is serious disadvantage of the considered three color detector. A small shift of the barrier location and doping level causes serious changes in spectral responsivity.

  3. Effect of 3C-SiC intermediate layer in GaN—based light emitting diodes grown on Si(111) substrate

    Science.gov (United States)

    Zhu, Youhua; Wang, Meiyu; Li, Yi; Tan, Shuxin; Deng, Honghai; Guo, Xinglong; Yin, Haihong; Egawa, Takashi

    2017-03-01

    GaN-based light emitting diodes (LEDs) have been grown by metalorganic chemical vapor deposition on Si(111) substrate with and without 3C-SiC intermediate layer (IL). Structural property has been characterized by means of atomic force microscope, X-ray diffraction, and transmission electron microscope measurements. It has been revealed that a significant improvement in crystalline quality of GaN and superlattice epitaxial layers can be achieved by using 3C-SiC as IL. Regarding of electrical and optical characteristics, it is clearly observed that the LEDs with its IL have a smaller leakage current and higher light output power comparing with the LEDs without IL. The better performance of LEDs using 3C-SiC IL can be contributed to both of the improvements in epitaxial layers quality and light extraction efficiency. As a consequence, in terms of optical property, a double enhancement of the light output power and external quantum efficiency has been realized.

  4. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  5. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    Energy Technology Data Exchange (ETDEWEB)

    Bulusu, A.; Singh, A.; Kim, H. [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B. [School of Electrical and Computer Engineering, Georgia Institute of Technology, and Center for Organic Photonics and Electronics, Atlanta, Georgia 30332 (United States); Cullen, D. [Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States); Graham, S., E-mail: sgraham@gatech.edu [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States)

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al{sub 2}O{sub 3})/hafnium oxide (HfO{sub 2}) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN{sub x} layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.

  6. Electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pagni, O. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Somhlahlo, N.N. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Weichsel, C. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)]. E-mail: andrew.leitch@nmmu.ac.za

    2006-04-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies.

  7. Electrical properties of ZnO thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Pagni, O.; Somhlahlo, N.N.; Weichsel, C.; Leitch, A.W.R.

    2006-01-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies

  8. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    International Nuclear Information System (INIS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-01-01

    Highlights: • Concave nano-patterned sapphire substrates with SiO 2 blocking layer. • The IQE is almost two times larger than that of conventional one. • The EQE was extremely enhanced more than 100%. - Abstract: In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO 2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO 2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO 2 blocking layer proposed in this work can enhance the performance of LEDs.

  9. Composition modulation analysis of In{sub x}Ga{sub 1-x}P layers grown on (0 0 1) germanium substrates

    Energy Technology Data Exchange (ETDEWEB)

    Pastore, C.E.; Araujo, D. [Departamento de Ciencia de los Materiales e IM y QI, Universidad de Cadiz, 11510 Puerto Real (Spain); Gutierrez, M., E-mail: marina.gutierrez@uca.es [Departamento de Ciencia de los Materiales e IM y QI, Universidad de Cadiz, 11510 Puerto Real (Spain); Miguel-Sanchez, J.; Rodriguez-Messmer, E. [Isofoton, C/ Severo Ochoa 50, 29590 Malaga (Spain)

    2010-07-01

    The development of new photovoltaic approach to improve costs and efficiencies is focused on the new materials and new technologies. InGaP is, in this sense, a key material for solar conversion. In particular, in the solar concentration approach, this material is part of multiple junction solar cells. Its low lattice mismatch with germanium and its adequate bandgap make it very promising. This paper shows how compositional modulation can affect the InGaP emitter and the AlGaAs tunnel junctions. The influence of the growth conditions, on the compositional modulation and misfit and threading dislocations, in In{sub 0.49}Ga{sub 0.51}P layers is demonstrated by TEM on purposely grown single InGaP layers. High resolution electron microscopy (HREM) intensity profiles showed no elastic lattice related modulation.

  10. On the conductive properties of MgO films grown on ultrathin hexagonal close-packed Co(0001) layer

    International Nuclear Information System (INIS)

    Gladczuk, L.; Aleszkiewicz, M.

    2013-01-01

    Here we present a scanning tunneling microscopy study of electrical conductivity of (110)-oriented MgO ultrathin films grown on hexagonal close-packed Co(0001) surface by molecular beam epitaxy, being a good candidate for tunneling barrier for future-generation spintronic devices. Three-dimensional growth of the tunneling barrier, expected for compressive strains emerging at the Co/MgO interface, is demonstrated by reflection high-energy electron diffraction and atomic force microscopy. The 5 eV height of the full barrier of MgO is reached at a layer thickness of 4 nm. Thinner MgO layers exhibit randomly distributed spots of the high conductance on the tunneling current map. The current–voltage curves indicate the existence of vacancies in MgO crystal lattice, lowering the resistivity of the tunneling barrier. - Highlights: • Conductivity of MgO barrier in MgO/hexagonal close-packed-Co bilayer • Conductivity strongly varies with MgO thickness • MgO barrier exhibits randomly distributed spots of particularly high conductance • Tunneling current–voltage curves indicate the existence of vacancies in MgO lattice

  11. Performances of a HGCDTE APD Based Detector with Electric Cooling for 2-μm DIAL/IPDA Applications

    Directory of Open Access Journals (Sweden)

    Dumas A.

    2016-01-01

    Full Text Available In this work we report on design and testing of an HgCdTe Avalanche Photodiode (APD detector assembly for lidar applications in the Short Wavelength Infrared Region (SWIR : 1,5 - 2 μm. This detector consists in a set of diodes set in parallel -making a 200 μm large sensitive area- and connected to a custom high gain TransImpedance Amplifier (TIA. A commercial four stages Peltier cooler is used to reach an operating temperature of 185K. Crucial performances for lidar use are investigated : linearity, dynamic range, spatial homogeneity, noise and resistance to intense illumination.

  12. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    Science.gov (United States)

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  13. Carrier confinement in Ge/Si quantum dots grown with an intermediate ultrathin oxide layer

    Science.gov (United States)

    Kuryliuk, V.; Korotchenkov, O.; Cantarero, A.

    2012-02-01

    We present computational results for strain effects on charge carrier confinement in GexSi1-x quantum dots (QDs) grown on an oxidized Si surface. The strain and free carrier probability density distributions are obtained using the continuum elasticity theory and the effective-mass approximation implemented by a finite-element modeling scheme. Using realistic parameters and conditions for hemisphere and pyramid QDs, it is pointed out that an uncapped hemisphere dot deposited on the Si surface with an intermediate ultrathin oxide layer offers advantageous electron-hole separation distances with respect to a square-based pyramid grown directly on Si. The enhanced separation is associated with a larger electron localization depth in the Si substrate for uncapped hemisphere dots. Thus, for dot diameters smaller than 15-20 nm and surface density of the dots (nQD) ranging from about 1010 to 1012 cm-2, the localization depth may be enhanced from about 8 nm for a pyramid to 38 nm for a hemisphere dot. We find that the effect in a hemisphere dot is very sensitive to the dot density and size, whereas the localization depth is not significantly affected by the variation of the Ge fraction x in GexSi1-x and the aspect ratio of the dot. We also calculate the effect of the fixed oxide charge (Qox) with densities ranging from 10-9 to 10-7 C/cm2 for 10-Ωcm p-type Si wafers on the carrier confinement. Although the confinement potential can be strongly perturbed by the charge at nQD less than ≈4×1011 cm-2, it is not very sensitive to the value of Qox at higher nQD. Since, to our knowledge, there are no data on carrier confinement for Ge QDs deposited on oxidized Si surfaces, these results might be applicable to functional devices utilizing separated electrons and holes such as photovoltaic devices, spin transistors, and quantum computing components. The use of hemisphere QDs placed on oxidized Si rather than pyramid dots grown on bare Si may help to confine charge carriers deeper

  14. Resistive switching and synaptic properties of fully atomic layer deposition grown TiN/HfO{sub 2}/TiN devices

    Energy Technology Data Exchange (ETDEWEB)

    Matveyev, Yu.; Zenkevich, A. [Moscow Institute of Physics and Technology, 141700 Moscow Region (Russian Federation); NRNU “Moscow Engineering Physics Institute”, 115409 Moscow (Russian Federation); Egorov, K.; Markeev, A. [Moscow Institute of Physics and Technology, 141700 Moscow Region (Russian Federation)

    2015-01-28

    Recently proposed novel neural network hardware designs imply the use of memristors as electronic synapses in 3D cross-bar architecture. Atomic layer deposition (ALD) is the most feasible technique to fabricate such arrays. In this work, we present the results of the detailed investigation of the gradual resistive switching (memristive) effect in nanometer thick fully ALD grown TiN/HfO{sub 2}/TiN stacks. The modelling of the I-V curves confirms interface limited trap-assisted-tunneling mechanism along the oxygen vacancies in HfO{sub 2} in all conduction states. The resistivity of the stack is found to critically depend upon the distance from the interface to the first trap in HfO{sub 2}. The memristive properties of ALD grown TiN/HfO{sub 2}/TiN devices are correlated with the demonstrated neuromorphic functionalities, such as long-term potentiation/depression and spike-timing dependent plasticity, thus indicating their potential as electronic synapses in neuromorphic hardware.

  15. InAs/GaAs quantum dot lasers with InGaP cladding layer grown by solid-source molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Yeh, N.-T.; Liu, W.-S.; Chen, S.-H.; Chiu, P.-C.; Chyi, J.-I.

    2002-01-01

    This letter presents the lasing properties of InAs/GaAs quantum dot lasers with InGaP cladding layers grown by solid-source molecular-beam epitaxy. These Al-free lasers exhibit a threshold current density of 138 A/cm 2 , an internal loss of 1.35 cm -1 , and an internal quantum efficiency of 31% at room temperature. At a low temperature, a very high characteristic temperature of 425 K and very low threshold current density of 30 A/cm 2 are measured

  16. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  17. Analysis of the auger recombination rate in P+N-n-N-N HgCdTe detectors for HOT applications

    Science.gov (United States)

    Schuster, J.; Tennant, W. E.; Bellotti, E.; Wijewarnasuriya, P. S.

    2016-05-01

    Infrared (IR) photon detectors must be cryogenically cooled to provide the highest possible performance, usually to temperatures at or below ~ 150K. Such low operating temperatures (Top) impose very stringent requirements on cryogenic coolers. As such, there is a constant push in the industry to engineer new detector architectures that operate at higher temperatures, so called higher operating temperature (HOT) detectors. The ultimate goal for HOT detectors is room temperature operation. While this is not currently possibly for photon detectors, significant increases in Top are nonetheless beneficial in terms of reduced size, weight, power and cost (SWAP-C). The most common HgCdTe IR detector architecture is the P+n heterostructure photodiode (where a capital letter indicates a wide band gap relative to the active layer or "AL"). A variant of this architecture, the P+N-n-N-N heterostructure photodiode, should have a near identical photo-response to the P+n heterostructure, but with significantly lower dark diffusion current. The P+N-n-N-N heterostructure utilizes a very low doped AL, surrounded on both sides by wide-gap layers. The low doping in the AL, allows the AL to be fully depleted, which drastically reduces the Auger recombination rate in that layer. Minimizing the Auger recombination rate reduces the intrinsic dark diffusion current, thereby increasing Top. Note when we use the term "recombination rate" for photodiodes, we are actually referring to the net generation and recombination of minority carriers (and corresponding dark currents) by the Auger process. For these benefits to be realized, these devices must be intrinsically limited and well passivated. The focus of this proceeding is on studying the fundamental physics of the intrinsic dark currents in ideal P+N-n-N-N heterostructures, namely Auger recombination. Due to the complexity of these devices, specifically the presence of multiple heterojunctions, numerical device modeling techniques must be

  18. Surface segregation as a means of gettering Cu in liquid-phase-epitaxy silicon thin layers grown from Al-Cu-Si solutions

    Energy Technology Data Exchange (ETDEWEB)

    Wang, T.H.; Ciszek, T.F.; Reedy, R.; Asher, S.; King, D. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    The authors demonstrate that, by using the natural surface segregation phenomenon, Cu can be gettered to the surface from the bulk of silicon layers so that its concentrations in the liquid-phase-epitaxy (LPE) layers are much lower than its solubility at the layer growth temperature and the reported 10{sup 17} cm{sup {minus}3} degradation threshold for solar-cell performance. Secondary-ion mass spectroscopy (SIMS) analysis indicates that, within a micron-deep sub-surface region, Cu accumulates even in as-grown LPE samples. Slower cooling after growth to room temperature enhances this Cu enrichment. X-ray photoelectron spectroscopy (XPS) measurement shows as much as 3.2% Cu in a surface region of about 50 {Angstrom}. More surface-sensitive, ion-scattering spectroscopy (ISS) analysis further reveals about 7% of Cu at the top surface. These results translate to an areal gettering capacity of about 1.0 x 10{sup 16} cm{sup {minus}2}, which is higher than the available total-area density of Cu in the layer and substrate (3.6 x 10{sup 15} cm{sup {minus}2} for a uniform 1.2 x 10{sup 17}cm{sup {minus}3} Cu throughout the layer and substrate with a total thickness of 300 {mu}m).

  19. Effect of Sn Composition in Ge1- x Sn x Layers Grown by Using Rapid Thermal Chemical Vapor Deposition

    Science.gov (United States)

    Kil, Yeon-Ho; Kang, Sukill; Jeong, Tae Soo; Shim, Kyu-Hwan; Kim, Dae-Jung; Choi, Yong-Dae; Kim, Mi Joung; Kim, Taek Sung

    2018-05-01

    The Ge1- x Sn x layers were grown by using rapid thermal chemical-vapor deposition (RTCVD) on boron-doped p-type Si (100) substrates with Sn compositions up to x = 0.83%. In order to obtain effect of the Sn composition on the structural and the optical characteristics, we utilized highresolution X-ray diffraction (HR-XRD), etch pit density (EPD), atomic force microscopy (AFM), Raman spectroscopy, and photocurrent (PC) spectra. The Sn compositions in the Ge1- x Sn x layers were found to be of x = 0.00%, 0.51%, 0.65%, and 0.83%. The root-mean-square (RMS) of the surface roughness of the Ge1- x Sn x layer increased from 2.02 nm to 3.40 nm as the Sn composition was increased from 0.51% to 0.83%, and EPD was on the order of 108 cm-2. The Raman spectra consist of only one strong peak near 300 cm-1, which is assigned to the Ge-Ge LO peaks and the Raman peaks shift to the wave number with increasing Sn composition. Photocurrent spectra show near energy band gap peaks and their peak energies decrease with increasing Sn composition due to band-gap bowing in the Ge1- x Sn x layer. An increase in the band gap bowing parameter was observed with increasing Sn composition.

  20. Adsorption properties of Mg-Al layered double hydroxides thin films grown by laser based techniques

    Energy Technology Data Exchange (ETDEWEB)

    Matei, A., E-mail: andreeapurice@nipne.ro [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Birjega, R.; Vlad, A.; Filipescu, M.; Nedelcea, A.; Luculescu, C. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Zavoianu, R.; Pavel, O.D. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania); Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Laser techniques MAPLE and PLD can successfully be used to produce LDHs thin films. Black-Right-Pointing-Pointer Hydration treatments of the PLD and MAPLE deposited films lead to the LDH reconstruction effect. Black-Right-Pointing-Pointer The Ni retention from aqueous solution occurs in the films via a dissolution-reconstruction mechanism. Black-Right-Pointing-Pointer The films are suitable for applications in remediation of contaminated drinking water or waste waters. - Abstract: Powdered layered double hydroxides (LDHs) have been widely studied due to their applications as catalysts, anionic exchangers or host materials for inorganic and/or organic molecules. Assembling nano-sized LDHs onto flat solid substrates forming thin films is an expanding area of research due to the prospects of novel applications as sensors, corrosion-resistant coatings, components in optical and magnetic devices. Continuous and adherent thin films were grown by laser techniques (pulsed laser deposition - PLD and matrix assisted pulsed laser evaporation - MAPLE) starting from targets of Mg-Al LDHs. The capacity of the grown thin films to retain a metal (Ni) from contaminated water has been also explored. The thin films were immersed in an Ni(NO{sub 3}){sub 2} aqueous solutions with Ni concentrations of 10{sup -3}% (w/w) (1 g/L) and 10{sup -4}% (w/w) (0.1 g/L), respectively. X-ray diffraction (XRD), atomic force microscopy (AFM), scanning electron microscopy (SEM) combined with energy dispersive X-ray analysis (EDX) were the techniques used to characterize the prepared materials.

  1. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  2. GaSb grown from Sn solvent at low temperatures by LPE

    Energy Technology Data Exchange (ETDEWEB)

    Compean, V H; Anda, F de; Mishurnyi, V A; Gorbatchev, A Yu, E-mail: fdeanda@cactus.iico.uaslp.m [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico)

    2009-05-01

    The LPE growth of GaSb using Sn as a solvent has been studied in the temperature range 250-370 C and using liquid solutions covering a wide range of compositions. In order to find the growth conditions the phase diagram has been determined experimentally around the same temperature region. It is shown the Sn incorporates into the grown layers and that it behaves as an acceptor. The photoluminescence spectra of the grown layers with different Sn contents show characteristic peaks that can be attributed to different recombination processes.

  3. The thermal expansion coefficient of Ga/sub x/In/sub 1-x/As/sub y/P/sub 1-y/ epitaxial layers grown on InP substrate

    International Nuclear Information System (INIS)

    Pietsch, U.; Marlow, D.

    1986-01-01

    The amount of the measured room temperature thermal expansion coefficient of tetragonal strained layers grown lattice matched on the InP substrate used is about 30% greater the expected one for a cubic 'relaxed' material. This issue has to be taken into account for the determination of the composition of the quarternary Ga/sub x/In/sub 1-x/As/sub y/P/sub 1-y/ layers from both X-ray and photoluminescence data as well as for the estimation of the thermally created stress field of optoelectronic devices. (author)

  4. Evidence for possible quantum dot interdiffusion induced by cap layer growth

    International Nuclear Information System (INIS)

    Jasinski, J.; Czeczott, M.; Gladysz, A.; Babinski, A.; Kozubowski, J.

    1999-01-01

    Self-organised InGaAs quantum dots were grown on (001) GaAs substrates and covered with two different types of cap layers grown at significantly different temperatures. In order to determine quantum dot emission energy and dot size distribution, photoluminescence and transmission electron microscopy studies were carried out on such samples. Simple theoretical model neglecting effect of interdiffusion allowed for correlation between quantum dot size and photoluminescence emission energy only in the case of dots covered by cap layers grown at the lower temperature. For dots covered by layers grown at the higher temperature such correlation was possible only when strong interdiffusion was assumed. (author)

  5. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  6. HgCdTe Avalanche Photodiode Detectors for Airborne and Spaceborne Lidar at Infrared Wavelengths

    Science.gov (United States)

    Sun, Xiaoli; Abshire, James B.; Beck, Jeffrey D.; Mitra, Pradip; Reiff, Kirk; Yang, Guangning

    2017-01-01

    We report results from characterizing the HgCdTe avalanche photodiode (APD) sensorchip assemblies (SCA) developed for lidar at infrared wavelength using the high density vertically integrated photodiodes (HDVIP) technique. These devices demonstrated high quantum efficiency, typically greater than 90 between 0.8 micrometers and the cut-off wavelength, greater than 600 APD gain, near unity excess noise factor, 6-10 MHz electrical bandwidth and less than 0.5 fW/Hz(exp.1/2) noise equivalent power (NEP). The detectors provide linear analog output with a dynamic range of 2-3 orders of magnitude at a fixed APD gain without averaging, and over 5 orders of magnitude by adjusting the APD and preamplifier gain settings. They have been successfully used in airborne CO2 and CH4 integrated path differential absorption (IPDA) lidar as a precursor for space lidar applications.

  7. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  8. Studying physical properties of CuInS2 absorber layers grown by spin coating method on different kinds of substrates

    Science.gov (United States)

    Amerioun, M. H.; Ghazi, M. E.; Izadifard, M.

    2018-03-01

    In this work, first the CuInS2 (CIS2) layers are deposited on Aluminum and polyethylene terephthalate (PET) as flexible substrates, and on glass and soda lime glass (SLG) as rigid substrates by the sol-gel method. Then the samples are analyzed by x-ray diffractomery (XRD) and atomic force microscope (AFM) to investigate the crystal structures and surface roughness of the samples. The I-V curve measurements and Seebeck effect setup are used to measure the electrical properties of the samples. The XRD data obtained for the CIS2 layers show that all the prepared samples have a single phase with a preferred orientation that is substrate-dependent. The samples grown on the rigid substrates had higher crystallite sizes. The results obtained for the optical measurements indicate the dependence of the band gap energy on the substrate type. The measured Seebeck coefficient showed that the carriers were of p-type in all the samples. According to the AFM images, the surface roughness also varied in the CIS2 layers with different substrates. In this regard, the type of substrate could be an important parameter for the final performance of the fabricated CIS2 cells.

  9. Phase-coherent electron transport in (Zn, Al)O{sub x} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2014-11-24

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)O{sub x} thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al{sub 2}O{sub 3} sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length (l{sub φ}∝T{sup −3/4}), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  10. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  11. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  12. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  13. Low temperature grown GaNAsSb: A promising material for photoconductive switch application

    Energy Technology Data Exchange (ETDEWEB)

    Tan, K. H.; Yoon, S. F.; Wicaksono, S.; Loke, W. K.; Li, D. S. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Saadsaoud, N.; Tripon-Canseliet, C. [Laboratoire d' Electronique et Electromagnétisme, Pierre and Marie Curie University, 4 Place Jussieu, 75005 Paris (France); Lampin, J. F.; Decoster, D. [Institute of Electronics, Microelectronics and Nanotechnology (IEMN), UMR CNRS 8520, Universite des Sciences et Technologies de Lille, BP 60069, 59652 Villeneuve d' Ascq Cedex (France); Chazelas, J. [Thales Airborne Systems, 2 Avenue Gay Lussac, 78852 Elancourt (France)

    2013-09-09

    We report a photoconductive switch using low temperature grown GaNAsSb as the active material. The GaNAsSb layer was grown at 200 °C by molecular beam epitaxy in conjunction with a radio frequency plasma-assisted nitrogen source and a valved antimony cracker source. The low temperature growth of the GaNAsSb layer increased the dark resistivity of the switch and shortened the carrier lifetime. The switch exhibited a dark resistivity of 10{sup 7} Ω cm, a photo-absorption of up to 2.1 μm, and a carrier lifetime of ∼1.3 ps. These results strongly support the suitability of low temperature grown GaNAsSb in the photoconductive switch application.

  14. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  15. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  16. Surface oxidation phenomena of boride coatings grown on iron

    International Nuclear Information System (INIS)

    Carbucicchio, M.; Palombarini, G.; Sambogna, G.

    1992-01-01

    Very hard boride coatings are grown on various metals using thermochemical as well as chemical vapour deposition techniques. In this way many surface properties, and in particular the wear resistance, can be considerably improved. Usually, also the corrosion behaviour of the treated components is important. In particular, oxidizing atmospheres are involved in many applications where, therefore, coating-environment interactions can play a relevant role. In a previous work, the early stages of the oxidation of iron borides were studied by treating single phase compacted powders in flowing oxygen at low temperatures (300-450deg C). In the present paper, the attention is addressed to the oxidation of both single phase and polyphase boride coatings thermochemically grown on iron. The single phase boride coatings were constituted by Fe 2 B, while the polyphase coatings were constituted by an inner Fe 2 B layer and an outer FeB-base layer. All the boride layers displayed strong (002) preferred crystallographic orientations. (orig.)

  17. Rubrene epitaxial layers for organic TFT's grown by hot wall epitaxy

    International Nuclear Information System (INIS)

    Abd AL-Baqi, S.

    2010-01-01

    discussed in a statistical analysis as well as using thermodynamic modelling. Rubrene films were grown on different substrates as well as applying electrical field during the growth to study the growth mechanism. Optical characterisation techniques like fluorescence microscopy (FM), laser scanning confocal microscopy (LSCM), time resolved photoluminescence spectra and thermally stimulated luminescence were implemented to analyse various growth conditions to obtain more details about the material and the crystallization properties. Ellipsometry was also used to find the optical parameters for rubrene thin films. By using a special evaluation program for ellipsometry, it was possible to find the thickness of rubrene films. Finally, field effect transistors with rubrene thin films as an active layer were fabricated and characterized. Better output characteristics are achieved by using a self-assembled monolayer at the interface between SiO 2 and rubrene. (author) [de

  18. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  19. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  20. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam [School of Physics, University of Hyderabad, Hyderabad-500046 (India); Madhurima, V., E-mail: madhurima.v@gmail.com [Department of Physics, Central University of Tamil Nadu, Thiruvarur-610004 (India)

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  1. Effect of Al/N ratio during nucleation layer growth on Hall mobility and buffer leakage of molecular-beam epitaxy grown AlGaN/GaN heterostructures

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Shanabrook, B.V.; Zhou Lin; Smith, David J.

    2004-01-01

    AlGaN/GaN high electron mobility transistor structures have been grown by plasma-assisted molecular beam epitaxy on semi-insulating 4H-SiC utilizing an AlN nucleation layer. The electron Hall mobility of these structures increases from 1050 cm 2 /V s to greater than 1450 cm 2 /V s when the Al/N flux ratio during the growth of the nucleation layer is increased from 0.90 to 1.07. Buffer leakage currents increase abruptly by nearly three orders of magnitude when the Al/N ratio increases from below to above unity. Transmission electron microscopy indicates that high buffer leakage is correlated with the presence of stacking faults in the nucleation layer and cubic phase GaN in the buffer, while low mobilities are correlated with high dislocation densities

  2. Heteroepitaxially grown InP solar cells

    International Nuclear Information System (INIS)

    Weinberg, I.; Swartz, C.K.; Brinker, D.J.; Wilt, D.M.

    1990-01-01

    Although they are significantly more radiation resistant than either Si or GaAs solar cells, their high wafer cost presents a barrier to the widespread use of InP solar cells in space. For this reason, the authors have initiated a program aimed at producing high efficiency, radiation resistant solar cells processed from InP heteroepitaxially grown on cheaper substrates. The authors' objective is to present the most recent results emanating from this program together with the results of their initial proton irradiations on these cells. This paper reports that InP cells were processed from a 4 micron layer of InP, grown by OMCVD on a silicon substrate, with a 0.5 micron buffer layer between the InP directly grown on a GaAs substrate. Initial feasibility studies, in a Lewis sponsored program at the Spire corporation, resulted in air mass zero efficiencies of 7.1% for the former cells and 9.1% for the latter. These initial low efficiencies are attributed to the high dislocation densities caused by lattice mismatch. The authors' preirradiation analysis indicates extremely low minority carrier diffusion lengths, in both cell base and emitter, and high values of both the diffusion and recombination components of the diode reverse saturation currents. Irradiation by 10 MeV protons, to a fluence of 10 13 cm -2 , resulted in relatively low degradation in cell efficiency, short circuit current and open circuit voltage

  3. Dependence of the Mg-related acceptor ionization energy with the acceptor concentration in p-type GaN layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Brochen, Stéphane; Brault, Julien; Chenot, Sébastien; Dussaigne, Amélie; Leroux, Mathieu; Damilano, Benjamin

    2013-01-01

    Hall effect and capacitance-voltage C(V) measurements were performed on p-type GaN:Mg layers grown on GaN templates by molecular beam epitaxy with a high range of Mg-doping concentrations. The free hole density and the effective dopant concentration N A −N D as a function of magnesium incorporation measured by secondary ion mass spectroscopy clearly reveal both a magnesium doping efficiency up to 90% and a strong dependence of the acceptor ionization energy Ea with the acceptor concentration N A . These experimental observations highlight an isolated acceptor binding energy of 245±25 meV compatible, at high acceptor concentration, with the achievement of p-type GaN:Mg layers with a hole concentration at room temperature close to 10 19 cm −3

  4. Direct insight into grains formation in Si layers grown on 3C-SiC by chemical vapor deposition

    International Nuclear Information System (INIS)

    Khazaka, Rami; Portail, Marc; Vennéguès, Philippe; Alquier, Daniel; Michaud, Jean François

    2015-01-01

    Graphical abstract: In this contribution, we demonstrated the influence of the 3C-SiC layer on the subsequent growth of Si epilayers. We were able to give a direct evidence that the rotation in the Si epilayer of 90° around the growth direction occurs exactly on the termination of an antiphase boundary in the 3C-SiC layer as shown in the figure above. Thus, increasing the layer thickness of the 3C-SiC leads to a direct improvement of the crystalline quality of the subsequent Si epilayer. (a) Cross-section bright-field TEM image of the Si/3C-SiC layer stack along two 3C-SiC zone axes [1 −1 0] and [1 1 0] (equivalent to [1 −1 1] and [1 1 2] in Si, respectively), (b) dark field image selecting a (2 0 −2) electron diffraction spot indicated by the black circle in the SAED shown as inset, (c) dark field image selecting a (−1 1 −1) electron diffraction spot indicated by the black circle in the SAED shown as inset. The dotted white line in the images show the position of the defect in the 3C-SiC layer. - Abstract: This work presents a structural study of silicon (Si) thin films grown on cubic silicon carbide (3C-SiC) by chemical vapor deposition. The presence of grains rotated by 90° around the growth direction in the Si layer is directly related to the presence of antiphase domains on the 3C-SiC surface. We were able to provide a direct evidence that the 90° rotation of Si grains around the growth direction occurs exactly on the termination of antiphase boundaries (APBs) in 3C-SiC layer. Increasing the 3C-SiC thickness reduces the APBs density on 3C-SiC surface leading to a clear improvement of the uppermost Si film crystal quality. Furthermore, we observed by high resolution plan-view TEM images the presence of hexagonal Si domains limited to few nm in size. These hexagonal Si domains are inclusions in small Si grains enclosed in larger ones rotated by 90°. Finally, we propose a model of grains formation in the Si layer taking into consideration the effect

  5. Resistance Switching Memory Characteristics of Si/CaF2/CdF2 Quantum-Well Structures Grown on Metal (CoSi2) Layer

    Science.gov (United States)

    Denda, Junya; Uryu, Kazuya; Watanabe, Masahiro

    2013-04-01

    A novel scheme of resistance switching random access memory (ReRAM) devices fabricated using Si/CaF2/CdF2/CaF2/Si quantum-well structures grown on metal CoSi2 layer formed on a Si substrate has been proposed, and embryonic write/erase memory operation has been demonstrated at room temperature. It has been found that the oxide-mediated epitaxy (OME) technique for forming the CoSi2 layer on Si dramatically improves the stability and reproducibility of the current-voltage (I-V) curve. This technology involves 10-nm-thick Co layer deposition on a protective oxide prepared by boiling in a peroxide-based solution followed by annealing at 550 °C for 30 min for silicidation in ultrahigh vacuum. A switching voltage of lower than 1 V, a peak current density of 32 kA/cm2, and an ON/OFF ratio of 10 have been observed for the sample with the thickness sequence of 0.9/0.9/2.5/0.9/5.0 nm for the respective layers in the Si/CaF2/CdF2/CaF2/Si structure. Results of surface morphology analysis suggest that the grain size of crystal islands with flat surfaces strongly affects the quality of device characteristics.

  6. Structural Properties of Zinc Oxide Nanorods Grown on Al-Doped Zinc Oxide Seed Layer and Their Applications in Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Kyung Ho Kim

    2014-03-01

    Full Text Available We fabricated zinc oxide (ZnO nanorods (NRs with Al-doped ZnO (AZO seed layers and dye-sensitized solar cells (DSSCs employed the ZnO NRs between a TiO2 photoelectrode and a fluorine-doped SnO2 (FTO electrode. The growth rate of the NRs was strongly dependent on the seed layer conditions, i.e., thickness, Al dopant and annealing temperature. Attaining a large particle size with a high crystallinity of the seed layer was vital to the well-aligned growth of the NRs. However, the growth was less related to the substrate material (glass and FTO coated glass. With optimized ZnO NRs, the DSSCs exhibited remarkably enhanced photovoltaic performance, because of the increase of dye absorption and fast carrier transfer, which, in turn, led to improved efficiency. The cell with the ZnO NRs grown on an AZO seed layer annealed at 350 °C showed a short-circuit current density (JSC of 12.56 mA/cm2, an open-circuit voltage (VOC of 0.70 V, a fill factor (FF of 0.59 and a power conversion efficiency (PCE, η of 5.20% under air mass 1.5 global (AM 1.5G illumination of 100 mW/cm2.

  7. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  8. Hydroxyapatite growth on multiwall carbon nanotubes grown on titanium fibers from a titanium sheet

    KAUST Repository

    Chetibi, Loubna

    2013-09-27

    Nano-hydroxyapatite (HA) was grown on functionalized multiwalled carbon nanotubes (MWCNTs) deposited on TiO2 nanofibers (NFs) that were hydrothermally grown on Ti metal sheets. The HA was electrochemically grown on the MWCNTs/TiO2 porous layer. It was found that the HA grows on the MWCNTs/TiO2 NFs in the form of dense coating with nanorice grain-shaped. The incorporation of MWCNTs between HA and TiO2 NFs has led to higher adhesion strength as measured by micro-scratching test indicating the benefit of MWCNTs on the improving the bonding strength of HA layer. The obtained coatings exhibit excellent corrosion resistance in simulated body fluid. It is expected that this simple route for preparing the new HA/MWCNTs/TiO2/Ti-layered structure might be used not only in the biomedical field, but also in catalysis and biological sensing among others. © 2013 Springer Science+Business Media New York.

  9. Hydroxyapatite growth on multiwall carbon nanotubes grown on titanium fibers from a titanium sheet

    KAUST Repository

    Chetibi, Loubna; Achour, Amine; Peszke, Jerzy; Hamana, Djamel; Achour, Slimane

    2013-01-01

    Nano-hydroxyapatite (HA) was grown on functionalized multiwalled carbon nanotubes (MWCNTs) deposited on TiO2 nanofibers (NFs) that were hydrothermally grown on Ti metal sheets. The HA was electrochemically grown on the MWCNTs/TiO2 porous layer. It was found that the HA grows on the MWCNTs/TiO2 NFs in the form of dense coating with nanorice grain-shaped. The incorporation of MWCNTs between HA and TiO2 NFs has led to higher adhesion strength as measured by micro-scratching test indicating the benefit of MWCNTs on the improving the bonding strength of HA layer. The obtained coatings exhibit excellent corrosion resistance in simulated body fluid. It is expected that this simple route for preparing the new HA/MWCNTs/TiO2/Ti-layered structure might be used not only in the biomedical field, but also in catalysis and biological sensing among others. © 2013 Springer Science+Business Media New York.

  10. P-N junction solar cell grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hazrati Fard, M.

    2001-01-01

    Growth of GaAs epilayers by Molecular Beam Epitaxy was accomplished for the first time in Iran. The layers were grown on GaAs (001) substrates (p+ wafer) with Si impurity for p n junction solar cell fabrication at a rate of nearly one micron per hour and 0.25 micron per quarter. Crystalline quality of grown layers had been monitored during growth by Reflection High Energy Electron Diffraction system. Doping profile and layer thickness was assessed by electrochemical C-V profiling method. Then Hall measurements were conducted on small samples both in room temperature and liquid nitrogen temperature so giving average carrier concentration and compensation ratio. The results as like: V oc , I sc , F F, η were comparable with other laboratory reports. information for obtaining good and repeatable growths was collected. Therefore, the conditions of repeatable quality growth p n junction solar cells onto GaAs (001) substrates were determined

  11. Structural and electrical properties of Ge(111) films grown on Si(111) substrates and application to Ge(111)-on-Insulator

    Energy Technology Data Exchange (ETDEWEB)

    Sawano, K., E-mail: sawano@tcu.ac.jp [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Hoshi, Y.; Kubo, S. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Arimoto, K.; Yamanaka, J.; Nakagawa, K. [Center for Crystal Science and Technology, University of Yamanashi, 7 Miyamae-cho, Kofu (Japan); Hamaya, K. [Graduate School of Engineering Science, Osaka University, Toyonaka, Osaka (Japan); Miyao, M. [Department of Electronics, Kyushu University, 744 Motooka, Fukuoka (Japan); Shiraki, Y. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan)

    2016-08-31

    Structural and electrical properties of a Ge(111) layer directly grown on a Si(111) substrate are studied. Via optimized two-step growth manner, we form a high-quality relaxed Ge layer, where strain-relieving dislocations are confined close to a Ge/Si interface. Consequently, a density of holes, which unintentionally come from crystal defects, is highly suppressed below 4 × 10{sup 16} cm{sup −3}, which leads to significantly high hole Hall mobility exceeding 1500 cm{sup 2}/Vs at room temperature. By layer transfer of the grown Ge layer, we also fabricate a Ge(111)-on-Insulator, which is a promising template for high-performance Ge-based electronic and photonic devices. - Highlights: • A high-quality Ge layer is epitaxially grown on a Si(111) by two-step growth manner. • Growth conditions, such as growth temperatures, are optimized. • Very high hole mobility is obtained from Ge(111) grown on Si(111). • High-quality thin Ge-on-Insulator with (111) orientation is obtained.

  12. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  13. Buffer layers grown by replicating the texture of an original template tape

    International Nuclear Information System (INIS)

    Lim, Sunme; Yoo, Jaeun; Park, Chan; Youm, Dojun

    2007-01-01

    We propose a fabrication method of the buffer layers, whose biaxial textures are replicated from an original template tape. The purpose of this method is economical texturing process for coated conductors. At first we prepared a biaxially textured metal tape (TM-tape). Then a sacrifice layer (SA), a buffer layer (BU) and a thick metallic layer (SM) were sequentially deposited on the TM-tape. SA-layer and BU-layer were deposited epitaxially to copy the texture of the TM-tape. SA-layer was dissoluble in water. SM-layer with the textured BU-layer was separated and could be used for a supporting tape for the further growth of a superconducting layer. In this way, it is possible to reuse the original textured TM-tape many times. In this paper, we report the results of our experiments, in which we used a biaxially Ni tape, BaO film, STO film, and a thick Ag film for TM-tape, SA-layer, BU-layer, and SM-layer, respectively. The Ag/STO layers were successfully separated form the Ni tape by dissolving the BaO layer in water. The texture quality of the STO layer was well secured after the separation

  14. Amorphous-tetrahedral diamondlike carbon layered structures resulting from film growth energetics

    Science.gov (United States)

    Siegal, M. P.; Barbour, J. C.; Provencio, P. N.; Tallant, D. R.; Friedmann, T. A.

    1998-08-01

    High-resolution transmission electron microscopy (HRTEM) shows that amorphous-tetrahedral diamondlike carbon (a-tC) films grown by pulsed-laser deposition on Si(100) consist of three-to-four layers, depending on the growth energetics. We estimate the density of each layer using both HRTEM image contrast and Rutherford backscattering spectrometry. The first carbon layer and final surface layer have relatively low density. The bulk of the film between these two layers has higher density. For films grown under the most energetic conditions, there exists a superdense a-tC layer between the interface and bulk layers. The density of all four layers, and the thickness of the surface and interfacial layers, correlate well with the energetics of the depositing carbon species.

  15. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  16. HgCdTe APD-based linear-mode photon counting components and ladar receivers

    Science.gov (United States)

    Jack, Michael; Wehner, Justin; Edwards, John; Chapman, George; Hall, Donald N. B.; Jacobson, Shane M.

    2011-05-01

    Linear mode photon counting (LMPC) provides significant advantages in comparison with Geiger Mode (GM) Photon Counting including absence of after-pulsing, nanosecond pulse to pulse temporal resolution and robust operation in the present of high density obscurants or variable reflectivity objects. For this reason Raytheon has developed and previously reported on unique linear mode photon counting components and modules based on combining advanced APDs and advanced high gain circuits. By using HgCdTe APDs we enable Poisson number preserving photon counting. A metric of photon counting technology is dark count rate and detection probability. In this paper we report on a performance breakthrough resulting from improvement in design, process and readout operation enabling >10x reduction in dark counts rate to ~10,000 cps and >104x reduction in surface dark current enabling long 10 ms integration times. Our analysis of key dark current contributors suggest that substantial further reduction in DCR to ~ 1/sec or less can be achieved by optimizing wavelength, operating voltage and temperature.

  17. Correlation between (in)commensurate domains of multilayer epitaxial graphene grown on SiC(0 0 0 1-bar ) and single layer electronic behavior

    International Nuclear Information System (INIS)

    Mendes-de-Sa, T G; Goncalves, A M B; Matos, M J S; Coelho, P M; Magalhaes-Paniago, R; Lacerda, R G

    2012-01-01

    A systematic study of the evolution of the electronic behavior and atomic structure of multilayer epitaxial graphene (MEG) as a function of growth time was performed. MEG was obtained by sublimation of a 4H-SiC(0 0 0 1-bar ) substrate in an argon atmosphere. Raman spectroscopy and x-ray diffraction were carried out in samples grown for different times. For 30 min of growth the sample Raman signal is similar to that of graphite, while for 60 min the spectrum becomes equivalent to that of exfoliated graphene. Conventional x-ray diffraction reveals that all the samples have two different (0001) lattice spacings. Grazing incidence x-ray diffraction shows that thin films are composed of rotated (commensurate) structures formed by adjacent graphene layers. Thick films are almost completely disordered. This result can be directly correlated to the single layer electronic behavior of the films as observed by Raman spectroscopy. Finally, to understand the change in lattice spacings as a result of layer rotation, we have carried out first principles calculations (using density functional theory) of the observed commensurate structures. (paper)

  18. Transmission electron microscopy studies of HfO{sub 2} thin films grown by chloride-based atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Aidla, A. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia); Aarik, J. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia)

    2006-11-15

    Detailed transmission electron microscopy characterization of HfO{sub 2} films deposited on Si(1 0 0) using atomic layer deposition has been carried out. The influence of deposition temperature has been investigated. At 226 deg. C, a predominantly quasi-amorphous film containing large grains of cubic HfO{sub 2} (a {sub 0} = 5.08 A) was formed. Grain morphology enabled the nucleation sites to be determined. Hot stage microscopy showed that both the cubic phase and the quasi-amorphous phase were very resistant to thermal modification up to 500 deg. C. These observations suggest that nucleation sites for the growth of the crystalline cubic phase form at the growing surface of the film, rather homogeneously within the film. The films grown at higher temperatures (300-750 deg. C) are crystalline and monoclinic. The principal effects of deposition temperature were on: grain size, which coarsens at the highest temperature; roughness with increases at the higher temperatures due to the prismatic faceting, and texture, with texturing being strongest at intermediate temperatures. Detailed interfacial characterization shows that interfacial layers of SiO{sub 2} form at low and high temperatures. However, at intermediate temperatures, interfaces devoid of SiO{sub 2} were formed.

  19. Method to grow group III-nitrides on copper using passivation layers

    Science.gov (United States)

    Li, Qiming; Wang, George T; Figiel, Jeffrey T

    2014-06-03

    Group III-nitride epilayers can be grown directly on copper substrates using intermediate passivation layers. For example, single crystalline c-plane GaN can be grown on Cu (110) substrates with MOCVD. The growth relies on a low temperature AlN passivation layer to isolate any alloying reaction between Ga and Cu.

  20. The optical properties of transferred graphene and the dielectrics grown on it obtained by ellipsometry

    Science.gov (United States)

    Kasikov, Aarne; Kahro, Tauno; Matisen, Leonard; Kodu, Margus; Tarre, Aivar; Seemen, Helina; Alles, Harry

    2018-04-01

    Graphene layers grown by chemical vapour deposition (CVD) method and transferred from Cu-foils to the oxidized Si-substrates were investigated by spectroscopic ellipsometry (SE), Raman and X-Ray Photoelectron Spectroscopy (XPS) methods. The optical properties of transferred CVD graphene layers do not always correspond to the ones of the exfoliated graphene due to the contamination from the chemicals used in the transfer process. However, the real thickness and the mean properties of the transferred CVD graphene layers can be found using ellipsometry if a real thickness of the SiO2 layer is taken into account. The pulsed laser deposition (PLD) and atomic layer deposition (ALD) methods were used to grow dielectric layers on the transferred graphene and the obtained structures were characterized using optical methods. The approach demonstrated in this work could be useful for the characterization of various materials grown on graphene.

  1. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  2. Ellipsometry study on Pd thin film grown by atomic layer deposition with Maxwell–Garnett effective medium approximation model

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yihang; Zhou, Xueqi; Cao, Kun [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Xiuguo; Deng, Zhang [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan, E-mail: shyliu@hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Shan, Bin [State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Rong, E-mail: rongchen@mail.hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2015-10-30

    Maxwell–Garnett effective medium approximation (MG-EMA) model is chosen to study Pd ultrathin film grown on Si substrate, as well as its growth on self-assembled monolayers (SAMs) modified substrate respectively. The general oscillator (GO) model with one Drude and two Lorentz oscillators is firstly applied to fix the optical constants of Pd. Compared with Pd bulk model, MG-EMA model with GO is more reliable to predict the film thickness verified by X-ray reflection test. The stable growth rate on Si substrate reveals our methods are feasible and the quartz crystal microbalance measurement confirms the stability of the ALD chamber. For Pd coverage, MG-EMA fitting result is similar to the statistical computation from scanning electron microscope when Pd ALD cycles are over 400, while large bias exists for cycles under 400, might be due to that air is not the proper filling medium between nanoparticles. Then we change the filling medium into SAMs as a comparison, better fitting performance is obtained. It is demonstrated that the filling medium between nanoparticles is important for the application of MG-EMA model. - Highlights: • Ultrathin Pd thin films were grown by atomic layer deposition. • The measurement of thin film was important to understand initial growth behavior. • Maxwell–Garnett effective medium approximation model was applied. • Pd nanoparticle size and coverage were studied. • The filling medium between nanoparticles was important for model application.

  3. Efficient n-type doping of CdTe epitaxial layers grown by photo-assisted molecular beam epitaxy with the use of chlorine

    Energy Technology Data Exchange (ETDEWEB)

    Hommel, D.; Scholl, S.; Kuhn, T.A.; Ossau, W.; Waag, A.; Landwehr, G. (Univ. Wuerzburg, Physikalisches Inst. (Germany)); Bilger, G. (Univ. Stuttgart, Inst. fuer Physikalische Elektronik (Germany))

    1993-01-30

    Chlorine has been used successfully for the first time for n-type doping of CdTe epitaxial layers (epilayers) grown by photo-assisted molecular beam epitaxy. Similar to n-type doping of ZnSe layers, ZnCl[sub 2] has been used as source material. The free-carrier concentration can be varied over more than three orders of magnitude by changing the ZnCl[sub 2] oven temperature. Peak mobilities are 4700 cm[sup 2] V[sup -1] s[sup -1] for an electron concentration of 2x10[sup 16] cm[sup -3] and 525 cm[sup 2] V[sup -1] s[sup -1] for 2x10[sup 18] cm[sup -3]. The electrical transport data obtained by Van der Pauw configuration and Hall structure measurements are consistent with each other, indicating a good uniformity of the epilayers. In photoluminescence the donor-bound-exciton emission dominates for all chlorine concentrations. This contasts significantly with results obtained for indium doping, commonly used for obtaining n-type CdTe epilayers. The superiority of chlorine over indium doping and the influence of growth parameters on the behaviour of CdTe:Cl layers will be discussed on the basis of transport, luminescence, secondary ion mass spectroscopy and X-ray photoelectron spectroscopy data. (orig.).

  4. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  5. A study of 1/f noise in InP grown by CBE

    NARCIS (Netherlands)

    Chen, X.Y.; Leijs, M.R.

    1996-01-01

    The origin of low-frequency noise in InP was studied experimentally by measuring the noise of InP layers grown by chemical beam epitaxy (CBE). Such InP layers are unintentionally doped, but of varying purity and always of n-type conductivity. We performed noise measurements at temperatures from 77

  6. Effects of TiO{sub 2} buffer layer on the photoelectrochemical properties of TiO{sub 2} Nano rods grown by modified chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Tae-hyun; Ha, Jin-wook; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2015-08-15

    In this study, we grew TiO{sub 2} nano rods on TiO{sub 2}-film buffered FTO substrate using modified chemical bath deposition (M-CBD). The TiO{sub 2} buffer layer was grown by spin coating method with different RPM (revolutions per minute) values and deposition cycles. We investigated the effects of the RPM values and the deposition cycles on the morphological, structural and photoelectrochemical properties of TiO{sub 2} nano rods. In this work, we have also found that the morphological and structural properties of TiO{sub 2} nano rods affected the photoelectrochemical properties of TiO{sub 2} nano rods. And the maximum photocurrent density of 0.34 mA/cm{sup 2} at 0.6V (vs.SCE) was obtained from the buffer layer deposition process condition of 4,000 RPM and two-times buffer layer depositions.

  7. UV light induced insulator-metal transition in ultra-thin ZnO/TiO{sub x} stacked layer grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2016-08-28

    In the present study, atomic layer deposition has been used to grow a series of Ti incorporated ZnO thin films by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers on (0001) sapphire substrates. The effects of defect states mediated chemisorption of O{sub 2} and/OH groups on the electrical properties of these films have been investigated by illuminating the samples under UV light inside a high vacuum optical cryostat. The ultra-thin film having one stacked layer (n = 1) did not show any change in its electrical resistance upon UV light exposure. On the contrary, marginal drop in the electrical resistivity was measured for the samples with n ≥ 3. Most surprisingly, the sample with n = 2 (thickness ∼ 12 nm) showed an insulator to metal transition upon UV light exposure. The temperature dependent electrical resistivity measurement on the as grown film (n = 2) showed insulating behaviour, i.e., diverging resistivity on extrapolation to T→ 0 K. However, upon UV light exposure, it transformed to a metallic state, i.e., finite resistivity at T → 0 K. Such an insulator-metal transition plausibly arises due to the de-trapping of conduction electrons from the surface defect sites which resulted in an upward shift of the Fermi level above the mobility edge. The low-temperature electron transport properties on the insulating film (n = 2) were investigated by a combined study of zero field electrical resistivity ρ(T) and magnetoresistance (MR) measurements. The observed negative MR was found to be in good agreement with the magnetic field induced suppression of quantum interference between forward-going paths of tunnelling electrons. Both ρ(T) and MR measurements provided strong evidence for the Efros-Shklovskii type variable range hopping conduction in the low-temperature (≤40 K) regime. Such studies on electron transport in ultra-thin n-type doped ZnO films are crucial to achieve optimum functionality

  8. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  9. Photovoltaic device comprising compositionally graded intrinsic photoactive layer

    Science.gov (United States)

    Hoffbauer, Mark A; Williamson, Todd L

    2013-04-30

    Photovoltaic devices and methods of making photovoltaic devices comprising at least one compositionally graded photoactive layer, said method comprising providing a substrate; growing onto the substrate a uniform intrinsic photoactive layer having one surface disposed upon the substrate and an opposing second surface, said intrinsic photoactive layer consisting essentially of In.sub.1-xA.sub.xN,; wherein: i. 0.ltoreq.x.ltoreq.1; ii. A is gallium, aluminum, or combinations thereof; and iii. x is at least 0 on one surface of the intrinsic photoactive layer and is compositionally graded throughout the layer to reach a value of 1 or less on the opposing second surface of the layer; wherein said intrinsic photoactive layer is isothermally grown by means of energetic neutral atom beam lithography and epitaxy at a temperature of 600.degree. C. or less using neutral nitrogen atoms having a kinetic energy of from about 1.0 eV to about 5.0 eV, and wherein the intrinsic photoactive layer is grown at a rate of from about 5 nm/min to about 100 nm/min.

  10. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  11. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  12. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  13. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    Energy Technology Data Exchange (ETDEWEB)

    Ayari, Taha; Li, Xin; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Sundaram, Suresh; El Gmili, Youssef [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Salvestrini, Jean Paul [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Université de Lorraine, LMOPS, EA 4423, 57070 Metz (France)

    2016-04-25

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure to be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.

  14. Structure and electronic properties of Zn-tetra-phenyl-porphyrin single- and multi-layers films grown on Fe(001)-p(1 × 1)O

    Energy Technology Data Exchange (ETDEWEB)

    Bussetti, Gianlorenzo, E-mail: gianlorenzo.bussetti@polimi.it; Calloni, Alberto; Celeri, Matteo; Yivlialin, Rossella; Finazzi, Marco; Bottegoni, Federico; Duò, Lamberto; Ciccacci, Franco

    2016-12-30

    Highlights: • ZnTPP/Fe(001)-p(1 × 1)O is a prototypical system to investigate the porphyrin/thin metal oxide film interaction. • Oxygen layer plays a crucial role in decreasing the porphyrin-substrate interaction. • An ordered ZnTPP (5 × 5) reconstruction is found on the nominal 1 ML-thick film. • On Fe(001)-p(1 × 1)O the electronic properties of the ZnTPP film are preserved with respect to other substrates. - Abstract: The structure and the electronic properties of thin (1 molecular layer) and thick (20 molecular layers) Zn-tetra-phenyl-porphyrin (ZnTPP) films grown on a single metal oxide (MO) layer, namely Fe(001)-p(1 × 1)O, are shown and discussed. During the first stages of deposition, the ultra-thin MO layer reduces the molecule-substrate interaction enhancing the molecular diffusivity with the respect to other investigated substrates [namely, Si(111), Au(001) and oxygen-free Fe(001)]. On Fe(001)-p(1 × 1)O, ZnTPP molecules form an ordered and stable square-lattice array. The photoemission analysis of the valence bands reveals that all the characteristic features of the molecule are already visible in the 1 monolayer-thick sample spectrum. Similarly, the core level investigation suggests a weak molecule perturbation. The ZnTPP/Fe(001)-p(1 × 1)O interface represents a prototypical system to investigate the organic film adhesion on ultra-thin MO layers and the processes involved during the film growth.

  15. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  16. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  17. Deep level defects in Ge-doped (010) β-Ga2O3 layers grown by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Farzana, Esmat; Ahmadi, Elaheh; Speck, James S.; Arehart, Aaron R.; Ringel, Steven A.

    2018-04-01

    Deep level defects were characterized in Ge-doped (010) β-Ga2O3 layers grown by plasma-assisted molecular beam epitaxy (PAMBE) using deep level optical spectroscopy (DLOS) and deep level transient (thermal) spectroscopy (DLTS) applied to Ni/β-Ga2O3:Ge (010) Schottky diodes that displayed Schottky barrier heights of 1.50 eV. DLOS revealed states at EC - 2.00 eV, EC - 3.25 eV, and EC - 4.37 eV with concentrations on the order of 1016 cm-3, and a lower concentration level at EC - 1.27 eV. In contrast to these states within the middle and lower parts of the bandgap probed by DLOS, DLTS measurements revealed much lower concentrations of states within the upper bandgap region at EC - 0.1 - 0.2 eV and EC - 0.98 eV. There was no evidence of the commonly observed trap state at ˜EC - 0.82 eV that has been reported to dominate the DLTS spectrum in substrate materials synthesized by melt-based growth methods such as edge defined film fed growth (EFG) and Czochralski methods [Zhang et al., Appl. Phys. Lett. 108, 052105 (2016) and Irmscher et al., J. Appl. Phys. 110, 063720 (2011)]. This strong sensitivity of defect incorporation on crystal growth method and conditions is unsurprising, which for PAMBE-grown β-Ga2O3:Ge manifests as a relatively "clean" upper part of the bandgap. However, the states at ˜EC - 0.98 eV, EC - 2.00 eV, and EC - 4.37 eV are reminiscent of similar findings from these earlier results on EFG-grown materials, suggesting that possible common sources might also be present irrespective of growth method.

  18. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  19. Nanomechanical mapping of graphene layers and interfaces in suspended graphene nanostructures grown via carbon diffusion

    Energy Technology Data Exchange (ETDEWEB)

    Robinson, B.J. [Department of Physics, Lancaster University, Lancaster LA1 4YB (United Kingdom); Rabot, C. [CEA-LETI-Minatec Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 09 (France); Mazzocco, R. [Department of Physics, Lancaster University, Lancaster LA1 4YB (United Kingdom); Delamoreanu, A. [Microelectronics Technology Laboratory (LTM), Joseph Fourier University, French National Research Center (CNRS), 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Zenasni, A. [CEA-LETI-Minatec Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 09 (France); Kolosov, O.V., E-mail: o.kolosov@lancaster.ac.uk [Department of Physics, Lancaster University, Lancaster LA1 4YB (United Kingdom)

    2014-01-01

    Graphene's remarkable mechanical, electronic and thermal properties are strongly determined by both the mechanism of its growth and its interaction with the underlying substrate. Evidently, in order to explore the fundamentals of these mechanisms, efficient nanoscale methods that enable observation of features hidden underneath the immediate surface are needed. In this paper we use nanomechanical mapping via ultrasonic force microscopy that employs MHz frequency range ultrasonic vibrations and allows the observation of surface composition and subsurface interfaces with nanoscale resolution, to elucidate the morphology of few layer graphene (FLG) films produced via a recently reported method of carbon diffusion growth (CDG) on platinum-metal based substrate. CDG is known to result in FLG suspended over large areas, which could be of high importance for graphene transfer and applications where a standalone graphene film is required. This study directly reveals the detailed mechanism of CDG three-dimensional growth and FLG film detachment, directly linking the level of graphene decoupling with variations of the substrate temperature during the annealing phase of growth. We also show that graphene initially and preferentially decouples at the substrate grain boundaries, likely due to its negative expansion coefficient at cooling, forming characteristic “nano-domes” at the intersections of the grain boundaries. Furthermore, quantitative nanomechanical mapping of flexural stiffness of suspended FLG “nano-domes” using kHz frequency range force modulation microscopy uncovers the progression of “nano-dome” stiffness from single to bi-modal distribution as CDG growth progresses, suggesting growth instability at advanced CDG stages. - Highlights: • Exploring growth and film-substrate decoupling in carbon diffusion grown graphene • Nanomechanical mapping of few layer graphene and graphene–substrate interfaces • Quantitative stiffness mapping of

  20. Numerical simulation of quantum efficiency and surface recombination in HgCdTe IR photon-trapping structures

    Science.gov (United States)

    Schuster, Jonathan; Bellotti, Enrico

    2013-06-01

    We have investigated the quantum effiency in HgCdTe photovoltaic pixel arrays employing a photon-trapping structure realized with a periodic array of pillars intended to provide broadband operation. We have found that the quantum efficiency depends heavily on the passivation of the pillar surface. Pillars passivated with anodicoxide have a large fixed positive charge on the pillar surface. We use our three-dimensional numerical simulation model to study the effect of surface charge and surface recombination velocity on the exterior of the pillars. We then evaluate the quantum efficiency of this structure subject to different surface conditions. We have found that by themselves, the surface charge and surface recombination are detrimental to the quantum efficiency but the quantum efficiency is recovered when both phenomena are present. We will discuss the effects of these phenomena and the trade offs that exist between the two.

  1. Layer-by-layer assembly of thin film oxygen barrier

    International Nuclear Information System (INIS)

    Jang, Woo-Sik; Rawson, Ian; Grunlan, Jaime C.

    2008-01-01

    Thin films of sodium montmorillonite clay and cationic polyacrylamide were grown on a polyethylene terephthalate film using layer-by-layer assembly. After 30 clay-polymer layers are deposited, with a thickness of 571 nm, the resulting transparent film has an oxygen transmission rate (OTR) below the detection limit of commercial instrumentation ( 2 /day/atm). This low OTR, which is unprecedented for a clay-filled polymer composite, is believed to be due to a brick wall nanostructure comprised of completely exfoliated clay in polymeric mortar. With an optical transparency greater than 90% and potential for microwaveability, this thin composite is a good candidate for foil replacement in food packaging and may also be useful for flexible electronics packaging

  2. Study of CdTe and HgCdTe thin films obtained by electrochemical methods

    International Nuclear Information System (INIS)

    Guillen, C.

    1990-01-01

    Cadmium telluride polycrystalline thin films were fabricated on SnO 2 -coated glass substrates by potentiostatic electrodeposition and characterized by X-ray diffraction, energy dispersive X-ray analyses (EDAX), optical and electrical measurements. The films dseposited at potentials more positive than -0.65 V vs.SCE were p-type but those deposited at more negative potentials were n-type. All CdTe thin films showed a band-gap energy about 1.45 eV and a large absorption coeffici-ent (a=10 5 cm -1 ) above de band edge. The addition of even small amounts of mercury to the CdTe produces higuer conductivity values and lower band-gap energies. We have prepared HgCdTe thin films where the band-gap energies ranged between 0.93 and 0.88 eV depending on the ratio of mercury to cadmium. Heat treatment at 300 0 C increases the crystalline diameter and alter the composition of the electrodeposited films, a decrease of the resistivity values was also observed. (Author)

  3. Quasicubic α-Fe{sub 2}O{sub 3} nanoparticles embedded in TiO{sub 2} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Seinberg, Liis [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kozlova, Jekaterina [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Link, Joosep [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Pikma, Piret [University of Tartu, Institute of Chemistry, Ravila 14A, 50411 Tartu (Estonia); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-08-01

    Monodispersed quasicubic α-Fe{sub 2}O{sub 3} nanoparticles were synthesized from ferric nitrite (Fe(NO{sub 3}){sub 3}), N,N-dimethyl formamide and poly(N-vinyl-2-pyrrolidone). Layers of nanoparticles were attached to HF-etched Si substrates by dip coating and subsequently embedded in thin titanium oxide films grown by atomic layer deposition from TiCl{sub 4} and H{sub 2}O. The deposition of TiO{sub 2} onto Fe{sub 2}O{sub 3} nanoparticles covered the nanoparticles uniformly and anatase phase of TiO{sub 2} was observed in Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructures. In Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructure magnetic domains, observable by magnetic force microscopy, were formed and these nanostructures implied ferromagnetic-like behavior at room temperature with the saturative magnetization and coercivity of 10 kA/m. - Highlights: • Cubic-shaped iron oxide crystallites were supported by thin titanium oxide films. • The process chemistry applied allowed formation of heterogeneous composite. • Atomic layer deposition of titanium oxide on nanocubes was uniform and conformal. • The nanostructures formed can be regarded as magnetically susceptible materials.

  4. Chemical solution seed layer for rabits tapes

    Science.gov (United States)

    Goyal, Amit; Paranthaman, Mariappan; Wee, Sung-Hun

    2014-06-10

    A method for making a superconducting article includes the steps of providing a biaxially textured substrate. A seed layer is then deposited. The seed layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different rare earth or transition metal cations. A superconductor layer is grown epitaxially such that the superconductor layer is supported by the seed layer.

  5. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  6. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    Energy Technology Data Exchange (ETDEWEB)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che; Hassan, Haslan Abu; Abdullah, Mat Johar [Nano-Optoelectronics Research and Technology Laboratory School of Physics, Universiti Sains Malaysia, 11800 Penang, Malaysia and Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia); Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia)

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign of cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.

  7. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    Science.gov (United States)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  8. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  9. Field emission from vertically aligned few-layer graphene

    International Nuclear Information System (INIS)

    Malesevic, Alexander; Kemps, Raymond; Vanhulsel, Annick; Chowdhury, Manish Pal; Volodin, Alexander; Van Haesendonck, Chris

    2008-01-01

    The electric field emission behavior of vertically aligned few-layer graphene was studied in a parallel plate-type setup. Few-layer graphene was synthesized in the absence of any metallic catalyst by microwave plasma enhanced chemical vapor deposition with gas mixtures of methane and hydrogen. The deposit consists of nanostructures that are several micrometers wide, highly crystalline stacks of four to six atomic layers of graphene, aligned vertically to the substrate surface in a high density network. The few-layer graphene is found to be a good field emitter, characterized by turn-on fields as low as 1 V/μm and field amplification factors up to several thousands. We observe a clear dependence of the few-layer graphene field emission behavior on the synthesis parameters: Hydrogen is identified as an efficient etchant to improve field emission, and samples grown on titanium show lower turn-on field values and higher amplification factors when compared to samples grown on silicon

  10. Isotope analysis of diamond-surface passivation effect of high-temperature H2O-grown atomic layer deposition-Al2O3 films

    International Nuclear Information System (INIS)

    Hiraiwa, Atsushi; Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi

    2015-01-01

    The Al 2 O 3 film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H 2 O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D 2 O instead of H 2 O in the ALD and found that the Al 2 O 3 film formed at a conventional temperature (100 °C) incorporates 50 times more CH 3 groups than the high-temperature film. This CH 3 is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H 2 O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H 2 O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D 2 O-oxidant ALD but found that the mass density and dielectric constant of D 2 O-grown Al 2 O 3 films are smaller than those of H 2 O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al 2 O 3 films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of the aforementioned new isotope effect will be a basis for further enhancing ALD

  11. Analysis of Strain and Intermixing in a Single Layer Ge/Si dots using polarized Raman Spectroscopy

    OpenAIRE

    PEROVA, TANIA; MOORE, ROBERT

    2006-01-01

    PUBLISHED The built-in strain and composition of as-grown and Si-capped single layers of Ge?Si dots grown at various temperatures (460?800 ?C) are studied by a comparative analysis of the Ge-Ge and Si-Ge modes in the polarized Raman spectra of the dots. A pronounced reduction of the strain and Ge content in the dots after deposition of the cap layer at low temperatures is observed, indicating that strain-induced Si diffusion from the cap layer is occurring. For large dots grown at 700?800...

  12. Surface photovoltage and photoluminescence study of thick Ga(In)AsN layers grown by liquid-phase epitaxy

    International Nuclear Information System (INIS)

    Donchev, V; Milanova, M; Lemieux, J; Shtinkov, N; Ivanov, I G

    2016-01-01

    We present an experimental and theoretical study of Ga(In)AsN layers with a thickness of around 1 μm grown by liquid-phase epitaxy (LPE) on n-type GaAs substrates. The samples are studied by surface photovoltage (SPV) spectroscopy and by photoluminescence spectroscopy. Theoretical calculations of the electronic structure and the spectral dependence of the dielectric function are carried out for different nitrogen concentrations using a full-band tight-binding approach in the sp 3 d 5 s*s N parameterisation. The SPV spectra measured at room temperature clearly show a red shift of the absorption edge with respect to the absorption of the GaAs substrate. This shift, combined with the results of the theoretical calculations, allows assessing the nitrogen concentration in different samples. The latter increases with increasing the In content. The analysis of the SPV phase spectra provides information about the alignment of the energy bands across the structures. The photoluminescence measurements performed at 2 K show a red shift of the emission energy with respect to GaAs, in agreement with the SPV results. (paper)

  13. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    International Nuclear Information System (INIS)

    Chung, S J; Lee, Y S; Suh, E-K; Senthil Kumar, M; An, M H

    2010-01-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  14. Enhancement of optical properties of InAs quantum dots grown by using periodic arsine interruption

    International Nuclear Information System (INIS)

    Kim, Jungsub; Yang, Changjae; Sim, Uk; Lee, Jaeyel; Yoon, Euijoon; Lee, Youngsoo

    2009-01-01

    We investigated the morphological and optical properties of InAs quantum dots (QDs) grown by using periodic arsine interruption (PAI) and compared them with QDs grown conventionally. In the conventional growth, the formation of large islands was observed, which suppresses the nucleation and growth of QDs. Furthermore, the growth of capping layers was significantly degraded by these large islands. On the other hand, in the PAI growth, the formation of large islands was completely suppressed, resulting in the increase of the density and aspect ratio of QDs and the uniform growth of capping layers. As a result of photoluminescence (PL) measurements, we found that the emission efficiency was enhanced and the full-width-half-maximum was reduced to 32 meV. The temperature dependence of these optical properties also revealed the enhancement of the uniformity of QDs grown by the PAI method.

  15. Formation of anodic layers on InAs (111)III. Study of the chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Valisheva, N. A., E-mail: valisheva@thermo.isp.nsc.ru; Tereshchenko, O. E. [Russian Academy of Sciences, Institute of Semiconductor Physics, Siberian Branch (Russian Federation); Prosvirin, I. P.; Kalinkin, A. V. [Russian Academy of Sciences, Boreskov Institute of Catalysis, Siberian Branch (Russian Federation); Goljashov, V. A. [Novosibirsk State University (Russian Federation); Levtzova, T. A. [Russian Academy of Sciences, Institute of Semiconductor Physics, Siberian Branch (Russian Federation); Bukhtiyarov, V. I. [Russian Academy of Sciences, Boreskov Institute of Catalysis, Siberian Branch (Russian Federation)

    2012-04-15

    The chemical composition of {approx}20-nm-thick anodic layers grown on InAs (111)III in alkaline and acid electrolytes containing or not containing NH{sub 4}F is studied by X-ray photoelectron spectroscopy. It is shown that the composition of fluorinated layers is controlled by the relation between the concentrations of fluorine and hydroxide ions in the electrolyte and by diffusion processes in the growing layer. Fluorine accumulates at the (anodic layer)/InAs interface. Oxidation of InAs in an acid electrolyte with a low oxygen content and a high NH{sub 4}F content brings about the formation of anodic layers with a high content of fluorine and elemental arsenic and the formation of an oxygen-free InF{sub x}/InAs interface. Fluorinated layers grown in an alkaline electrolyte with a high content of O{sup 2-} and/or OH{sup -} groups contain approximately three times less fluorine and consist of indium and arsenic oxyfluorides. No distinction between the compositions of the layers grown in both types of fluorine-free electrolytes is established.

  16. The Effect of Metal-Semiconductor Contact on the Transient Photovoltaic Characteristic of HgCdTe PV Detector

    Directory of Open Access Journals (Sweden)

    Haoyang Cui

    2013-01-01

    Full Text Available The transient photovoltaic (PV characteristic of HgCdTe PV array is studied using an ultrafast laser. The photoresponse shows an apparent negative valley first, then it evolves into a positive peak. By employing a combined theoretical model of pn junction and Schottky potential, this photo-response polarity changing curves can be interpreted well. An obvious decreasing of ratio of negative valley to positive peak can be realized by limiting the illumination area of the array electrode. This shows that the photoelectric effect of Schottky barrier at metal-semiconductor (M/S interface is suppressed, which will verify the correctness of the model. The characteristic parameters of transient photo-response induced from p-n junction and Schottky potential are extracted by fitting the response curve utilizing this model. It shows that the negative PV response induced by the Schottky barrier decreases the positive photovoltage generated by the pn junction.

  17. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO2 thin films grown by atomic layer deposition at different temperatures

    Science.gov (United States)

    Saha, D.; Ajimsha, R. S.; Rajiv, K.; Mukherjee, C.; Gupta, M.; Misra, P.; Kukreja, L. M.

    2014-10-01

    TiO2 thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (Ts) in a wide range (50 °C ≤ Ts ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (Ts ≤ 150 °C) to the nanocrystalline films (2500 < Ts ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple-DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  18. Characteristics of the surface layer of barium strontium titanate thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Craciun, V.; Singh, R. K.

    2000-01-01

    Ba 0.5 Sr 0.5 TiO 3 (BST) thin films grown on Si by an in situ ultraviolet-assisted pulsed laser deposition (UVPLD) technique exhibited significantly higher dielectric constant and refractive index values and lower leakage current densities than films grown by conventional PLD under similar conditions. X-ray photoelectron spectroscopy (XPS) investigations have shown that the surface layer of the grown films contained, besides the usual BST perovskite phase, an additional phase with Ba atoms in a different chemical state. PLD grown films always exhibited larger amounts of this phase, which was homogeneously mixed with the BST phase up to several nm depth, while UVPLD grown films exhibited a much thinner (∼1 nm) and continuous layer. The relative fraction of this phase was not correlated with the amount of C atoms present on the surface. Fourier transform infrared spectroscopy did not find any BaCO 3 contamination layer, which was believed to be related to this new phase. X-ray diffraction measurement showed that although PLD grown films contained less oxygen atoms, the lattice parameter was closer to the bulk value than that of UVPLD grown films. After 4 keV Ar ion sputtering for 6 min, XPS analysis revealed a small suboxide Ba peak for the PLD grown films. This finding indicates that the average Ba-O bonds are weaker in these films, likely due to the presence of oxygen vacancies. It is suggested here that this new Ba phase corresponds to a relaxed BST surface layer. (c) 2000 American Institute of Physics

  19. Characteristics of the surface layer of barium strontium titanate thin films deposited by laser ablation

    Science.gov (United States)

    Craciun, V.; Singh, R. K.

    2000-04-01

    Ba0.5Sr0.5TiO3 (BST) thin films grown on Si by an in situ ultraviolet-assisted pulsed laser deposition (UVPLD) technique exhibited significantly higher dielectric constant and refractive index values and lower leakage current densities than films grown by conventional PLD under similar conditions. X-ray photoelectron spectroscopy (XPS) investigations have shown that the surface layer of the grown films contained, besides the usual BST perovskite phase, an additional phase with Ba atoms in a different chemical state. PLD grown films always exhibited larger amounts of this phase, which was homogeneously mixed with the BST phase up to several nm depth, while UVPLD grown films exhibited a much thinner (˜1 nm) and continuous layer. The relative fraction of this phase was not correlated with the amount of C atoms present on the surface. Fourier transform infrared spectroscopy did not find any BaCO3 contamination layer, which was believed to be related to this new phase. X-ray diffraction measurement showed that although PLD grown films contained less oxygen atoms, the lattice parameter was closer to the bulk value than that of UVPLD grown films. After 4 keV Ar ion sputtering for 6 min, XPS analysis revealed a small suboxide Ba peak for the PLD grown films. This finding indicates that the average Ba-O bonds are weaker in these films, likely due to the presence of oxygen vacancies. It is suggested here that this new Ba phase corresponds to a relaxed BST surface layer.

  20. Effect of reactant concentration on the structural properties of hydrothermally-grown ZnO rods on seed-layer ZnO / polyethylene terephthalate substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Y. I.; Shin, C. M.; Heo, J. H.; Ryu, H. [Inje University, Gimhae (Korea, Republic of); Lee, W. J. [Dong-Eui University, Busan (Korea, Republic of); Son, C. S. [Silla University, Busan (Korea, Republic of); Choi, H. [Pukyong National University, Busan (Korea, Republic of)

    2011-09-15

    The morphology and the structural properties were studied for zinc-oxide (ZnO) rods hydrothermally grown on seed-layer ZnO/polyethylene terephthalate (PET) substrates at various reactant concentrations. Dissolved solutions with de-ionized water, zinc nitrate hexahydrate (Zn(NO{sub 3}){sub 2}{center_dot}6H{sub 2}O, ZNH) and hexamethylenetetramine (C{sub 6}H{sub 12}N{sub 4}, HMT) were employed as reactants for hydrothermal growth of ZnO. The transparency of the mixtures (ZNH+HMT) with increasing reactant concentration from 0.025 to 0.25 M changed from transparent to translucent to opaque (white colors) due to Zn(OH){sub 2} precipitates. When the concentration was increased, the density of the ZnO rods increased, and the morphology of the ZnO rods changed from a hexagonal flat-end shape to a sharp-end or flake-like structure. The sharp-end rods with increasing concentration from 0.1 to 0.15 M resulted from the etching process at a lower pH condition (less than pH 6) after the ZnO rod growth, and the flake-like structure was due to a high growth rate. The ZnO seed layer might have improved the alignment of ZnO rods and made a high density of ZnO rods. In addition, the structural properties were improved at lower concentrations by inserting a seed layer.

  1. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M. Agrawal

    2017-01-01

    Full Text Available The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V∼1and GaN is grown under N-rich growth regime (III/V<1. The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1 and metal rich growth regime (III/V≥1, respectively. AlGaN/GaN high electron mobility transistor (HEMT heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm−2.

  2. Calcium impurity as a source of non-radiative recombination in (In,Ga)N layers grown by molecular beam epitaxy

    KAUST Repository

    Young, E. C.; Grandjean, N.; Mates, T. E.; Speck, J. S.

    2016-01-01

    Ca as an unintentional impurity has been investigated in III-nitride layers grown by molecular beam epitaxy (MBE). It is found that Ca originates from the substrate surface, even if careful cleaning and rinsing procedures are applied. The initial Ca surface coverage is ∼1012 cm−2, which is consistent with previous reports on GaAs and silicon wafers. At the onset of growth, the Ca species segregates at the growth front while incorporating at low levels. The incorporation rate is strongly temperature dependent. It is about 0.03% at 820 °C and increases by two orders of magnitude when the temperature is reduced to 600 °C, which is the typical growth temperature for InGaN alloy. Consequently, [Ca] is as high as 1018 cm−3 in InGaN/GaN quantum well structures. Such a huge concentration might be detrimental for the efficiency of light emitting diodes (LEDs) if one considers that Ca is potentially a source of Shockley-Read-Hall (SRH) defects. We thus developed a specific growth strategy to reduce [Ca] in the MBE grown LEDs, which consisted of burying Ca in a low temperature InGaN/GaN superlattice (SL) before the growth of the active region. Finally, two LED samples with and without an SL were fabricated. An increase in the output power by one order of magnitude was achieved when Ca was reduced in the LED active region, providing evidence for the role of Ca in the SRH recombination.

  3. Calcium impurity as a source of non-radiative recombination in (In,Ga)N layers grown by molecular beam epitaxy

    KAUST Repository

    Young, E. C.

    2016-11-23

    Ca as an unintentional impurity has been investigated in III-nitride layers grown by molecular beam epitaxy (MBE). It is found that Ca originates from the substrate surface, even if careful cleaning and rinsing procedures are applied. The initial Ca surface coverage is ∼1012 cm−2, which is consistent with previous reports on GaAs and silicon wafers. At the onset of growth, the Ca species segregates at the growth front while incorporating at low levels. The incorporation rate is strongly temperature dependent. It is about 0.03% at 820 °C and increases by two orders of magnitude when the temperature is reduced to 600 °C, which is the typical growth temperature for InGaN alloy. Consequently, [Ca] is as high as 1018 cm−3 in InGaN/GaN quantum well structures. Such a huge concentration might be detrimental for the efficiency of light emitting diodes (LEDs) if one considers that Ca is potentially a source of Shockley-Read-Hall (SRH) defects. We thus developed a specific growth strategy to reduce [Ca] in the MBE grown LEDs, which consisted of burying Ca in a low temperature InGaN/GaN superlattice (SL) before the growth of the active region. Finally, two LED samples with and without an SL were fabricated. An increase in the output power by one order of magnitude was achieved when Ca was reduced in the LED active region, providing evidence for the role of Ca in the SRH recombination.

  4. An RBS study of thin PLD and MOCVD strontium copper oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Kantor, Z. [Institute of Physics, University of Pannonia, H-8200 Veszprem (Hungary); Papadopoulou, E.L.; Aperathitis, E. [Inst. Electronic Struture and Laser, Foundation for Research and Technology - Hellas, P.O. Box 1527, Heraklion 71110 (Greece); Deschanvres, J.-L. [LMPG INP Grenoble-Minatec, BP 257, 38016 Grenoble Cedex 1 (France); Somogyi, K. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)], E-mail: karoly.somogyi@microvacuum.com; Szendro, I. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)

    2008-09-30

    Strontium copper oxide (SCO) has been studied as p-type transparent (VIS) conductive oxide material. Also theoretical studies suggested p-type conductivity of the SrCu{sub 2}O{sub 2} composition. SCO thin layers, with thicknesses of 30-2000 nm, were deposited on glass and silicon substrates both by pulsed laser deposition (PLD) and by MOCVD method. The as-grown layers showed high electrical resistance. Due to an annealing process, the resistivity significantly decreased and the layers showed p-type conductivity. Optical transparency measured on samples grown on glass substrates was found about or above 80%, including also thickness dependence. RBS measurements were applied for the determination of the chemical composition profile of the layers. A comparison revealed some specific differences between as-grown and annealed PLD samples. Due to the annealing, the ratio of oxide phases was changed and a vertical inhomogeneity in chemical composition was observed. Our measurements revealed also the influence of the deposition technique and of the substrate.

  5. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  6. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  7. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  8. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  9. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  10. Electrode interface controlled electrical properties in epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films grown on Si substrates with SrTiO{sub 3} buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Boni, Andra Georgia, E-mail: andra.boni@infim.ro [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania); University of Bucharest, Faculty of Physics, Magurele 077125 (Romania); Chirila, Cristina; Pasuk, Iuliana; Negrea, Raluca; Trupina, Lucian [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania); Le Rhun, Gwenael [CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Vilquin, Bertrand [Université de Lyon, Ecole Centrale de Lyon, INL, CNRS UMR5270, 36 avenue Guy de Collongue, F-69134 Ecully cedex (France); Pintilie, Ioana; Pintilie, Lucian [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania)

    2015-10-30

    Electrical properties of ferroelectric capacitors based on PbZr{sub 0.52}Ti{sub 0.48}O{sub 3} thin films grown by pulsed laser deposition on silicon substrate with SrTiO{sub 3} buffer layer grown by molecular beam epitaxy were studied. A SrRuO{sub 3} layer was deposited as bottom electrode also by pulse laser deposition and Pt, Ir, Ru, SrRuO{sub 3} were used as top contacts. Electrical characterization comprised hysteresis and capacitance–voltage measurements in the temperature range from 150 K to 400 K. It was found that the macroscopic electrical properties are affected by the electrode interface, by the choice of the top electrode. However, even for metals with very different work functions (e.g. Pt and SrRuO{sub 3}) the properties of the top and bottom electrode interfaces remain fairly symmetric suggesting a strong influence from the bound polarization charges located near the interface. - Highlights: • Ferroelectric capacitors based on PbZr{sub 0.52}Ti{sub 0.48}O{sub 3} were deposited on Si substrate. • The structural characterization proved the epitaxial growth of the layers. • Macroscopic electrical properties are affected by the choice of the top electrode. • The difference on imprint field, dielectric constant are analyzed depending on the electrode-ferroelectric interface.

  11. Characterization of few-layered graphene grown by carbon implantation

    International Nuclear Information System (INIS)

    Lee, Kin Kiong; McCallum, Jeffrey C.; Jamieson, David N.

    2014-01-01

    Graphene is considered to be a very promising material for applications in nanotechnology. The properties of graphene are strongly dependent on defects that occur during growth and processing. These defects can be either detrimental or beneficial to device performance depending on defect type, location and device application. Here we present experimental results on formation of few-layered graphene by carbon ion implantation into nickel films and characteristics of graphene devices formed by graphene transfer and lithographic patterning. Micro-Raman spectroscopy was used to determine the number of graphene layers formed and identify defects arising from the device processing. The graphene films were cleaned by annealing in vacuum. Transport properties of cleaned graphene films were investigated by fabrication of back-gated field-effect transistors, which exhibited high hole and electron mobility of 1935 and 1905 cm2/Vs, respectively

  12. Characterization of few-layered graphene grown by carbon implantation

    Science.gov (United States)

    Lee, Kin Kiong; McCallum, Jeffrey C.; Jamieson, David N.

    2014-02-01

    Graphene is considered to be a very promising material for applications in nanotechnology. The properties of graphene are strongly dependent on defects that occur during growth and processing. These defects can be either detrimental or beneficial to device performance depending on defect type, location and device application. Here we present experimental results on formation of few-layered graphene by carbon ion implantation into nickel films and characteristics of graphene devices formed by graphene transfer and lithographic patterning. Micro-Raman spectroscopy was used to determine the number of graphene layers formed and identify defects arising from the device processing. The graphene films were cleaned by annealing in vacuum. Transport properties of cleaned graphene films were investigated by fabrication of back-gated field-effect transistors, which exhibited high hole and electron mobility of 1935 and 1905 cm2/Vs, respectively.

  13. Epitaxially Grown Layered MFI–Bulk MFI Hybrid Zeolitic Materials

    KAUST Repository

    Kim, Wun-gwi; Zhang, Xueyi; Lee, Jong Suk; Tsapatsis, Michael; Nair, Sankar

    2012-01-01

    The synthesis of hybrid zeolitic materials with complex micropore-mesopore structures and morphologies is an expanding area of recent interest for a number of applications. Here we report a new type of hybrid zeolite material, composed of a layered

  14. Microstructure of oxides in thermal barrier coatings grown under dry/humid atmosphere

    International Nuclear Information System (INIS)

    Zhou Zhaohui; Guo Hongbo; Wang Juan; Abbas, Musharaf; Gong Shengkai

    2011-01-01

    Graphical abstract: The presence of water vapor promoted the formation of spinels in the TBC. Highlights: → Thermal barrier coatings are produced by electron beam physical vapour deposition. → Oxidation behaviour of the coatings at 1100 deg. C has been investigated in dry/humid O 2 . → Thermally grown oxides formed in the coatings are characterized. → The presence of water vapour promotes the formation of spinel in the TBCs. - Abstract: The microstructure of thermally grown oxide (TGO) in thermal barrier coatings (TBCs) oxidized under dry/humid atmosphere at 1100 deg. C has been characterized by transmission electron microscopy. A thin and continuous oxide layer is formed in the as-deposited TBCs produced by electron beam physical vapor deposition. The TGO formed in dry atmosphere consists of an outer layer of fine α-alumina, zirconia grains and an inner layer of columnar α-alumina grains. However, a small amount of spinel is observed in the TGO under humid atmosphere. The presence of water vapour promotes the formation of spinel.

  15. Study of annealing effect on the growth of ZnO nanorods on ZnO seed layers

    Science.gov (United States)

    Sannakashappanavar, Basavaraj S.; Pattanashetti, Nandini A.; Byrareddy, C. R.; Yadav, Aniruddh Bahadur

    2018-04-01

    A zinc oxide (ZnO) seed layer was deposited on the SiO2/Si substrate by RF sputtering. To study the effect of annealing, the seed layers were classified into annealed and unannealed thin films. Annealing of the seed layers was carried at 450°C. Surface morphology of the seed layers were studied by Atomic force microscopy. ZnO nanorods were then grown on both the types of seed layer by hydrothermal method. The morphology and the structural properties of the nanorods were characterized by X-ray diffraction and Scanning electron microscopy. The effect of seed layer annealing on the growth and orientation of the ZnO nanorods were clearly examined on comparing with the nanorods grown on unannealed seed layer. The nanorods grown on annealed seed layers were found to be well aligned and oriented. Further, the I-V characteristic study was carried out on these aligned nanorods. The results supports positively for the future work to further enhance the properties of developed nanorods for their wide applications in electronic and optoelectronic devices.

  16. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  17. Defect properties of InGaAsN layers grown as sub-monolayer digital alloys by molecular beam epitaxy

    Science.gov (United States)

    Baranov, Artem I.; Gudovskikh, Alexander S.; Kudryashov, Dmitry A.; Lazarenko, Alexandra A.; Morozov, Ivan A.; Mozharov, Alexey M.; Nikitina, Ekaterina V.; Pirogov, Evgeny V.; Sobolev, Maxim S.; Zelentsov, Kirill S.; Egorov, Anton Yu.; Darga, Arouna; Le Gall, Sylvain; Kleider, Jean-Paul

    2018-04-01

    The defect properties of InGaAsN dilute nitrides grown as sub-monolayer digital alloys (SDAs) by molecular beam epitaxy for photovoltaic application were studied by space charge capacitance spectroscopy. Alloys of i-InGaAsN (Eg = 1.03 eV) were lattice-matched grown on GaAs wafers as a superlattice of InAs/GaAsN with one monolayer of InAs (solar cells. Low p-type background doping was demonstrated at room temperature in samples with InGaAsN layers 900 nm and 1200 nm thick (less 1 × 1015 cm-3). According to admittance spectroscopy and deep-level transient spectroscopy measurements, the SDA approach leads to defect-free growth up to a thickness of 900 nm. An increase in thickness to 1200 nm leads to the formation of non-radiative recombination centers with an activation energy of 0.5 eV (NT = 8.4 × 1014 cm-3) and a shallow defect level at 0.20 eV. The last one leads to the appearance of additional doping, but its concentration is low (NT = 5 × 1014 cm-3) so it does not affect the photoelectric properties. However, further increase in thickness to 1600 nm, leads to significant growth of its concentration to (3-5) × 1015 cm-3, while the concentration of deep levels becomes 1.3 × 1015 cm-3. Therefore, additional free charge carriers appearing due to ionization of the shallow level change the band diagram from p-i-n to p-n junction at room temperature. It leads to a drop of the external quantum efficiency due to the effect of pulling electric field decrease in the p-n junction and an increased number of non-radiative recombination centers that negatively impact lifetimes in InGaAsN.

  18. Optical and Electrical Characteristics of Graphene Double Layer Formed by a Double Transfer of Graphene Single Layers.

    Science.gov (United States)

    Kim, Young Jun; Bae, Gi Yoon; Chun, Sungwoo; Park, Wanjun

    2016-03-01

    We demonstrate formation of double layer graphene by means of a double transfer using two single graphene layers grown by a chemical vapor deposition method. It is observed that shiftiness and broadness in the double-resonance of Raman scattering are much weaker than those of bilayer graphene formed naturally. Transport characteristics examined from transmission line measurements and field effect transistors show the similar behavior with those of single layer graphene. It indicates that interlayer separation, in electrical view, is large enough to avoid correlation between layers for the double layer structure. It is also observed from a transistor with the double layer graphene that molecules adsorpted on two inner graphene surfaces in the double layered structure are isolated and conserved from ambient environment.

  19. Effects of temperature and Mo2C layer on stress and structural properties in CVD diamond film grown on Mo foil

    International Nuclear Information System (INIS)

    Long, Fen; Wei, Qiuping; Yu, Z.M.; Luo, Jiaqi; Zhang, Xiongwei; Long, Hangyu; Wu, Xianzhe

    2013-01-01

    Highlights: •Polycrystalline diamond films were grown on Mo foil substrates by HF-CVD. •We investigated the temperature dependence of the film stress for each sample. •We show that how the thermal stress and intrinsic stress affects the total stress. •The stress of Mo foil substrate obtained by XRD was investigated in this study. •The effect of Mo 2 C interface layer for stress of multilayer system was considered. -- Abstract: Polycrystalline diamond films have been prepared by hot-filament-assisted chemical vapor deposition (HFCVD) on Mo foils. The morphology, growth rate, phase composition, element distribution and residual stress of the films at different temperature were investigated by field-emission scanning electron microscopy, Raman spectrum, field emission electron probe microanalysis and X-ray diffraction. Results show that the residual stress of the diamond films is compressive. The thermal stress plays a decisive role in the total stress, while the intrinsic stress can change the trend of the total stress. The residual stress of substrate gradually changes from tensile stress to compressive stress with the increase of the deposited temperature. A Mo 2 C interlayer is formed during deposition process, and this layer has an important influence on the stresses of films and substrates

  20. Rare-earth-ion doped KY(WO4)2 optical waveguides grown by liquid-phase epitaxy

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Apostolopoulos, V.; Utke, U.; Pollnau, Markus

    High-quality KY(WO4)2 thin layers doped with rare-earth-ions were grown using liquid-phase epitaxy. A low-temperature mixture of chlorides was used as the flux and undoped KY(WO4)2 crystals as substrates. The crystalline layers possessed thicknesses up to 10 µm. Passive and active planar waveguiding

  1. LSMO-STO(110) multilayered structure grown by metalorganic aerosol deposition

    International Nuclear Information System (INIS)

    Sapoval, Oleg; Belenchuk, Alexander; Canter, Valeriu; Zasavitsky, Efim; Moshnyaga, Vasily

    2013-01-01

    La 0.67 Sr 0.33 MnO 3 -SrTiO 3 multilayered structure was grown on SrTiO 3 (110) substrates by metalorganic aerosol deposition technique. The crystal structure was examined by X-ray analysis including simulation of diffraction and reflection patterns. The magneto transport properties of superlattice are presented. The critical thickness of (110)-oriented LSMO layers is lower than 7 perovskite unite cells. The oxygen stoichiometry provided due to high gas pressure conditions is responsible for reducing of critical thickness of LSMO layers at LSMO-STO(110) interfaces. (authors)

  2. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  3. Characterization for rbs of layers de grown cdznse for chemical deposition (cbd)

    International Nuclear Information System (INIS)

    Pedrero, E.; Larramendi, E.; Calzadilla, O.

    1999-01-01

    Depth and composition of CdZnSe thin films grown by Chemical Bath Deposition were characterized by Rutherford Backscattering Spectrometry. Depth and the relative cuantitity of Se, both decrease when annealed in air. The films have a non-stoichiometric Cd:Zn:Se composition constant from the substrate to the surface , with vacancies of Se. After annealing all the films come up to a similar composition: Cd( Zn 0.2 )Se

  4. Defect Structure of High-Temperature-Grown GaMnSb/GaSb

    International Nuclear Information System (INIS)

    Romanowski, P.; Bak-Misiuk, J.; Dynowska, E.; Domagala, J.Z.; Wojciechowski, T.; Jakiela, R.; Sadowski, J.; Barcz, A.; Caliebe, W.

    2010-01-01

    GaMnSb/GaSb(100) layers with embedded MnSb inclusions have been grown at 720 K using MBE technique. This paper presents the investigation of the defect structure of Ga1-xMnxSb layers with different content of manganese (up to x = 0.07). X-ray diffraction method using conventional and synchrotron radiation was applied. Dimensions and shapes of inclusions were detected by scanning electron microscopy. Depth profiles of elements were measured using secondary ion mass spectroscopy technique. (authors)

  5. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  6. Vertical single- and double-walled carbon nanotubes grown from modified porous anodic alumina templates

    International Nuclear Information System (INIS)

    Maschmann, Matthew R; Franklin, Aaron D; Amama, Placidus B; Zakharov, Dmitri N; Stach, Eric A; Sands, Timothy D; Fisher, Timothy S

    2006-01-01

    Vertical single-walled and double-walled carbon nanotube (SWNT and DWNT) arrays have been grown using a catalyst embedded within the pore walls of a porous anodic alumina (PAA) template. The initial film structure consisted of a SiO x adhesion layer, a Ti layer, a bottom Al layer, a Fe layer, and a top Al layer deposited on a Si wafer. The Al and Fe layers were subsequently anodized to create a vertically oriented pore structure through the film stack. CNTs were synthesized from the catalyst layer by plasma-enhanced chemical vapour deposition (PECVD). The resulting structure is expected to form the basis for development of vertically oriented CNT-based electronics and sensors

  7. Effect of growth conditions on the Al composition and optical properties of Al x Ga 1−x N layers grown by atmospheric-pressure metal organic vapor phase epitaxy

    KAUST Repository

    Soltani, S.

    2017-02-17

    The effect of growth conditions on the Al composition and optical properties of AlxGa1-xN layers grown by atmospheric-pressure metal organic vapor phase epitaxy is investigated. The Al content of the samples is varied between 3.0% and 9.3% by changing the gas flow rate of either trimethylaluminum (TMA) or trimethylgallium (TMG) while other growth parameters are kept constant. The optical properties of the AlxGa1-xN layers are studied by photoreflectance and time-resolved photoluminescence (TR-PL) spectroscopies. A degeneration in the material quality of the samples is revealed when the Al content is increased by increasing the TMA flow rate. When the TMG flow rate is decreased with a fixed TMA flow rate, the Al content of the AlxGa1-xN layers is increased and, furthermore, an improvement in the optical properties corresponding with an increase in the PL decay time is observed. (C) 2017 Elsevier B.V. All rights reserved.

  8. Effect of growth conditions on the Al composition and optical properties of Al x Ga 1−x N layers grown by atmospheric-pressure metal organic vapor phase epitaxy

    KAUST Repository

    Soltani, S.; Bouzidi, M.; Chine, Z.; Toure, A.; Halidou, I.; El Jani, B.; Shakfa, M. K.

    2017-01-01

    The effect of growth conditions on the Al composition and optical properties of AlxGa1-xN layers grown by atmospheric-pressure metal organic vapor phase epitaxy is investigated. The Al content of the samples is varied between 3.0% and 9.3% by changing the gas flow rate of either trimethylaluminum (TMA) or trimethylgallium (TMG) while other growth parameters are kept constant. The optical properties of the AlxGa1-xN layers are studied by photoreflectance and time-resolved photoluminescence (TR-PL) spectroscopies. A degeneration in the material quality of the samples is revealed when the Al content is increased by increasing the TMA flow rate. When the TMG flow rate is decreased with a fixed TMA flow rate, the Al content of the AlxGa1-xN layers is increased and, furthermore, an improvement in the optical properties corresponding with an increase in the PL decay time is observed. (C) 2017 Elsevier B.V. All rights reserved.

  9. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Kalam, Amir Abul; Bae, Joon Ho [Dept. of Nano-physics, Gachon University, Seongnam (Korea, Republic of); Park, Soo Bin; Seo, Yong Ho [Nanotechnology and Advanced Material Engineering, HMC, and GRI, Sejong University, Seoul (Korea, Republic of)

    2015-08-15

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs.

  10. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Kalam, Amir Abul; Bae, Joon Ho; Park, Soo Bin; Seo, Yong Ho

    2015-01-01

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs

  11. Observation of dopant-profile independent electron transport in sub-monolayer TiO{sub x} stacked ZnO thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Das, Gangadhar [Indus Synchrotrons Utilisation Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2016-01-18

    Dopant-profile independent electron transport has been observed through a combined study of temperature dependent electrical resistivity and magnetoresistance measurements on a series of Ti incorporated ZnO thin films with varying degree of static-disorder. These films were grown by atomic layer deposition through in-situ vertical stacking of multiple sub-monolayers of TiO{sub x} in ZnO. Upon decreasing ZnO spacer layer thickness, electron transport smoothly evolved from a good metallic to an incipient non-metallic regime due to the intricate interplay of screening of spatial potential fluctuations and strength of static-disorder in the films. Temperature dependent phase-coherence length as extracted from the magnetotransport measurement revealed insignificant role of inter sub-monolayer scattering as an additional channel for electron dephasing, indicating that films were homogeneously disordered three-dimensional electronic systems irrespective of their dopant-profiles. Results of this study are worthy enough for both fundamental physics perspective and efficient applications of multi-stacked ZnO/TiO{sub x} structures in the emerging field of transparent oxide electronics.

  12. Influence of substrate quality on structural properties of AlGaN/GaN superlattices grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, F. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); Merkel, U.; Schmult, S. [TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany); Mikolajick, T. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany)

    2014-02-28

    Short-period AlGaN/GaN superlattices were established as versatile test structures to investigate the structural properties of molecular beam epitaxy (MBE)-grown GaN and AlGaN layers and their dependence on the GaN substrate quality. X-ray diffractometry data of the investigated superlattices allow access to relevant structural parameters such as aluminum mole fraction and layer thicknesses. The occurrence of theoretically predicted intense high-order satellite peaks and pronounced interface fringes in the diffraction pattern reflects abrupt interfaces and perfect 2-dimensional growth resulting in smooth surfaces. The data unambiguously demonstrate that the structural quality of the MBE grown layers is limited by the structural properties of the GaN substrate.

  13. Effect of the growth temperature and the AlN mole fraction on In incorporation and properties of quaternary III-nitride layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Fernandez-Garrido, S.; Pereiro, J.; Munoz, E.; Calleja, E.; Redondo-Cubero, A.; Gago, R.; Bertram, F.; Christen, J.; Luna, E.; Trampert, A.

    2008-01-01

    Indium incorporation into wurtzite (0001)-oriented In x Al y Ga 1-x-y N layers grown by plasma-assisted molecular beam epitaxy was studied as a function of the growth temperature (565-635 deg. C) and the AlN mole fraction (0.01< y<0.27). The layer stoichiometry was determined by Rutherford backscattering spectrometry (RBS). RBS shows that indium incorporation decreased continuously with increasing growth temperature due to thermally enhanced dissociation of In-N bonds and for increasing AlN mole fractions. High resolution x-ray diffraction and transmission electron microscopy (TEM) measurements did not show evidence of phase separation. The mosaicity of the quaternary layers was found to be mainly determined by the growth temperature and independent on alloy composition within the range studied. However, depending on the AlN mole fraction, nanometer-sized composition fluctuations were detected by TEM. Photoluminescence spectra showed a single broad emission at room temperature, with energy and bandwidth S- and W-shaped temperature dependences typical of exciton localization by alloy inhomogeneities. Cathodoluminescence measurements demonstrated that the alloy inhomogeneities, responsible of exciton localization, occur on a lateral length scale below 150 nm, which is corroborated by TEM

  14. The characteristics of carbon nanotubes grown at low temperature for electronic device application

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yong Seob [Department of Photoelectronics Information, Chosun College of Science and Technology, Gwangju (Korea, Republic of); Yi, Junsin [School of Information and Communications Engineering, Sungkyunkwan University, Suwon, 440–746 (Korea, Republic of); Lee, Jaehyeong, E-mail: jaehyeong@skku.edu [School of Information and Communications Engineering, Sungkyunkwan University, Suwon, 440–746 (Korea, Republic of)

    2013-11-01

    For the application of carbon nanotubes (CNTs) in flexible electronic devices, the CNTs were grown on Corning 1737 glass substrate by microwave plasma enhanced chemical vapor deposition (MPECVD) method. To deposit the catalyst layer, TiN buffer layer of 200 nm thickness and Ni catalyst layer of 60 nm were first deposited on the glass by r.f. magnetron sputtering method. The CH{sub 4} and H{sub 2} gases are used as the synthesis gas of CNTs and the working pressure was about 2.13 kPa, and the substrate bias was about − 200 V. The growth time was from 2 min to 5 min and the microwave power was about 800 W. The substrate temperature as the main parameter was changed from 400 °C to 550 °C. The structural properties of CNTs synthesized with the substrate temperature were investigated using Raman, field emission scanning electron microscopy, and transmission electron microscopy methods. The surface and electrical properties of CNTs grown by MPECVD method were studied by scanning probe microscopy and four-point probe methods. We obtained the multi-walled CNTs (MW-CNTs). Multi-walled CNTs were vertically grown on Ni/TiN/glass substrates below 500 °C without any glass deformations. As the substrate temperature was increased, the crystallinity of CNTs was improved. Ni catalyst was found at the tip of CNT by the TEM observation and the grown CNTs were found to have a multi-walled with bamboo like structure. - Highlights: • Synthesis of vertically aligned carbon nanotubes. • Effects of substrate temperature on carbon nanotubes properties. • Improvement of the crystallinity with increasing substrate temperature. • Reduction of sheet resistance with increasing substrate temperature.

  15. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  16. Crystal structure of LT GaAs layers before and after annealing

    International Nuclear Information System (INIS)

    Litiental-Weber, Z.

    1992-01-01

    In this paper the structural quality of GaAs layers grown at low temperatures by solid-source and gas-source MBE at different growth conditions is described. Dependence on the growth temperature and concentration of As [expressed at As/Ga beam equivalent pressure (BEP)] used for the growth is discussed. A higher growth temperature is required top obtain the same monocrystalling layer thickness with increased BEP. The annealing of these layers is associated with the formation of As precipitates. Semicoherent precipitates with lowest formation energies are formed in the monocrystalline parts of the layers grown with the lowest BEP. Precipitates with higher formation energies are formed when higher BEP is applied; they are also formed in the vicinity of structural defects. Formation of As precipitates releases strain in the layers. Arsenic precipitates are not formed in annealed ternary (InAlAs) layers despite their semi-insulating properties. The role of As precipitates in semi-insulating properties and the short lifetime of minority carriers in these layers is discussed

  17. Layer-by-layer evolution of structure, strain, and activity for the oxygen evolution reaction in graphene-templated Pt monolayers.

    Science.gov (United States)

    Abdelhafiz, Ali; Vitale, Adam; Joiner, Corey; Vogel, Eric; Alamgir, Faisal M

    2015-03-25

    In this study, we explore the dimensional aspect of structure-driven surface properties of metal monolayers grown on a graphene/Au template. Here, surface limited redox replacement (SLRR) is used to provide precise layer-by-layer growth of Pt monolayers on graphene. We find that after a few iterations of SLRR, fully wetted 4-5 monolayer Pt films can be grown on graphene. Incorporating graphene at the Pt-Au interface modifies the growth mechanism, charge transfers, equilibrium interatomic distances, and associated strain of the synthesized Pt monolayers. We find that a single layer of sandwiched graphene is able to induce a 3.5% compressive strain on the Pt adlayer grown on it, and as a result, catalytic activity is increased due to a greater areal density of the Pt layers beyond face-centered-cubic close packing. At the same time, the sandwiched graphene does not obstruct vicinity effects of near-surface electron exchange between the substrate Au and adlayers Pt. X-ray photoelectron spectroscopy (XPS) and extended X-ray absorption fine structure (EXAFS) techniques are used to examine charge mediation across the Pt-graphene-Au junction and the local atomic arrangement as a function of the Pt adlayer dimension. Cyclic voltammetry (CV) and the oxygen reduction reaction (ORR) are used as probes to examine the electrochemically active area of Pt monolayers and catalyst activity, respectively. Results show that the inserted graphene monolayer results in increased activity for the Pt due to a graphene-induced compressive strain, as well as a higher resistance against loss of the catalytically active Pt surface.

  18. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  19. Synthesis and microstructural characterization of growth direction controlled ZnO nanorods using a buffer layer

    International Nuclear Information System (INIS)

    Park, Dong Jun; Kim, Dong Chan; Lee, Jeong Yong; Cho, Hyung Koun

    2006-01-01

    The growth direction and morphology of one-dimensional ZnO nanostructures grown by metal-organic chemical vapour deposition (MOCVD) were modulated by changing the growth temperature of previously deposited ZnO buffer layers that were used as a template. The ZnO nanorods grown on the low-temperature deposited buffer layer were regularly inclined with respect to the substrate surface and show in-plane alignment with azimuthally six-fold symmetry. In contrast, deposition of the buffer layer at higher growth temperature led to the formation of vertically well-aligned ZnO nanorods. In addition, the ZnO nanorods grown on the buffer layer deposited at low growth temperature show a growth direction of [1 0 1-bar 0], unlike the conventional ZnO nanorods showing a growth direction of [0001]. The microstructural analysis and atomic modelling of the formation of regularly inclined nanorods using transmission electron microscopy are presented

  20. Growth and characterization of semi-insulating carbon-doped/undoped GaN multiple-layer buffer

    International Nuclear Information System (INIS)

    Kim, Dong-Seok; Won, Chul-Ho; Kang, Hee-Sung; Kim, Young-Jo; Kang, In Man; Lee, Jung-Hee; Kim, Yong Tae

    2015-01-01

    We have proposed a new semi-insulating GaN buffer layer, which consists of multiple carbon-doped and undoped GaN layer. The buffer layer showed sufficiently good semi-insulating characteristics, attributed to the depletion effect between the carbon-doped GaN and the undoped GaN layers, even though the thickness of the carbon-doped GaN layer in the periodic structure was designed to be very thin to minimize the total carbon incorporation into the buffer layer. The AlGaN/AlN/GaN heterostructure grown on the proposed buffer exhibited much better electrical and structural properties than that grown on the conventional thick carbon-doped semi-insulating GaN buffer layer, confirmed by Hall measurement, x-ray diffraction, and secondary ion mass spectrometry. The fabricated device also showed excellent buffer breakdown characteristics. (paper)

  1. Atomic layer deposition of HfO{sub 2} for integration into three-dimensional metal-insulator-metal devices

    Energy Technology Data Exchange (ETDEWEB)

    Assaud, Loic [Aix Marseille Univ, CNRS, CINAM, Marseille (France); ICMMO-ERIEE, Universite Paris-Sud / Universite Paris-Saclay, CNRS, Orsay (France); Pitzschel, Kristina; Barr, Maissa K.S.; Petit, Matthieu; Hanbuecken, Margrit; Santinacci, Lionel [Aix Marseille Univ, CNRS, CINAM, Marseille (France); Monier, Guillaume [Universite Clermont Auvergne, Universite Blaise Pascal, CNRS, Institut Pascal, Clermont-Ferrand (France)

    2017-12-15

    HfO{sub 2} nanotubes have been fabricated via a template-assisted deposition process for further use in three-dimensional metal-insulator-metal (MIM) devices. HfO{sub 2} thin layers were grown by Atomic Layer Deposition (ALD) in anodic alumina membranes (AAM). The ALD was carried out using tetrakis(ethylmethylamino)hafnium and water as Hf and O sources, respectively. Long exposure durations to the precursors have been used to maximize the penetration depth of the HfO{sub 2} layer within the AAM and the effect of the process temperature was investigated. The morphology, the chemical composition, and the crystal structure were studied as a function of the deposition parameters using transmission and scanning electron microscopies, X-ray photoelectron spectroscopy, and X-ray diffraction, respectively. As expected, the HfO{sub 2} layers grown at low-temperature (T = 150 C) were amorphous, while for a higher temperature (T = 250 C), polycrystalline films were observed. The electrical characterizations have shown better insulating properties for the layers grown at low temperature. Finally, TiN/HfO{sub 2}/TiN multilayers were grown in an AAM as proof-of-concept for three-dimensional MIM nanostructures. (orig.)

  2. Electrochemical Characterization of TiO 2 Blocking Layers for Dye-Sensitized Solar Cells

    KAUST Repository

    Kavan, Ladislav; Té treault, Nicolas; Moehl, Thomas; Grä tzel, Michael

    2014-01-01

    Thin compact layers of TiO2 are grown by thermal oxidation of Ti, by spray pyrolysis, by electrochemical deposition, and by atomic layer deposition. These layers are used in dye-sensitized solar cells to prevent recombination of electrons from

  3. Investigation on nonlinear optical properties of MoS2 nanoflakes grown on silicon and quartz substrates

    Science.gov (United States)

    Bayesteh, Samaneh; Zahra Mortazavi, Seyedeh; Reyhani, Ali

    2018-05-01

    In this study, MoS2 nanoflakes were directly grown on different substrates—Si/SiO2 and quartz—by one-step thermal chemical vapor deposition using MoO3 and sulfide powders as precursors. Scanning electron microscopy and x-ray diffraction patterns demonstrated the formation of MoS2 structures on both substrates. Moreover, UV-visible and photoluminescence analysis confirmed the formation of MoS2 few-layer structures. According to Raman spectroscopy, by assessment of the line width and frequency shift differences between the and A 1g, it was inferred that the MoS2 grown on the silicon substrate was monolayer and that grown on the quartz substrate was multilayer. In addition, open-aperture and close-aperture Z-scan techniques were employed to study the nonlinear optical properties including nonlinear absorption and nonlinear refraction of the grown MoS2. All experiments were performed using a diode laser with a wavelength of 532 nm as the light source. It is noticeable that both samples demonstrate obvious self-defocusing behavior. The monolayer MoS2 grown on the silicon substrate displayed considerable two-photon absorption while, the multilayer MoS2 synthesized on the quartz exhibited saturable absorption. In general, few-layered MoS2 would be useful for the development of nanophotonic devices like optical limiters, optical switchers, etc.

  4. DETERMINATION OF VICKERS MICROHARDNESS IN β-Ga2O3 SINGLE CRYSTALS GROWN FROM THEIR OWN MELT

    Directory of Open Access Journals (Sweden)

    L. I. Guzilova

    2015-05-01

    Full Text Available The results of microhardness measurements of β-Ga2O3 single crystals for (001 crystallographic face are reported. The crystals were grown by the free crystallization with the "Garnet-2M" equipment. Microhardness values ​​ were determined by the Vickers method at varying loads. A four-sided diamond pyramid was used as an indenter. The average value of gallium oxide microhardness was equal to 8.91 GPa. We have carried out comparison of the values ​​obtained with the microhardness for the other wide bandgap semiconductors - epitaxial GaN layers grown on 6H-SiC and GaP layers grown on GaP:S. The findings are usable for machining process development of β-Ga2O3 single crystal substrates. In particular, silicon carbide and electrocorundum may be recommended for β-Ga2O3 machine processing.

  5. Growth and properties of epitaxial iron oxide layers

    NARCIS (Netherlands)

    Voogt, F.C; Fujii, T; Hibma, T; Zhang, G.L.; Smulders, P.J M

    1996-01-01

    Epitaxial layers of iron oxides have been grown on a MgO(001) substrate by evaporating natural Fe or Fe-57 from Knudsen cells in the presence of a NO2 flow directed to the substrate. The resulting layers have been investigated in situ with LEED, RHEED, AES and XPS and ex situ with GEMS and ion beam

  6. Electrical and piezoelectric properties of BiFeO3 thin films grown on SrxCa1−xRuO3-buffered SrTiO3 substrates

    KAUST Repository

    Yao, Yingbang

    2012-06-01

    (001)-oriented BiFeO 3 (BFO) thin films were grown on Sr xCa 1-xRuO 3- (SCRO; x = 1, 0.67, 0.33, 0) buffered SrTiO 3 (001) substrates using pulsed laser deposition. The microstructural, electrical, ferroelectric, and piezoelectric properties of the thin films were considerably affected by the buffer layers. The interface between the BFO films and the SCRO-buffer layer was found to play a dominant role in determining the electrical and piezoelectric behaviors of the films. We found that films grown on SrRuO 3-buffer layers exhibited minimal electrical leakage while films grown on Sr 0.33Ca 0.67RuO 3-buffer layers had the largest piezoelectric response. The origin of this difference is discussed. © 2012 American Institute of Physics.

  7. All-MOCVD-grown BH laser on P-InP substrates

    Science.gov (United States)

    Nishimura, Tadashi; Ishimura, E.; Nakajima, Yasuo; Tada, Hitoshi; Kimura, T.; Ohkura, Y.; Goto, Katsuhiko; Omura, Etsuji; Aiga, Masao

    1993-07-01

    A very low cw threshold current of 2.5 mA ( 25 degree(s)C) and 8.0 mA ( 80 degree(s)C) with high reliability has been realized in the all-MOCVD grown BH lasers on p-InP substrates. A strained MQW active layer of 1.3 micrometers wavelength and the precise carrier confinement buried structure by MOCVD is employed for the BH lasers. The excellent potential of long lifetime of the all-MOCVD grown laser has also been confirmed. After the high temperature and the high current (100 degree(s)C, 200 mA) aging test, no significant degradation is observed which is comparable with the well-established LPE grown lasers. The BH laser is also operating stably over 3700 hrs under the APC condition of 50 degree(s)C, 10 mW. Finally, an extremely uniform 10-element all-MOCVD grown LD array is demonstrated, which has the threshold current uniformity of 2.4 +/- 0.1 mA ( 25 degree(s)C) and 9.2 +/- 0.2 mA ( 80 degree(s)C). The growth mechanism in the MOCVD is also described.

  8. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  9. Efficiency enhancement of solid-state PbS quantum dot-sensitized solar cells with Al2O3 barrier layer

    KAUST Repository

    Brennan, Thomas P.; Trejo, Orlando; Roelofs, Katherine E.; Xu, John; Prinz, Fritz B.; Bent, Stacey F.

    2013-01-01

    Atomic layer deposition (ALD) was used to grow both PbS quantum dots and Al2O3 barrier layers in a solid-state quantum dot-sensitized solar cell (QDSSC). Barrier layers grown prior to quantum dots resulted in a near-doubling of device efficiency (0.30% to 0.57%) whereas barrier layers grown after quantum dots did not improve efficiency, indicating the importance of quantum dots in recombination processes. © 2013 The Royal Society of Chemistry.

  10. Residual carrier density in GaSb grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2006-01-01

    The relationships between the densities of residual carriers and those of dislocation in GaSb films grown on Si substrates were investigated. Dislocation density was evaluated by cross-sectional transmission electron microscopy (TEM). The TEM images indicated that the dislocation density after a 5-μm-thick GaSb film was grown was below 1 x 10 8 /cm 2 although the density near the interface between the Si substrate and the GaSb film was about 3 x 10 9 /cm 2 . Forming a dislocation loop by growing a thick GaSb layer may decrease the dislocation density. The density and mobility of the residual carrier were investigated by Hall measurement using the van der Pauw method. The residual carriers in GaSb grown on Si substrates were holes, and their densities decreased significantly from 4.2 x 10 18 to 1.4 x 10 17 /cm 3 as GaSb thickness was increased from 500 to 5500 nm

  11. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    Science.gov (United States)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  12. Epitaxial Growth of Hard Ferrimagnetic Mn3Ge Film on Rhodium Buffer Layer

    Directory of Open Access Journals (Sweden)

    Atsushi Sugihara

    2015-06-01

    Full Text Available Mn\\(_3\\Ge has a tetragonal Heusler-like D0\\(_{22}\\ crystal structure, exhibiting a large uniaxial magnetic anisotropy and small saturation magnetization due to its ferrimagnetic spin structure; thus, it is a hard ferrimagnet. In this report, epitaxial growth of a Mn\\(_3\\Ge film on a Rh buffer layer was investigated for comparison with that of a film on a Cr buffer layer in terms of the lattice mismatch between Mn\\(_3\\Ge and the buffer layer. The film grown on Rh had much better crystalline quality than that grown on Cr, which can be attributed to the small lattice mismatch. Epitaxial films of Mn\\(_3\\Ge on Rh show somewhat small coercivity (\\(H_{\\rm c}\\ = 12.6 kOe and a large perpendicular magnetic anisotropy (\\(K_{\\rm u}\\ = 11.6 Merg/cm\\(^3\\, comparable to that of the film grown on Cr.

  13. Structural and electrical properties of epitaxial Si layers prepared by E-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Rudigier, E.; Fenske, F.; Lee, K.Y.; Gorka, B.; Rau, B.; Conrad, E.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2008-08-30

    In this work, we present structural and electrical properties of thin Si films which are homoepitaxially grown at low substrate temperatures (T{sub s} 450-700 deg. C) by high-rate electron beam evaporation. As substrates, monocrystalline Si wafers with (100) and (111) orientations and polycrystalline Si (poly-Si) seed layers on glass were used. Applying Secco etching, films grown on Si(111) wafers exhibit a decreasing etch pit density with increasing T{sub s}. The best structural quality of the films was obtained on Si(100) wafers. Defect etching on epitaxially grown poly-Si absorbers reveal regions with different crystalline quality. Solar cells have been prepared on both wafers and seed layers. Applying Rapid Thermal Annealing (RTA) and Hydrogen plasma passivation an open circuit voltage of 570 mV for wafer based and 346 mV for seed layer based solar cells have been reached.

  14. Effect of post-growth annealing on secondary phase formation in low-temperature-grown Mn-doped GaAs

    DEFF Research Database (Denmark)

    Kovács, A.; Sadowski, J.; Kasama, Takeshi

    2013-01-01

    The microstructures of annealed GaAs layers containing 0.1%, 0.5% and 2% Mn are studied using aberration-corrected transmission electron microscopy (TEM). The layers were grown by molecular beam epitaxy at 270 °C. After heat treatment at 400, 560 and 630 °C, they are found to contain precipitate...... in annealed GaMnAs layers doped with low Mn concentrations is proposed....

  15. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  16. Annual report 1985

    International Nuclear Information System (INIS)

    1985-01-01

    Aspects regarding ceramics, electronic materials, metals, the specialist task group, services to industry and other organizations and research support activities are discussed in the report. The highlights of the period are given, namely: the effect of high pressure on twophase systems, spinel structures, elastic moduli of stabilized zirconia crystals, alumina ceramics, a fast sodium-ion conducting solid electrolyte (Nasicon), liquid phase epitaxial growth of (HgCd)Te, compositional uniformity of bulk-grown (HgCd)Te, semi-quantitative mass spectrography of Cd and Te, depth profiling of metal semiconductor interdiffusion, low resistance ohmic contacts on GaAs, studies of Fe-Mn-Al alloys, surface mechanical properties of materials, electron diffraction, ceramic mould laboratory for investment casting of metals, grain boundary, sliding in the deformation of polycrystalline copper and a theory of work-hardening in face-centered cubic metals

  17. Influence of seed layer treatment on low temperature grown ZnO nanotubes: Performances in dye sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ameen, Sadia [Energy Materials and Surface Science Laboratory, Solar Energy Research Center, School of Chemical Engineering, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Akhtar, M. Shaheer [School of Semiconductor and Chemical Engineering and Solar Energy Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); New and Renewable Energy Material Development Center (NewREC), Chonbuk National University, Buan-gun, Jeonbuk (Korea, Republic of); Kim, Young Soon [Energy Materials and Surface Science Laboratory, Solar Energy Research Center, School of Chemical Engineering, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Yang, O-Bong [School of Semiconductor and Chemical Engineering and Solar Energy Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Shin, Hyung-Shik, E-mail: hsshin@jbnu.ac.k [Energy Materials and Surface Science Laboratory, Solar Energy Research Center, School of Chemical Engineering, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2011-01-01

    Non-aligned and highly densely aligned ZnO nanotube (NTs), synthesized by low temperature solution method were applied as photoanode materials for the fabrication of efficient dye-sensitized solar cells (DSSCs). The crystalline and the morphological analysis revealed that the grown aligned ZnO NTs possessed a typical hexagonal crystal structure of outer and inner diameter {approx}250 nm and {approx}100 nm, respectively. ZnO seeding on FTO substrates is an essential step to achieve the aligned ZnO NTs. A DSSC fabricated with aligned ZnO NTs photoanode achieved high solar-to-electricity conversion efficiency of {approx}2.2% with short circuit current (J{sub SC}) of 5.5 mA/cm{sup 2}, open circuit voltage (V{sub OC}) of 0.65 V and fill factor (FF) of 0.61. Significantly, the aligned ZnO NTs photoanode showed three times improved solar-to-electricity conversion efficiency than DSSC fabricated with non-aligned ZnO NTs. The enhanced performances were credited to the aligned morphology of ZnO NTs which executed the high charge collection and the transfer of electrons at the interfaces of ZnO NTs and electrolyte layer.

  18. Carbon Nanotube Microarrays Grown on Nanoflake Substrates

    Science.gov (United States)

    Schmidt, Howard K.; Hauge, Robert H.; Pint, Cary; Pheasant, Sean

    2013-01-01

    This innovation consists of a new composition of matter where single-walled carbon nanotubes (SWNTs) are grown in aligned arrays from nanostructured flakes that are coated in Fe catalyst. This method of growth of aligned SWNTs, which can yield well over 400 percent SWNT mass per unit substrate mass, exceeds current yields for entangled SWNT growth. In addition, processing can be performed with minimal wet etching treatments, leaving aligned SWNTs with superior properties over those that exist in entangled mats. The alignment of the nanotubes is similar to that achieved in vertically aligned nanotubes, which are called "carpets. " Because these flakes are grown in a state where they are airborne in a reactor, these flakes, after growing SWNTs, are termed "flying carpets. " These flakes are created in a roll-to-roll evaporator system, where three subsequent evaporations are performed on a 100-ft (approx. =30-m) roll of Mylar. The first layer is composed of a water-soluble "release layer, " which can be a material such as NaCl. After depositing NaCl, the second layer involves 40 nm of supporting layer material . either Al2O3 or MgO. The thickness of the layer can be tuned to synthesize flakes that are larger or smaller than those obtained with a 40-nm deposition. Finally, the third layer consists of a thin Fe catalyst layer with a thickness of 0.5 nm. The thickness of this layer ultimately determines the diameter of SWNT growth, and a layer that is too thick will result in the growth of multiwalled carbon nanotubes instead of single-wall nanotubes. However, between a thickness of 0.5 nm to 1 nm, single-walled carbon nanotubes are known to be the primary constituent. After this three-layer deposition process, the Mylar is rolled through a bath of water, which allows catalyst-coated flakes to detach from the Mylar. The flakes are then collected and dried. The method described here for making such flakes is analogous to that which is used to make birefringent ink that is

  19. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A.; Anthopoulos, Thomas D.

    2017-01-01

    with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors

  20. Effects of nitrogen incorporation in HfO(2) grown on InP by atomic layer deposition: an evolution in structural, chemical, and electrical characteristics.

    Science.gov (United States)

    Kang, Yu-Seon; Kim, Dae-Kyoung; Kang, Hang-Kyu; Jeong, Kwang-Sik; Cho, Mann-Ho; Ko, Dae-Hong; Kim, Hyoungsub; Seo, Jung-Hye; Kim, Dong-Chan

    2014-03-26

    We investigated the effects of postnitridation on the structural characteristics and interfacial reactions of HfO2 thin films grown on InP by atomic layer deposition (ALD) as a function of film thickness. By postdeposition annealing under NH3 vapor (PDN) at 600 °C, an InN layer formed at the HfO2/InP interface, and ionized NHx was incorporated in the HfO2 film. We demonstrate that structural changes resulting from nitridation of HfO2/InP depend on the film thickness (i.e., a single-crystal interfacial layer of h-InN formed at thin (2 nm) HfO2/InP interfaces, whereas an amorphous InN layer formed at thick (>6 nm) HfO2/InP interfaces). Consequently, the tetragonal structure of HfO2 transformed into a mixture structure of tetragonal and monoclinic because the interfacial InN layer relieved interfacial strain between HfO2 and InP. During postdeposition annealing (PDA) in HfO2/InP at 600 °C, large numbers of oxidation states were generated as a result of interfacial reactions between interdiffused oxygen impurities and out-diffused InP substrate elements. However, in the case of the PDN of HfO2/InP structures at 600 °C, nitrogen incorporation in the HfO2 film effectively blocked the out-diffusion of atomic In and P, thus suppressing the formation of oxidation states. Accordingly, the number of interfacial defect states (Dit) within the band gap of InP was significantly reduced, which was also supported by DFT calculations. Interfacial InN in HfO2/InP increased the electron-barrier height to ∼0.6 eV, which led to low-leakage-current density in the gate voltage region over 2 V.

  1. Studies on transient characteristics of unipolar resistive switching processes in TiO2 thin film grown by atomic layer deposition

    Science.gov (United States)

    Sahu, Vikas Kumar; Das, Amit K.; Ajimsha, R. S.; Misra, P.

    2018-05-01

    The transient characteristics of resistive switching processes have been investigated in TiO2 thin films grown by atomic layer deposition (ALD) to study the temporal evolution of the switching processes and measure the switching times. The reset and set switching times of unipolar Au/TiO2/Pt devices were found to be ~250 µs and 180 ns, respectively in the voltage windows of 0.5–0.9 V for reset and 1.9–4.8 V for set switching processes, obtained from quasi-static measurements. The reset switching time decreased exponentially with increasing amplitude of applied reset voltage pulse, while the set switching time remained insensitive to the amplitude of the set voltage pulse. A fast reset process with a switching time of ~400 ns was achieved by applying a reset voltage of ~1.8 V, higher than that of the quasi-static reset voltage window but below the set voltage window. The sluggish reset process in TiO2 thin film and the dependence of the reset switching time on the amplitude of the applied voltage pulse was understood on the basis of a self-accelerated thermal dissolution model of conducting filaments (CFs), where a higher temperature of the CFs owing to enhanced Joule heating at a higher applied voltage imposes faster diffusion of oxygen vacancies, resulting in a shorter reset switching time. Our results clearly indicate that fast resistive switching with switching times in hundreds of nanoseconds can be achieved in ALD-grown TiO2 thin films. This may find applications in fast non-volatile unipolar resistive switching memories.

  2. Oblique-angle sputtered AlN nanocolumnar layer as a buffer layer in GaN-based LED

    International Nuclear Information System (INIS)

    Chen, Lung-Chien; Tien, Ching-Ho; Liao, Wei-Chian; Luo, Yi-Min

    2011-01-01

    This work presents an aluminum nitride (AlN) nanocolumnar layer sputtered at various oblique angles and its application as a buffer layer for GaN-based light-emitting diodes (LEDs) that are fabricated on sapphire substrates. The OA-AlN nanocolumnar layer has a diameter of about 30-60 nm. The GaN-based LED structure is perpendicularly extended from the OA-AlN nanocolumnar layer. Then, the nanocolumnar structure is merged into p-GaN layer to form a mesa structure with a diameter of about 200-600 nm on the surface of the GaN-based LED. Moreover, optical characteristics of the LED were studied using photoluminescence, along with the blue-shifts observed as well. - Research highlights: → An AlN nanocolumnar buffer layer prepared by oblique-angle (OA) deposition. → GaN-based LED structures were grown on a sapphire substrate with an AlN nanocolumnar buffer layer. → The OA-AlN nanocolumnar layer has a diameter of about 30-60 nm.

  3. Positron annihilation spectroscopy for the determination of thickness and defect profile in thin semiconductor layers

    Science.gov (United States)

    Zubiaga, A.; García, J. A.; Plazaola, F.; Tuomisto, F.; Zúñiga-Pérez, J.; Muñoz-Sanjosé, V.

    2007-05-01

    We present a method, based on positron annihilation spectroscopy, to obtain information on the defect depth profile of layers grown over high-quality substrates. We have applied the method to the case of ZnO layers grown on sapphire, but the method can be very easily generalized to other heterostructures (homostructures) where the positron mean diffusion length is small enough. Applying the method to the ratio of W and S parameters obtained from Doppler broadening measurements, W/S plots, it is possible to determine the thickness of the layer and the defect profile in the layer, when mainly one defect trapping positron is contributing to positron trapping at the measurement temperature. Indeed, the quality of such characterization is very important for potential technological applications of the layer.

  4. Investigations on the growth of a-oriented layers of high temperature superconductors

    International Nuclear Information System (INIS)

    Sodtke, E.

    1992-10-01

    The properties of a-oriented YBaCuO and PrBaCuO layers were investigated. The layers are grown in a modified template method and analyzed by X-ray diffraction, Raman spectroscopy and Hall measurements. (WL)

  5. Flat-Top and Stacking-Fault-Free GaAs-Related Nanopillars Grown on Si Substrates

    Directory of Open Access Journals (Sweden)

    Kouta Tateno

    2012-01-01

    Full Text Available The VLS (vapor-liquid-solid method is one of the promising techniques for growing vertical III-V compound semiconductor nanowires on Si for application to optoelectronic circuits. Heterostructures grown in the axial direction by the VLS method and in the radial direction by the general layer-by-layer growth method make it possible to fabricate complicated and functional three-dimensional structures in a bottom-up manner. We can grow some vertical heterostructure nanopillars with flat tops on Si(111 substrates, and we have obtained core-multishell Ga(InP/GaAs/GaP nanowires with flat tops and their air-gap structures by using selective wet etching. Simulations indicate that a high- factor of over 2000 can be achieved for this air-gap structure. From the GaAs growth experiments, we found that zincblende GaAs without any stacking faults can be grown after the GaP nanowire growth. Pillars containing a quantum dot and without stacking faults can be grown by using this method. We can also obtain flat-top pillars without removing the Au catalysts when using small Au particles.

  6. Atomic layer deposition of zirconium dioxide from zirconium tetrachloride and ozone

    Energy Technology Data Exchange (ETDEWEB)

    Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Kemell, Marianna; Köykkä, Joel [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [Accelerator Laboratory, Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 Helsinki (Finland); Vehkamäki, Marko; Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2015-08-31

    ZrO{sub 2} films were grown by atomic layer deposition using ZrCl{sub 4} and O{sub 3} as precursors. The films were grown on silicon substrates in the temperature range of 220–500 °C. The ALD rate was monotonously decreasing from 0.085 to 0.060 nm/cycle in this temperature range towards the highest temperatures studied. The content of chlorine in the films did not exceed 0.2 at.% as measured by elastic recoil detection analysis. The content of hydrogen was 0.30 and 0.14 at.% in the films grown at 300 and 400 °C, respectively. Structural studies revealed the films consisting of mixtures of stable monoclinic and metastable tetragonal/cubic polymorphs of ZrO{sub 2}, and dominantly metastable phases of ZrO{sub 2} below and above 300 °C, respectively. Permittivity of dielectric layers in Al/Ti/ZrO{sub 2}/(TiN/)Si capacitors with 15–40 nm thick ZrO{sub 2} ranged between 12 and 25 at 100 kHz and the dielectric breakdown fields were in the range of 1.5–3.0 MV/cm. - Highlights: • ZrO{sub 2} thin films were grown by atomic layer deposition from ZrCl{sub 4} and O{sub 3}. • Relatively high substrate temperatures promoted growth of metastable ZrO{sub 2} phases. • ZrO{sub 2} films exhibited electric properties characteristic of dielectric metal oxides. • ZrO{sub 2} grown in hydrogen- and carbon free process contained low amounts of impurities.

  7. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  8. Fourfold magnetic anisotropy, coercivity and magnetization reversal of Co/V bilayers grown on MgO(0 0 1)

    Energy Technology Data Exchange (ETDEWEB)

    Calleja, J F [Departamento de Fisica, Facultad de Ciencias, Universidad de Oviedo, Calvo Sotelo s/n, 33007 Oviedo (Spain); Muro, M GarcIa del [Departament de Fisica Fonamental and Institut de Nanociencia i Nanotecnologia IN2UB de la Universitat de Barcelona, MartIi Franques, 1, E-08028 Barcelona (Spain); Presa, B [Departamento de Fisica, Facultad de Ciencias, Universidad de Oviedo, Calvo Sotelo s/n, 33007 Oviedo (Spain); Matarranz, R [Departamento de Fisica, Facultad de Ciencias, Universidad de Oviedo, Calvo Sotelo s/n, 33007 Oviedo (Spain); Corrales, J A [Departmento de Informatica, Universidad de Oviedo, Edificio Departamental 1, Campus de Viesques s/n, 33204 Gijon (Spain); Labarta, A [Departament de Fisica Fonamental and Institut de Nanociencia i Nanotecnologia IN2UB de la Universitat de Barcelona, MartIi Franques, 1, E-08028 Barcelona (Spain); Contreras, M C [Departamento de Fisica, Facultad de Ciencias, Universidad de Oviedo, Calvo Sotelo s/n, 33007 Oviedo (Spain)

    2007-11-21

    Magnetic anisotropy and magnetization reversal of Al/Co/V/MgO(0 0 1) thin films have been investigated. The films were fabricated by magnetron sputtering. The roles of both Co and V layers thicknesses have been studied. Magnetic characterization has been carried out by transverse susceptibility (TS) measurements and hysteresis loops. Cobalt is grown in the hcp structure on V with the c axis parallel to the film plane. Two types of hcp Co crystal are grown with the c axes perpendicular to each other. This structure gives rise to a fourfold magnetic anisotropy. When the V layer thickness is below 40 A a superimposed uniaxial anisotropy develops, the effect of which is a depression in the TS, in agreement with theoretical calculations. This uniaxial anisotropy is induced by the substrate and due to a discontinuous growth of the V layer. For hcp Co grown on V, the magnetic anisotropy rapidly increases with Co layer thickness. In this case, unexpected shifted hysteresis loops along the hard axes were observed when the films were not saturated. This has been explained by taking into account the magnetization reversal along the hard axis: it proceeds via magnetization rotation of some portions of the film at high fields, and by domain wall motion of the rest of the film at lower field values.

  9. Fourfold magnetic anisotropy, coercivity and magnetization reversal of Co/V bilayers grown on MgO(0 0 1)

    International Nuclear Information System (INIS)

    Calleja, J F; Muro, M GarcIa del; Presa, B; Matarranz, R; Corrales, J A; Labarta, A; Contreras, M C

    2007-01-01

    Magnetic anisotropy and magnetization reversal of Al/Co/V/MgO(0 0 1) thin films have been investigated. The films were fabricated by magnetron sputtering. The roles of both Co and V layers thicknesses have been studied. Magnetic characterization has been carried out by transverse susceptibility (TS) measurements and hysteresis loops. Cobalt is grown in the hcp structure on V with the c axis parallel to the film plane. Two types of hcp Co crystal are grown with the c axes perpendicular to each other. This structure gives rise to a fourfold magnetic anisotropy. When the V layer thickness is below 40 A a superimposed uniaxial anisotropy develops, the effect of which is a depression in the TS, in agreement with theoretical calculations. This uniaxial anisotropy is induced by the substrate and due to a discontinuous growth of the V layer. For hcp Co grown on V, the magnetic anisotropy rapidly increases with Co layer thickness. In this case, unexpected shifted hysteresis loops along the hard axes were observed when the films were not saturated. This has been explained by taking into account the magnetization reversal along the hard axis: it proceeds via magnetization rotation of some portions of the film at high fields, and by domain wall motion of the rest of the film at lower field values

  10. Improvement of photoluminescence from Ge layer with patterned Si3N4 stressors

    International Nuclear Information System (INIS)

    Oda, Katsuya; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-01-01

    Lattice strain applied by patterned Si 3 N 4 stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si 3 N 4 stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si 3 N 4 layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si 3 N 4 stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si 3 N 4 stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si 3 N 4 stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si 3 N 4 stressors. • Photoluminescence (PL) intensity was increased with the Si 3 N 4 stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers

  11. Epitaxial (100)-oriented Mo/V superlattice grown on MgO(100) by dcMS and HiPIMS

    International Nuclear Information System (INIS)

    Shayestehaminzadeh, S.; Magnusson, R.L.; Gislason, H.P.; Olafsson, S.

    2013-01-01

    Epitaxial (100)-oriented Mo/V superlattices have been grown by High Power Impulse Magnetron Sputtering (HiPIMS) and dc Magnetron Sputtering (dcMS) on single-crystalline MgO(100) substrates at growth temperatures ranging from 30 °C to 600 °C. Superlattice bilayer period of Mo/V around 12/12 monolayers and 15 repeat periods was studied. This study aims to investigate the effect of the HiPIMS process on reducing the growth temperature of Mo/V superlattices using the high energy ionized Mo, V species in the HiPIMS plasma. In one case, the Mo layer was only grown with the HiPIMS process and V layer grown using the dcMS process while in another both layers were grown with the HiPIMS process. The as-deposited films were characterized by X-ray reflection and diffraction techniques. The dcMS process was found to give superior superlattice growth at high growth temperatures while a mixed Mo HiPIMS and V dcMS process gives better result at lower growth temperatures (300 °C). Room temperature growth reveals that neither the mixed Mo HiPIMS and V dcMS process nor the pure HiPIMS for both materials can produce better result compared to the pure dcMS process, which gives a relatively better result. - Highlights: • Epitaxial (100)-oriented Mo/V superlattices have been grown by HiPIMS and dcMS on MgO(100) for various temperatures. • The study was aimed to investigate the effect of ionized HiPIMS process onlowering the growth temperature. • The dcMS process was found to give superior superlattice growth at high growth temperature. • The mixed Mo HiPIMS and V dcMS process gives best result at lower growth temperatures

  12. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    Science.gov (United States)

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  13. Electrical and morphological characterization of transfer-printed Au/Ti/TiO{sub x}/p{sup +}-Si nano- and microstructures with plasma-grown titanium oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Weiler, Benedikt, E-mail: benedikt.weiler@nano.ei.tum.de; Nagel, Robin; Albes, Tim; Haeberle, Tobias; Gagliardi, Alessio; Lugli, Paolo [Institute for Nanoelectronics, Technische Universität München, Arcisstrasse 21, 80333 München (Germany)

    2016-04-14

    Highly-ordered, sub-70 nm-MOS-junctions of Au/Ti/TiO{sub x}/p{sup +}-Si were efficiently and reliably fabricated by nanotransfer-printing (nTP) over large areas and their functionality was investigated with respect to their application as MOS-devices. First, we used a temperature-enhanced nTP process and integrated the plasma-oxidation of a nm-thin titanium film being e-beam evaporated directly on the stamp before the printing step without affecting the p{sup +}-Si substrate. Second, morphological investigations (scanning electron microscopy) of the nanostructures confirm the reliable transfer of Au/Ti/TiO{sub x}-pillars of 50 nm, 75 nm, and 100 nm size of superior quality on p{sup +}-Si by our transfer protocol. Third, the fabricated nanodevices are also characterized electrically by conductive AFM. Fourth, the results are compared to probe station measurements on identically processed, i.e., transfer-printed μm-MOS-structures including a systematic investigation of the oxide formation. The jV-characteristics of these MOS-junctions demonstrate the electrical functionality as plasma-grown tunneling oxides and the effectivity of the transfer-printing process for their large-scale fabrication. Next, our findings are supported by fits to the jV-curves of the plasma-grown titanium oxide by kinetic-Monte-Carlo simulations. These fits allowed us to determine the dominant conduction mechanisms, the material parameters of the oxides and, in particular, a calibration of the thickness depending on applied plasma time and power. Finally, also a relative dielectric permittivity of 12 was found for such plasma-grown TiO{sub x}-layers.

  14. Origin of the 2.45 eV luminescence band observed in ZnO epitaxial layers grown on c-plane sapphire by chemical vapour deposition

    International Nuclear Information System (INIS)

    Saroj, R K; Dhar, S

    2014-01-01

    Zinc oxide epitaxial layers have been grown on c-plane sapphire substrates by the chemical vapour deposition (CVD) technique. A structural study shows (0001)-oriented films with good crystalline quality. The temperature and excitation power dependence of the photoluminescence (PL) characteristics of these layers is studied as a function of various growth parameters, such as the growth temperature, oxygen flow rate and Zn flux, which suggest that the origin of the broad visible luminescence (VL), which peaks at 2.45 eV, is the transition between the conduction band and the Zn vacancy acceptor states. A bound excitonic transition observed at 3.32 eV in low temperature PL has been identified as an exciton bound to the neutral Zn vacancy. Our study also reveals the involvement of two activation processes in the dynamics of VL, which has been explained in terms of the fluctuation of the capture barrier height for the holes trapped in Zn vacancy acceptors. The fluctuation, which might be a result of the inhomogeneous distribution of Zn vacancies, is found to be associated with an average height of 7 and 90 meV, respectively, for the local and global maxima. (paper)

  15. Influence of incoherent twin boundaries on the electrical properties of β-Ga2O3 layers homoepitaxially grown by metal-organic vapor phase epitaxy

    Science.gov (United States)

    Fiedler, A.; Schewski, R.; Baldini, M.; Galazka, Z.; Wagner, G.; Albrecht, M.; Irmscher, K.

    2017-10-01

    We present a quantitative model that addresses the influence of incoherent twin boundaries on the electrical properties in β-Ga2O3. This model can explain the mobility collapse below a threshold electron concentration of 1 × 1018 cm-3 as well as partly the low doping efficiency in β-Ga2O3 layers grown homoepitaxially by metal-organic vapor phase epitaxy on (100) substrates of only slight off-orientation. A structural analysis by transmission electron microscopy (TEM) reveals a high density of twin lamellae in these layers. In contrast to the coherent twin boundaries parallel to the (100) plane, the lateral incoherent twin boundaries exhibit one dangling bond per unit cell that acts as an acceptor-like electron trap. Since the twin lamellae are thin, we consider the incoherent twin boundaries to be line defects with a density of 1011-1012 cm-2 as determined by TEM. We estimate the influence of the incoherent twin boundaries on the electrical transport properties by adapting Read's model of charged dislocations. Our calculations quantitatively confirm that the mobility reduction and collapse as well as partly the compensation are due to the presence of twin lamellae.

  16. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  17. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    Science.gov (United States)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  18. Photoreflectance study of the near-band-edge transitions of chemical vapor deposition-grown mono- and few-layer MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Kuang-I, E-mail: kilin@mail.ncku.edu.tw [Center for Micro/Nano Science and Technology, National Cheng Kung University, Tainan 70101, Taiwan (China); Chen, Yen-Jen; Wang, Bo-Yan; Cheng, Yung-Chen [Department of Materials Science, National University of Tainan, Tainan 70005, Taiwan (China); Chen, Chang-Hsiao, E-mail: chsiaoc@fcu.edu.tw [Department of Automatic Control Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-03-21

    Room-temperature photoreflectance (PR) and reflectance (R) spectroscopy are utilized to investigate the near-band-edge transitions of molybdenum disulfide (MoS{sub 2}) thin films grown on sapphire substrates by a hot-wall chemical vapor deposition system. The layer thickness and optical properties of the MoS{sub 2} thin films are confirmed by Raman spectroscopy, atomic force microscope, and photoluminescence (PL) analysis. The B exciton shows relatively weak PL intensity in comparing with the A exciton even for monolayer MoS{sub 2} films. In the R spectrum of few‐layer MoS{sub 2}, it is not possible to clearly observe exciton related features. The PR spectra have two sharp, derivative-like features on a featureless background. Throughout the PR lineshape fitting, the transition energies are designated as the A and B excitons at the K-point of the Brillouin zone, but at room temperature there seems to be no distinguishable feature corresponding to an H‐point transition for the mono- and few-layer MoS{sub 2} films unlike in bulk. These transition energies are slightly larger than those obtained by PL, which is attributed to the Stokes shifts related to doping level. The obtained values of valence-band spin-orbit splitting are in good agreement with those from other experimental methods. By comparing the PR lineshapes, the dominant modulation mechanism is attributed to variations of the exciton transition energies due to change in the built-in electric field. On the strength of this study, PR spectroscopy is demonstrated as a powerful technique for characterizing the near-band-edge transitions of MoS{sub 2} from monolayer to bulk.

  19. Nanoporous Aluminum Oxide Membranes Coated with Atomic Layer Deposition-Grown Titanium Dioxide for Biomedical Applications: An In Vitro Evaluation.

    Science.gov (United States)

    Petrochenko, Peter E; Kumar, Girish; Fu, Wujun; Zhang, Qin; Zheng, Jiwen; Liang, Chengdu; Goering, Peter L; Narayan, Roger J

    2015-12-01

    The surface topographies of nanoporous anodic aluminum oxide (AAO) and titanium dioxide (TiO2) membranes have been shown to modulate cell response in orthopedic and skin wound repair applications. In this study, we: (1) demonstrate an improved atomic layer deposition (ALD) method for coating the porous structures of 20, 100, and 200 nm pore diameter AAO with nanometer-thick layers of TiO2 and (2) evaluate the effects of uncoated AAO and TiO2-coated AAO on cellular responses. The TiO2 coatings were deposited on the AAO membranes without compromising the openings of the nanoscale pores. The 20 nm TiO2-coated membranes showed the highest amount of initial protein adsorption via the micro bicinchoninic acid (micro-BCA) assay; all of the TiO2-coated membranes showed slightly higher protein adsorption than the uncoated control materials. Cell viability, proliferation, and inflammatory responses on the TiO2-coated AAO membranes showed no adverse outcomes. For all of the tested surfaces, normal increases in proliferation (DNA content) of L929 fibroblasts were observed over from 4 hours to 72 hours. No increases in TNF-alpha production were seen in RAW 264.7 macrophages grown on TiO2-coated AAO membranes compared to uncoated AAO membranes and tissue culture polystyrene (TCPS) surfaces. Both uncoated AAO membranes and TiO2-coated AAO membranes showed no significant effects on cell growth and inflammatory responses. The results suggest that TiO2-coated AAO may serve as a reasonable prototype material for the development of nanostructured wound repair devices and orthopedic implants.

  20. Symmetry Breaking in Few Layer Graphene Films

    Energy Technology Data Exchange (ETDEWEB)

    Bostwick, A.; Ohta, T.; McChesney, J.L.; Emtsev, K.; Seyller,Th.; Horn, K.; Rotenberg, E.

    2007-05-25

    Recently, it was demonstrated that the quasiparticledynamics, the layer-dependent charge and potential, and the c-axisscreening coefficient could be extracted from measurements of thespectral function of few layer graphene films grown epitaxially on SiCusing angle-resolved photoemission spectroscopy (ARPES). In this articlewe review these findings, and present detailed methodology for extractingsuch parameters from ARPES. We also present detailed arguments againstthe possibility of an energy gap at the Dirac crossing ED.

  1. Conformal spinel/layered heterostructures of Co3O4 shells grown on single-crystal Li-rich nanoplates for high-performance lithium-ion batteries

    Science.gov (United States)

    Xin, Yue; Lan, Xiwei; Chang, Peng; Huang, Yaqun; Wang, Libin; Hu, Xianluo

    2018-07-01

    Lithium-rich layered materials have received much attention because of their high specific capacity and high energy density. Unfortunately, they suffer from irreversible capacity loss, low initial Coulombic efficiency and poor cyclability. Here we report a facile co-precipitation method to synthesize uniform single-crystal Li-rich Li[Li0.2Mn0.54Ni0.13Co0.13]O2 nanoplates without using any template. Subsequently, a Co3O4 shell is in situ grown on the Li-rich nanoplates through a hydrothermal method, leading to spinel/layered heterostructures. The electrode made of conformal heterostructured Li-rich/Co3O4 nanoplates delivers a high discharge capacity of 296 mA h g-1 at 0.1 C with an initial Coulombic efficiency of 84%. The capacity retention reaches 83.2% with a discharge capacity of 223 mA h g-1 after 160 cycles at 0.2 C during the potential window ranging from 2.0 to 4.8 V. The enhanced electrochemical performance of the resulting Li-rich/Co3O4 nanoplates benefits from the unique conformal heterostructure as well as the electrochemically active LixCoOy generated between the reaction of Co3O4 shells and the extracted Li2O during charging/discharging processes.

  2. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  3. Flexible indium zinc oxide/Ag/indium zinc oxide multilayer electrode grown on polyethersulfone substrate by cost-efficient roll-to-roll sputtering for flexible organic photovoltaics

    International Nuclear Information System (INIS)

    Park, Yong-Seok; Kim, Han-Ki

    2010-01-01

    The authors describe the preparation and characteristics of flexible indium zinc oxide (IZO)-Ag-IZO multilayer electrodes grown on flexible polyethersulfone (PES) substrates using a roll-to-roll sputtering system for use in flexible organic photovoltaics. By the continuous roll-to-roll sputtering of the bottom IZO, Ag, and top IZO layers at room temperature, they were able to fabricate a high quality IZO-Ag-IZO multilayer electrode with a sheet resistance of 6.15 ε/square, optical transmittance of 87.4%, and figure of merit value of 42.03x10 -3 Ω -1 on the PES substrate. In addition, the IZO-Ag-IZO multilayer electrode exhibited superior flexibility to the roll-to-roll sputter grown single ITO electrode due to the existence of a ductile Ag layer between the IZO layers and stable amorphous structure of the IZO film. Furthermore, the flexible organic solar cells (OSCs) fabricated on the roll-to-roll sputter grown IZO-Ag-IZO electrode showed higher power efficiency (3.51%) than the OSCs fabricated on the roll-to-roll sputter grown single ITO electrode (2.67%).

  4. Effect of e-beam irradiation on graphene layer grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Iqbal, M. Z.; Kumar Singh, Arun; Iqbal, M. W.; Seo, Sunae; Eom, Jonghwa

    2012-01-01

    We have grown graphene by chemical vapor deposition (CVD) and transferred it onto Si/SiO 2 substrates to make tens of micron scale devices for Raman spectroscopy study. The effect of electron beam (e-beam) irradiation of various doses (600 to 12 000 μC/cm 2 ) on CVD grown graphene has been examined by using Raman spectroscopy. It is found that the radiation exposures result in the appearance of the strong disorder D band attributed the damage to the lattice. The evolution of peak frequencies, intensities, and widths of the main Raman bands of CVD graphene is analyzed as a function of defect created by e-beam irradiation. Especially, the D and G peak evolution with increasing radiation dose follows the amorphization trajectory, which suggests transformation of graphene to the nanocrystalline and then to amorphous form. We have also estimated the strain induced by e-beam irradiation in CVD graphene. These results obtained for CVD graphene are in line with previous findings reported for the mechanically exfoliated graphene [D. Teweldebrhan and A. A. Balandin, Appl. Phys. Lett. 94, 013101 (2009)]. The results have important implications for CVD graphene characterization and device fabrication, which rely on the electron microscopy.

  5. Layered double hydroxides/polymer thin films grown by matrix assisted pulsed laser evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R.; Matei, A.; Mitu, B.; Ionita, M.D.; Filipescu, M.; Stokker-Cheregi, F.; Luculescu, C.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest–Magurele (Romania); Zavoianu, R.; Pavel, O.D. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania); Corobea, M.C. [National R. and S. Institute for Chemistry and Petrochemistry, ICECHIM, 202 Splaiul Independentei Str., CP-35-274, 060021, Bucharest (Romania)

    2013-09-30

    Due to their highly tunable properties, layered double hydroxides (LDHs) are an emerging class of the favorably layered crystals used for the preparation of multifunctional polymer/layered crystal nanocomposites. In contrast to cationic clay materials with negatively charge layers, LDHs are the only host lattices with positively charged layers (brucite-like), with interlayer exchangeable anions and intercalated water. In this work, the deposition of thin films of Mg and Al based LDH/polymers nanocomposites by laser techniques is reported. Matrix assisted pulsed laser evaporation was the method used for thin films deposition. The Mg–Al LDHs capability to act as a host for polymers and to produce hybrid LDH/polymer films has been investigated. Polyethylene glycol with different molecular mass compositions and ethylene glycol were used as polymers. The structure and surface morphology of the deposited LDH/polymers films were examined by X-ray diffraction, Fourier transform infra-red spectroscopy, atomic force microscopy and scanning electron microscopy. - Highlights: • Hybrid composites deposited by matrix assisted pulsed laser evaporation (MAPLE). • Mg–Al layered double hydroxides (LDH) and polyethylene glycol (PEG) are used. • Mixtures of PEG1450 and LDH were deposited by MAPLE. • Deposited thin films preserve the properties of the starting material. • The film wettability can be controlled by the amount of PEG.

  6. Photoelectrochemical and Raman characterization of nanocrystalline CdS grown on ZnO by successive ionic layer adsorption and reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Kozytskiy, A.V. [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 Nauky Av., 03028 Kyiv (Ukraine); Stroyuk, O.L., E-mail: stroyuk@inphyschem-nas.kiev.ua [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 Nauky Av., 03028 Kyiv (Ukraine); Kuchmiy, S.Ya. [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 Nauky Av., 03028 Kyiv (Ukraine); Mazanik, A.V.; Poznyak, S.K. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Streltsov, E.A., E-mail: streltea@bsu.by [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Kulak, A.I., E-mail: kulak@igic.bas-net.by [Institute of General and Inorganic Chemistry, National Academy of Sciences of Belarus, Surganova str., 9/1, Minsk 220072 (Belarus); Korolik, O.V. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Dzhagan, V.M., E-mail: dzhagan@isp.kiev.ua [V.E. Lashkaryov Institute of Semiconductor Physics of National Academy of Sciences of Ukraine, 41 Nauky Av., 03028 Kyiv (Ukraine)

    2014-07-01

    Properties of CdS nanoparticles (NPs) grown by successive ionic layer adsorption and reaction (SILAR) method on the surface of electrodeposited ZnO films were studied by Raman, photocurrent and UV–Vis absorption spectroscopies. The CdS nanoparticles deposited at a SILAR cycle number (N) from 5 to 10 exhibit a broadening of the band gap (E{sub g}) by 0.17–0.31 eV as compared with that of the CdS particles grown at N = 30. The size quantization of the interband transition energy in CdS nanoparticles is in accordance with the Raman spectroscopic data demonstrating a considerable increase in the LO peak intensity with increasing the N from 5 to 10 as a result of transition to resonant light scattering. The spectral width of the LO peak decreases from 50 to 15 cm{sup −1} as the N increases from 5 to 30 reflecting a less pronounced effect of the nanoparticle surface on the phonon scattering. A large spectral width of the Raman peaks is assumed to originate from a complex structure of the CdS nanoparticles comprising crystallinity domains that can affect the phonon confinement. The photocurrent spectroscopy of ZnO/CdS heterostructures showed that the band gap of CdS NPs deposited at N > 20 is smaller by ∼ 0.08 eV than that of bulk cadmium sulfide. It was concluded that this effect is not associated with photoexcitation of structural defects but rather reflects intrinsic electronic properties of SILAR-deposited CdS nanoparticles. - Highlights: • Visible-light-sensitive ZnO/CdS heterostructures were prepared by SILAR. • A large Raman peak width originates from a complex structure of CdS nanoparticles. • Vibrational properties of CdS nanoparticles depend on SILAR cycle number.

  7. Photoelectrochemical and Raman characterization of nanocrystalline CdS grown on ZnO by successive ionic layer adsorption and reaction method

    International Nuclear Information System (INIS)

    Kozytskiy, A.V.; Stroyuk, O.L.; Kuchmiy, S.Ya.; Mazanik, A.V.; Poznyak, S.K.; Streltsov, E.A.; Kulak, A.I.; Korolik, O.V.; Dzhagan, V.M.

    2014-01-01

    Properties of CdS nanoparticles (NPs) grown by successive ionic layer adsorption and reaction (SILAR) method on the surface of electrodeposited ZnO films were studied by Raman, photocurrent and UV–Vis absorption spectroscopies. The CdS nanoparticles deposited at a SILAR cycle number (N) from 5 to 10 exhibit a broadening of the band gap (E g ) by 0.17–0.31 eV as compared with that of the CdS particles grown at N = 30. The size quantization of the interband transition energy in CdS nanoparticles is in accordance with the Raman spectroscopic data demonstrating a considerable increase in the LO peak intensity with increasing the N from 5 to 10 as a result of transition to resonant light scattering. The spectral width of the LO peak decreases from 50 to 15 cm −1 as the N increases from 5 to 30 reflecting a less pronounced effect of the nanoparticle surface on the phonon scattering. A large spectral width of the Raman peaks is assumed to originate from a complex structure of the CdS nanoparticles comprising crystallinity domains that can affect the phonon confinement. The photocurrent spectroscopy of ZnO/CdS heterostructures showed that the band gap of CdS NPs deposited at N > 20 is smaller by ∼ 0.08 eV than that of bulk cadmium sulfide. It was concluded that this effect is not associated with photoexcitation of structural defects but rather reflects intrinsic electronic properties of SILAR-deposited CdS nanoparticles. - Highlights: • Visible-light-sensitive ZnO/CdS heterostructures were prepared by SILAR. • A large Raman peak width originates from a complex structure of CdS nanoparticles. • Vibrational properties of CdS nanoparticles depend on SILAR cycle number

  8. On quantum efficiency of photoluminescence in ZnO layers and nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Reshchikov, M.A., E-mail: mreshchi@vcu.ed [Physics Department, Virginia Commonwealth University, 701 W. Grace St., Richmond, VA 23284 (United States); El-Shaer, A.; Behrends, A.; Bakin, A.; Waag, A. [Institute of Semiconductor Technology, Technical University of Braunschweig, Braunschweig D-38106 (Germany)

    2009-12-15

    In this work we studied PL in ZnO layers and nanostructures, including ZnO homoepitaxial layers on ZnO substrate and ZnO-Zn{sub 1-x}Mg{sub x}O single quantum well (SQW) structures grown on sapphire substrates by MBE, and ZnO nanowires grown on sapphire by MOCVD. The external quantum efficiency (QE) of PL in O-face ZnO layers exceeded that in Zn-face ZnO layers by two orders of magnitude at low temperatures. In a sample with SQW the combined external QE from the 4.6-nm-wide SQW and 50-nm-thick Zn{sub 1-x}Mg{sub x}O barriers achieved 28% at 15 K. The highest external QE was observed in one of the samples with ZnO nanowires-52% at 15 K and 2% at 300 K. Contribution of defect-related PL bands in ZnO nanowires samples was extremely low.

  9. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  10. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  11. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  12. Effect of substrates and thickness on optical properties in atomic layer deposition grown ZnO thin films

    Science.gov (United States)

    Pal, Dipayan; Singhal, Jaya; Mathur, Aakash; Singh, Ajaib; Dutta, Surjendu; Zollner, Stefan; Chattopadhyay, Sudeshna

    2017-11-01

    Atomic Layer Deposition technique was used to grow high quality, very low roughness, crystalline, Zinc Oxide (ZnO) thin films on silicon (Si) and fused quartz (SiO2) substrates to study the optical properties. Spectroscopic ellipsometry results of ZnO/Si system, staggered type-II quantum well, demonstrate that there is a significant drop in the magnitudes of both the real and imaginary parts of complex dielectric constants and in near-band gap absorption along with a blue shift of the absorption edge with decreasing film thickness at and below ∼20 nm. Conversely, UV-vis absorption spectroscopy of ZnO/SiO2, thin type-I quantum well, consisting of a narrower-band gap semiconductor grown on a wider-band gap (insulator) substrate, shows the similar thickness dependent blue-shift of the absorption edge but with an increase in the magnitude of near-band gap absorption with decreasing film thickness. Thickness dependent blue shift, energy vs. 1/d2, in two different systems, ZnO/Si and ZnO/SiO2, show a difference in their slopes. The observed phenomena can be consistently explained by the corresponding exciton (or carrier/s) deconfinement and confinement effects at the ZnO/Si and ZnO/SiO2 interface respectively, where Tanguy-Elliott amplitude pre-factor plays the key role through the electron-hole overlap factor at the interface.

  13. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    International Nuclear Information System (INIS)

    Valdueza-Felip, S.; Ibáñez, J.; Monroy, E.; González-Herráez, M.; Artús, L.; Naranjo, F.B.

    2012-01-01

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of ∼ 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at ∼ 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: ► Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. ► Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. ► Room-temperature photoluminescence emission at 1.58 eV. ► InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  14. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Valdueza-Felip, S., E-mail: sirona.valdueza@depeca.uah.es [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Ibanez, J. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Monroy, E. [CEA-Grenoble, INAC/SP2M/NPSC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Gonzalez-Herraez, M. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Artus, L. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Naranjo, F.B. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain)

    2012-01-31

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of {approx} 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at {approx} 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: Black-Right-Pointing-Pointer Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. Black-Right-Pointing-Pointer Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. Black-Right-Pointing-Pointer Room-temperature photoluminescence emission at 1.58 eV. Black-Right-Pointing-Pointer InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  15. Admittance of MIS-Structures Based on HgCdTe with a Double-Layer CdTe/Al2O3 Insulator

    Science.gov (United States)

    Dzyadukh, S. M.; Voitsekhovskii, A. V.; Nesmelov, S. N.; Sidorov, G. Yu.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretsky, S. A.; Mikhailov, N. N.; Yakushev, M. V.

    2018-03-01

    Admittance of MIS structures based on n( p)- Hg1-xCdxTe (at x from 0.22 to 0.40) with SiO2/Si3N4, Al2O3, and CdTe/Al2O3 insulators is studied experimentally at 77 K. Growth of an intermediate CdTe layer during epitaxy results in the almost complete disappearance of the hysteresis of electrophysical characteristics of MIS structures based on graded-gap n-HgCdTe for a small range of the voltage variation. For a wide range of the voltage variation, the hysteresis of the capacitance-voltage characteristics appears for MIS structures based on n-HgCdTe with the CdTe/Al2O3 insulator. However, the hysteresis mechanism differs from that in case of a single-layer Al2O3 insulator. For MIS structures based on p-HgCdTe, introduction of an additional CdTe layer does not lead to a significant decrease of the hysteresis phenomena, which may be due to the degradation of the interface properties when mercury leaves the film as a result of low-temperature annealing changing the conductivity type of the semiconductor.

  16. Developmental, nutritional and hormonal anomalies of weightlessness-grown wheat

    Science.gov (United States)

    Carman, J. G.; Hole, P.; Salisbury, F. B.; Bingham, G. E.

    2015-07-01

    The behavior of water in weightlessness, as occurs in orbiting spacecraft, presents multiple challenges for plant growth. Soils remain saturated, impeding aeration, and leaf surfaces remain wet, impeding gas exchange. Herein we report developmental and biochemical anomalies of "Super Dwarf" wheat (Triticum aestivum L.) grown aboard Space Station Mir during the 1996-97 "Greenhouse 2" experiment. Leaves of Mir-grown wheat were hyperhydric, senesced precociously and accumulated aromatic and branched-chain amino acids typical of tissues experiencing oxidative stress. The highest levels of stress-specific amino acids occurred in precociously-senescing leaves. Our results suggest that the leaf ventilation system of the Svet Greenhouse failed to remove sufficient boundary layer water, thus leading to poor gas exchange and onset of oxidative stress. As oxidative stress in plants has been observed in recent space-flight experiments, we recommend that percentage water content in apoplast free-spaces of leaves be used to evaluate leaf ventilation effectiveness. Mir-grown plants also tillered excessively. Crowns and culms of these plants contained low levels of abscisic acid but high levels of cytokinins. High ethylene levels may have suppressed abscisic acid synthesis, thus permitting cytokinins to accumulate and tillering to occur.

  17. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  18. Structural and physical properties of InAlAs quantum dots grown on GaAs

    Science.gov (United States)

    Vasile, B. S.; Daly, A. Ben; Craciun, D.; Alexandrou, I.; Lazar, S.; Lemaître, A.; Maaref, M. A.; Iacomi, F.; Craciun, V.

    2018-04-01

    Quantum dots (QDs), which have particular physical properties due to the three dimensions confinement effect, could be used in many advanced optoelectronic applications. We investigated the properties of InAlAs/AlGaAs QDs grown by molecular beam epitaxy on GaAs/Al0.5Ga0.5As layers. The optical properties of QDs were studied by low-temperature photoluminescence (PL). Two bandgap transitions corresponding to the X-Sh and X-Ph energy structure were observed. The QDs structure was investigated using high-resolution X-ray diffraction (HRXRD) and high-resolution transmission electron microscopy (HRTEM). HRXRD investigations showed that the layers grew epitaxially on the substrate, with no relaxation. HRTEM investigations confirmed the epitaxial nature of the grown structures. In addition, it was revealed that the In atoms aggregated in some prismatic regions, forming areas of high In concentration, that were still in perfect registry with the substrate.

  19. Direct transfer of multilayer graphene grown on a rough metal surface using PDMS adhesion engineering

    Science.gov (United States)

    Jang, Heejun; Kang, Il-Suk; Lee, Youngbok; Cha, Yun Jeong; Yoon, Dong Ki; Ahn, Chi Won; Lee, Wonhee

    2016-09-01

    The direct transfer of graphene using polydimethylsiloxane (PDMS) stamping has advantages such as a ‘pick-and-place’ capability and no chemical residue problems. However, it is not easy to apply direct PDMS stamping to graphene grown via chemical vapor deposition on rough, grainy metal surfaces due to poor contact between the PDMS and graphene. In this study, graphene consisting of a mixture of monolayers and multiple layers grown on a rough Ni surface was directly transferred without the use of an adhesive layer. Liquid PDMS was cured on graphene to effect a conformal contact with the graphene. A fast release of graphene from substrate was achieved by carrying out wet-etching-assisted mechanical peeling. We also carried out a thermal post-curing of PDMS to control the level of adhesion between PDMS and graphene and hence facilitate a damage-free release of the graphene. Characterization of the transferred graphene by micro-Raman spectroscopy, SEM/EDS and optical microscopy showed neither cracks nor contamination from the transfer. This technique allows a fast and simple transfer of graphene, even for multilayer graphene grown on a rough surface.

  20. Characterization of homoepitaxial and heteroepitaxial ZnO films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z.Q. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan)]. E-mail: chenzq@taka.jaeri.go.jp; Yamamoto, S. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Kawasuso, A. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Xu, Y. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Sekiguchi, T. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan)

    2005-05-15

    Homo- and heteroepitaxial ZnO films were grown on ZnO (0001) and Al{sub 2}O{sub 3} (1-bar 1-bar 2-bar -bar 0) substrates by using pulsed laser deposition. The X-ray diffraction and Raman measurements for these films show good correspondence with the bulk ZnO substrate, which confirms successful growth of c-axis oriented ZnO layer. Strong UV emission was also observed in these films, indicating good optical quality. However, the surface roughness differs very much for the homo- and heteroepitaxial film, that is, much less for the homoepitaxial layer. Positron annihilation measurements reveal a higher vacancy concentration in the homoepitaxial layer.

  1. Enhanced quantum efficiency for CsI grown on a graphite-based substrate coating

    CERN Document Server

    Friese, J; Homolka, J; Kastenmüller, A; Maier-Komor, P; Peter, M; Zeitelhack, K; Kienle, P; Körner, H J

    1999-01-01

    Quantum efficiencies (QE) in the vacuum ultraviolet (VUV) wavelength region have been measured for solid CsI layers on various substrates. The CsI films were deposited applying electron beam evaporation. The QE measurements were performed utilizing synchrotron radiation as well as light from a deuterium lamp. A GaAsP diode with a sensitivity calibration traceable to a primary radiation standard was used for normalization. For CsI layers grown on resin-stabilized graphite films a significant enhancement of QE was observed. Substrates suitable for gas detector applications and aging properties were investigated. The procedures to prepare and reproduce high quantum efficient CsI layers are described.

  2. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    Science.gov (United States)

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  3. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik

    2017-04-28

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  4. Effects of CdS Buffer Layers on Photoluminescence Properties of Cu2ZnSnS4 Solar Cells

    Directory of Open Access Journals (Sweden)

    A. Le Donne

    2015-01-01

    Full Text Available Cu2ZnSnS4 (CZTS absorber layers grown by sputtering were investigated by photoluminescence before and after the chemical bath deposition of CdS in order to evaluate the possible passivation of point defects by Cd atoms at the absorber/buffer layer interface. According to the literature, a broad emission around 1.21 eV was observed at low temperature under above bandgap excitation of the as-grown CZTS samples. Broad bands at 1.075 eV and 0.85 eV were detected for the first time under below bandgap excitation of the as-grown CZTS samples at low temperature, which were explained in terms of radiative transitions involving point defect-related levels determined in the literature by first-principles calculations. The emissions observed in the as-grown samples were monitored by both above and below bandgap excitations also in standard CZTS solar cells produced on the same layers. The obtained results suggest that, as in the case of Cu(In, GaSe2, Cd atoms passivate point defects at the absorber/buffer layer interface also in CZTS.

  5. Vertical and lateral ordering of Ge islands grown on Si(001): theory and experiments

    International Nuclear Information System (INIS)

    Montalenti, F; Marzegalli, A; Capellini, G; Seta, M De; Miglio, Leo

    2007-01-01

    A set of recent results concerning lateral and vertical ordering of Ge islands grown on Si(001) is reviewed. Experimental data generated by chemical vapour deposition and analysed by atomic force microscopy and photoelectron spectroscopy are compared with computer simulations and modelling based on atomistic approaches and continuum theory. In particular, we show that it is possible to probe experimentally the detailed strain field generated by buried Ge islands at the surface of the Si capping layer. The observed arrangement of small Ge islands grown over the capping layer is demonstrated to be very close to the one predicted by a simple model where the local chemical potential is inferred from the strain field at the atomic scale, as given by Tersoff-potential molecular dynamics simulations. Moreover, we review recent experimental evidence for lateral ordering, triggered by partial Si capping, in the first layer of Ge islands on Si(001). Theoretical support is given by showing that when two islands lie in close proximity the elastic field is likely to generate a flow of atoms leading to an effective gliding motion along opposite directions of both islands, eventually stopped by the presence of further neighbouring islands

  6. Electron-diffraction and spectroscopical characterisation of ultrathin ZnS films grown by molecular beam epitaxy on GaP(0 0 1)

    International Nuclear Information System (INIS)

    Zhang, L.; Szargan, R.; Chasse, T.

    2004-01-01

    ZnS films were grown by molecular beam epitaxy employing a single compound effusion cell on GaP(0 0 1) substrate at different temperatures, and characterised by means of low energy electron diffraction, X-ray and ultra-violet photoelectron spectroscopy, angle-resolved ultra-violet photoelectron spectroscopy and X-ray emission spectroscopy. The GaP(0 0 1) substrate exhibits a (4x2) reconstruction after Ar ion sputtering and annealing at 370 deg. C. Crystal quality of the ZnS films depends on both film thickness and growth temperature. Thinner films grown at higher temperatures and thicker films grown at lower temperatures have better crystal quality. The layer-by-layer growth mode of the ZnS films at lower (25, 80 and 100 deg. C) temperatures changes to layer-by-layer-plus-island mode at higher temperatures (120, 150 and 180 deg. C). A chemical reaction takes place and is confined to the interface. The valence band offset of the ZnS-GaP heterojunction was determined to be 0.8±0.1 eV. Sulphur L 2,3 emission spectra of ZnS powder raw material and the epitaxial ZnS films display the same features, regardless of the existence of the Ga-S bonding in the film samples

  7. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  8. Analysis of Side-Wall Structure of Grown-in Twin-Type Octahedral Defects in Czochralski Silicon

    Science.gov (United States)

    Ueki, Takemi; Itsumi, Manabu; Takeda, Tadao

    1998-04-01

    We analyzed the side-wall structure of grown-in octahedral defects in Czochralski silicon standard wafers for large-scale integrated circuits. There are two types of twin octahedral defects: an overlapping type and an adjacent type. In the twin octahedral defects of the overlapping type, a hole is formed in the connection part. The side-wall layer in the hole part is formed continually and is the same thickness as the side-wall layers of both octahedrons. In the twin octahedral defects of the adjacent type, a partition layer is formed in the connection part. Our electron energy-loss spectroscopy analyses identified that the side-wall layer includes SiO2.

  9. Change of Surface Roughness and Planetary Boundary Layer

    DEFF Research Database (Denmark)

    Jensen, Niels Otto

    1978-01-01

    The ratio between upstream and far downstream surface friction velocities relative to a change in surface roughness is given on the basis of results from surface Rossby number similarity theory. By simple theories for the internal boundary layer, which are found to compare quite well with recent...... numerical results from higher-order closure models, it is found that, even at a downwind distance such that the internal boundary layer has grown to the full height of the planetary boundary layers, the surface stress still considerably exceeds the equilibrium value...

  10. Structural and optical characteristics of InN/GaN multiple quantum wells grown by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Je Won; Lee, Kyu Han; Hong, Sangsu

    2007-01-01

    The structural and electrical properties of InN/GaN multiple quantum wells, which were grown by metalorganic chemical vapor deposition, were characterized by transmission electron microscopy (TEM) and electroluminescence measurements. From the TEM micrographs, it was shown that the well layer was grown like a quantum dot. The well layer is expected to be the nano-size structures in the InN multiple quantum well layers. The multi-photon confocal laser scanning microscopy was used to investigate the optical properties of the light emitting diode (LED) structures with InN active layers. It was found that the two-photon excitation was possible in InN system. The pit density was measured by using the far-field optical technique. In the varied current conditions, the blue LED with the InN multiple quantum well structures did not have the wavelength shift. With this result, we can expect that the white LEDs with the InN multiple quantum well structures do not show the color temperature changes with the variations of applied currents

  11. Low-dislocation-density epitatial layers grown by defect filtering by self-assembled layers of spheres

    Science.gov (United States)

    Wang, George T.; Li, Qiming

    2013-04-23

    A method for growing low-dislocation-density material atop a layer of the material with an initially higher dislocation density using a monolayer of spheroidal particles to bend and redirect or directly block vertically propagating threading dislocations, thereby enabling growth and coalescence to form a very-low-dislocation-density surface of the material, and the structures made by this method.

  12. Structural and magnetic properties of Gd/Fe multilayers grown by pulsed laser deposition

    DEFF Research Database (Denmark)

    Kant, K. Mohan; Bahl, Christian Robert Haffenden; Pryds, Nini

    2010-01-01

    This work investigates the structural and the magnetic properties of Gd/Fe multilayered thin films grown by pulsed laser deposition onto Si (001) substrates at room temperature. he Fe layer thickness is varied from 70 to 150 nm and its effect on the structural and magnetic properties of Fe/Gd/Fe ...

  13. Antiferromagnetic iridium-manganese intermediate layers for perpendicular recording media (invited)

    Science.gov (United States)

    Srinivasan, Kumar; Piramanayagam, S. N.; Sbiaa, Rachid; Kay, Yew Seng; Tan, Hang Khume; Wong, Seng Kai

    2009-04-01

    Current generation of cobalt-oxide-based perpendicular magnetic recording media uses single or dual ruthenium intermediate layers in order to grow crystallographically textured, and magnetically isolated granular media. In this work, the potential advantages of an antiferromagnetic iridium-manganese intermediate layer directly under the recording layer are highlighted. Owing to its close lattice matching with hexagonal cobalt, iridium-manganese which has the L12, or AuCu3-type crystal structure, can support the heteroepitaxial growth of the cobalt-based recording layer. In one of the media schemes described here, (111) textured iridium-manganese thin film was grown on 7.5 nm thick ruthenium layer. On the iridium-manganese as segregation layer, the Co-oxide-based magnetic recording layer showed perpendicular texture with Δθ50 below 4°, coercivity of over 4000 Oe alongside magnetic exchange decoupling, average grain sizes of 6 nm with distributions under 14%, and improved thermal stability. Measurements of the anisotropy constant did not show any significant change and even an IrMn capping layer was observed to improve the thermal stability. The possible mechanisms through which the IrMn layer could affect the thermal stability are hypothesized. The initial layers of the magnetic recording layer on IrMn segregation layers also showed exchange-decoupled and segregated grains, which is unlike that observed on Ru segregation layers. In a second media scheme, (111) textured iridium-manganese thin film was grown on a crystalline soft magnetic underlayer belonging on top of amorphous soft underlayers. In this scheme, partial pinning of the soft underlayer due to exchange-bias interaction with the IrMn layer was observed. This scheme offers the possibility to reduce the intermediate layer thickness, thus improve media writability, and with further optimization, could potentially facilitate the approach toward 1 Tbits/in.2.

  14. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp [Institute for Nanoscience and Nanotechnology, Waseda University, 513 Waseda-tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp [Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups than the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of

  15. Elastically strained and relaxed La0.67Ca0.33MnO3 films grown on lanthanum aluminate substrates with different orientations

    Science.gov (United States)

    Boikov, Yu. A.; Serenkov, I. T.; Sakharov, V. I.; Claeson, T.

    2016-12-01

    Structure of 40-nm thick La0.67Ca0.33MnO3 (LCMO) films grown by laser evaporation on (001) and (110) LaAlO3 (LAO) substrates has been investigated using the methods of medium-energy ion scattering and X-ray diffraction. The grown manganite layers are under lateral biaxial compressive mechanical stresses. When (110)LAO wafers are used as the substrates, stresses relax to a great extent; the relaxation is accompanied by the formation of defects in a (3-4)-nm thick manganite-film interlayer adjacent to the LCMO-(110)LAO interface. When studying the structure of the grown layers, their electro- and magnetotransport parameters have been measured. The electroresistance of the LCMO films grown on the substrates of both types reached a maximum at temperature T M of about 250 K. At temperatures close to T M magnetoresistance of the LCMO/(110)LAO films exceeds that of the LCMO/(001)LAO films by 20-30%; however, the situation is inverse at low temperatures ( T < 150 K). At T < T M , the magnetotransport in the grown manganite films significantly depends on the spin ordering in ferromagnetic domains, which increase with a decrease in temperature.

  16. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  17. Defect-induced polytype transformations in LPE grown SiC epilayers on (1 1 1) 3C-SiC seeds grown by VLS on 6H-SiC

    International Nuclear Information System (INIS)

    Marinova, Maya; Zoulis, Georgios; Robert, Teddy; Mercier, Frederic; Mantzari, Alkioni; Galben, Irina; Kim-Hak, Olivier; Lorenzzi, Jean; Juillaguet, Sandrine; Chaussende, Didier; Ferro, Gabriel; Camassel, Jean; Polychroniadis, Efstathios K.

    2009-01-01

    The results of transmission electron microscopy (TEM) with low-temperature photoluminescence (LTPL) and Raman studies of liquid phase grown epilayers on top of a vapor liquid solid (VLS) grown 3C-SiC buffer layer are compared. While the 6H-SiC substrate was completely covered by the 3C-SiC seed after the first VLS process, degradation occurred during the early stage of the liquid phase epitaxy process. This resulted in polytype instabilities, such that several rhombohedral forms stabilized one after the other. These (21R-SiC, 57R-SiC) eventually led after few microns to a final transition back to 6H-SiC. This interplay of polytypes resulted in a complex optical signature, with specific LTPL and Raman features.

  18. Quasi-two-dimensional electron gas at the interface of γ-Al{sub 2}O{sub 3}/SrTiO{sub 3} heterostructures grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G., E-mail: ekerdt@che.utexas.edu [Department of Chemical Engineering, University of Texas at Austin, Austin, Texas 78712 (United States); Goble, Nicholas J.; Gao, Xuan P. A. [Department of Physics, Case Western Reserve University, Cleveland, Ohio 44106 (United States); Posadas, Agham; Kormondy, Kristy J.; Demkov, Alexander A. [Department of Physics, University of Texas at Austin, Austin, Texas 78712 (United States); Lu, Sirong [School of Engineering for Matter, Transport and Engineering, Arizona State University, Tempe, Arizona 85287 (United States); Jordan-Sweet, Jean [IBM T.J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287 (United States)

    2015-09-21

    We report the formation of a quasi-two-dimensional electron gas (2-DEG) at the interface of γ-Al{sub 2}O{sub 3}/TiO{sub 2}-terminated SrTiO{sub 3} (STO) grown by atomic layer deposition (ALD). The ALD growth of Al{sub 2}O{sub 3} on STO(001) single crystal substrates was performed at temperatures in the range of 200–345 °C. Trimethylaluminum and water were used as co-reactants. In situ reflection high energy electron diffraction, ex situ x-ray diffraction, and ex situ cross-sectional transmission electron microscopy were used to determine the crystallinity of the Al{sub 2}O{sub 3} films. As-deposited Al{sub 2}O{sub 3} films grown above 300 °C were crystalline with the γ-Al{sub 2}O{sub 3} phase. In situ x-ray photoelectron spectroscopy was used to characterize the Al{sub 2}O{sub 3}/STO interface, indicating that a Ti{sup 3+} feature in the Ti 2p spectrum of STO was formed after 2–3 ALD cycles of Al{sub 2}O{sub 3} at 345 °C and even after the exposure to trimethylaluminum alone at 300 and 345 °C. The interface quasi-2-DEG is metallic and exhibits mobility values of ∼4 and 3000 cm{sup 2} V{sup −1} s{sup −1} at room temperature and 15 K, respectively. The interfacial conductivity depended on the thickness of the Al{sub 2}O{sub 3} layer. The Ti{sup 3+} signal originated from the near-interfacial region and vanished after annealing in an oxygen environment.

  19. Continuous growth of low-temperature Si epitaxial layer with heavy phosphorous and boron doping using photoepitaxy

    International Nuclear Information System (INIS)

    Yamazaki, T.; Minakata, H.; Ito, T.

    1990-01-01

    The authors grew p + -n + silicon epitaxial layers, heavily doped with phosphorus and boron, continuously at 650 degrees C using low-temperature photoepitaxy. Then N + photoepitaxial layer with a phosphorus concentration above 10 17 cm -3 grown on p - substrate shows high-density surface pits, and as a result, poor crystal quality. However, when this n + photoepitaxial layer is grown continuously on a heavily boron-doped p + photoepitaxial layer, these surface pits are drastically decreased, disappearing completely above a hole concentration of 10 19 cm -3 in the p + photoepitaxial layer. The phosphorus activation ratio and electron Hall mobility in the heavily phosphorus-doped n + photoexpitaxial layer were also greatly improved. The authors investigated the cause of the surface pitting using a scanning transmission electron microscope, secondary ion mass spectroscopy, and energy-dispersive x-ray spectroscopy. They characterized the precipitation of phosphorus atoms on the crystal surface at the initial stage of the heavily phosphorus-doped n + photoexpitaxial layer growth

  20. Improvement of photoluminescence from Ge layer with patterned Si{sub 3}N{sub 4} stressors

    Energy Technology Data Exchange (ETDEWEB)

    Oda, Katsuya, E-mail: Katsuya.Oda.cb@hitachi.com; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-04-30

    Lattice strain applied by patterned Si{sub 3}N{sub 4} stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si{sub 3}N{sub 4} stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si{sub 3}N{sub 4} layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si{sub 3}N{sub 4} stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si{sub 3}N{sub 4} stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si{sub 3}N{sub 4} stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si{sub 3}N{sub 4} stressors. • Photoluminescence (PL) intensity was increased with the Si{sub 3}N{sub 4} stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers.

  1. Photoluminescence characteristics of InAs quantum dots grown by STM/MBE site-control technique

    Energy Technology Data Exchange (ETDEWEB)

    Nishikawa, S.; Kohmoto, S.; Nakamura, H.; Ishikawa, T.; Asakawa, K.; Wada, O. [Femtosecond Technology Research Association, Tsukuba, Ibaraki (Japan). FESTA Lab.

    2001-03-08

    This paper describes micro-photoluminescence (PL) analysis of site-controlled QDs (SCQDs) grown using a novel in-situ MBE growth technique in which sites of self-assembled InAs QDs are controlled by forming nanometer deposits using a scanning tunneling microscope (STM) probe. We found from the temperature dependence of PL that the carrier collection at QDs at low temperature is limited by carrier diffusion in the wetting layer. The analysis of PL data considering this effect has indicated that individual QDs grown have high crystalline quality in spite of the addition of an artificial STM process during growth. (orig.)

  2. Ferromagnetism in CVT grown tungsten diselenide single crystals with nickel doping

    Science.gov (United States)

    Habib, Muhammad; Muhammad, Zahir; Khan, Rashid; Wu, Chuanqiang; Rehman, Zia ur; Zhou, Yu; Liu, Hengjie; Song, Li

    2018-03-01

    Two dimensional (2D) single crystal layered transition materials have had extensive consideration owing to their interesting magnetic properties, originating from their lattices and strong spin-orbit coupling, which make them of vital importance for spintronic applications. Herein, we present synthesis of a highly crystalline tungsten diselenide layered single crystal grown by chemical vapor transport technique and doped with nickel (Ni) to tailor its magnetic properties. The pristine WSe2 single crystal and Ni-doped crystal were characterized and analyzed for magnetic properties using both experimental and computational aspects. It was found that the magnetic behavior of the 2D layered WSe2 crystal changed from diamagnetic to ferromagnetic after Ni-doping at all tested temperatures. Moreover, first principle density functional theory (DFT) calculations further confirmed the origin of room temperature ferromagnetism of Ni-doped WSe2, where the d-orbitals of the doped Ni atom promoted the spin moment and thus largely contributed to the magnetism change in the 2D layered material.

  3. Performance of inverted polymer solar cells with randomly oriented ZnO nanorods coupled with atomic layer deposited ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Zafar, Muhammad [School of Chemical Engineering, Chonnam National University, 300 Youngbong-dong, Gwangju 500-757 (Korea, Republic of); Yun, Ju-Young [Center for Vacuum, Korea Research Institute of Standards and Science, 267 Gajeong-ro, Daejeon 305-600 (Korea, Republic of); Kim, Do-Heyoung, E-mail: kdhh@chonnam.ac.kr [School of Chemical Engineering, Chonnam National University, 300 Youngbong-dong, Gwangju 500-757 (Korea, Republic of)

    2017-03-15

    Highlights: • Hydrothermally grown, randomly oriented, and low areal density ZnO nanorods have been successfully adopted as the electron transport layer in inverted organic solar cells. • The addition of atomic layer deposited ZnO on the ZnO nanorods effectively enhance the photovoltaic performances of inverted organic solar cells. • The inverted organic solar cells with 5 nm thick-ALD ZnO showed the highest power conversion efficiency of 3.08%, which is an enhancement of approximately 80% compared to the cells without the ALD ZnO layer (PCE = 1.67%). - Abstract: Nanostructuring of the electron transport layer (ETL) in organic photovoltaic cells (OPV) is of great interest because it increases the surface area of the cell and electron transport. In this work, hydrothermally grown, randomly oriented, and low areal density ZnO nanorods (NRs) have been adopted as the ETL, and the effect of adding atomic layer deposited (ALD) ZnO on the ZnO NRs on the inverted organic solar cell performance has been investigated. The fabricated inverted organic solar cell with 5-nm-thick ALD-ZnO grown on the ZnO NRs showed the highest power conversion efficiency (PCE) of 3.08%, which is an enhancement of 85% from that of the cell without ALD-ZnO (PCE = 1.67%). The ultrathin ALD-ZnO was found to act as a curing layer of the surface defects on the hydrothermally grown ZnO NRs, resulting in an improvement in photovoltaic performance.

  4. Performance of inverted polymer solar cells with randomly oriented ZnO nanorods coupled with atomic layer deposited ZnO

    International Nuclear Information System (INIS)

    Zafar, Muhammad; Yun, Ju-Young; Kim, Do-Heyoung

    2017-01-01

    Highlights: • Hydrothermally grown, randomly oriented, and low areal density ZnO nanorods have been successfully adopted as the electron transport layer in inverted organic solar cells. • The addition of atomic layer deposited ZnO on the ZnO nanorods effectively enhance the photovoltaic performances of inverted organic solar cells. • The inverted organic solar cells with 5 nm thick-ALD ZnO showed the highest power conversion efficiency of 3.08%, which is an enhancement of approximately 80% compared to the cells without the ALD ZnO layer (PCE = 1.67%). - Abstract: Nanostructuring of the electron transport layer (ETL) in organic photovoltaic cells (OPV) is of great interest because it increases the surface area of the cell and electron transport. In this work, hydrothermally grown, randomly oriented, and low areal density ZnO nanorods (NRs) have been adopted as the ETL, and the effect of adding atomic layer deposited (ALD) ZnO on the ZnO NRs on the inverted organic solar cell performance has been investigated. The fabricated inverted organic solar cell with 5-nm-thick ALD-ZnO grown on the ZnO NRs showed the highest power conversion efficiency (PCE) of 3.08%, which is an enhancement of 85% from that of the cell without ALD-ZnO (PCE = 1.67%). The ultrathin ALD-ZnO was found to act as a curing layer of the surface defects on the hydrothermally grown ZnO NRs, resulting in an improvement in photovoltaic performance.

  5. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  6. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  7. Performance of Hg1-xCdxTe infrared focal plane array at elevated temperature

    Science.gov (United States)

    Singh, Anand; Pal, Ravinder

    2017-04-01

    The simulated optical and electrical performance of the infrared HgCdTe focal plane array (FPA) for elevated operation temperature is reported. The depleted absorber layer is explored for equilibrium mode of operation up to 160 K. A resonant cavity is created to improve photon-matter interaction and hence, reduces the required absorption volume. The volume of the active region of HgCdTe detector is reduced by 70% in this manner. Dark current density is decreased without compromising the quantum efficiency. The effect of the reduced band filling effect leading to higher absorption coefficient and more efficient utilization of incident flux is employed. High quantum efficiency is achieved in a thin compositionally graded n+/ν/π/p HgCdTe photo-diode. This architecture helps to minimize the requirement of charge handling capacity in the CMOS read-out integrated circuit (ROIC) as the operation temperature is increased. Quantum efficiency ˜30% or above is shown to be sufficient for Noise Equivalent Temperature Difference (NETD) less than 20 mK with the reported design.

  8. Detachment of CVD-grown graphene from single crystalline Ni films by a pure gas phase reaction

    Science.gov (United States)

    Zeller, Patrick; Henß, Ann-Kathrin; Weinl, Michael; Diehl, Leo; Keefer, Daniel; Lippmann, Judith; Schulz, Anne; Kraus, Jürgen; Schreck, Matthias; Wintterlin, Joost

    2016-11-01

    Despite great previous efforts there is still a high need for a simple, clean, and upscalable method for detaching epitaxial graphene from the metal support on which it was grown. We present a method based on a pure gas phase reaction that is free of solvents and polymer supports and avoids mechanical transfer steps. The graphene was grown on 150 nm thick, single crystalline Ni(111) films on Si(111) wafers with YSZ buffer layers. Its quality was monitored by using low energy electron diffraction and scanning tunneling microscopy. The gas phase etching uses a chemical transport reaction, the so-called Mond process, based on the formation of gaseous nickel tetracarbonyl in 1 bar of CO at 75 °C and by adding small amounts of sulfide catalysts. X-ray photoelectron spectroscopy, Raman spectroscopy and scanning electron microscopy were used to characterize the detached graphene. It was found that the method successfully removes the nickel from underneath the graphene layer, so that the graphene lies on the insulating oxide buffer layer. Small residual particles of nickel sulfide and cracks in the obtained graphene layer were identified. The defect concentrations were comparable to graphene samples obtained by wet chemical etching and by the bubbling transfer.

  9. Morphological dependent Indium incorporation in InGaN/GaN multiple quantum wells structure grown on 4° misoriented sapphire substrate

    Directory of Open Access Journals (Sweden)

    Teng Jiang

    2016-03-01

    Full Text Available The epitaxial layers of InGaN/GaN MQWs structure were grown on both planar and vicinal sapphire substrates by metal organic chemical vapor deposition. By comparing the epitaxial layers grown on planar substrate, the sample grown on 4° misoriented from c-plane toward m-plane substrate exhibited many variations both on surface morphology and optical properties according to the scanning electronic microscopy and cathodoluminescence (CL spectroscopy results. Many huge steps were observed in the misoriented sample and a large amount of V-shape defects located around the boundary of the steps. Atoms force microscopy images show that the steps were inclined and deep grooves were formed at the boundary of the adjacent steps. Phase separation was observed in the CL spectra. CL mapping results also indicated that the deep grooves could effectively influence the localization of Indium atoms and form an In-rich region.

  10. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hardy, Matthew T., E-mail: matthew.hardy.ctr@nrl.navy.mil; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J. [Electronics Science and Technology Division, Naval Research Laboratory, 4555 Overlook Avenue SW, Washington DC 20375 (United States); McConkie, Thomas O.; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Nepal, Neeraj [Sotera Defense Solutions, 2200 Defense Hwy Suite 405, Crofton, Maryland 21114 (United States)

    2016-03-15

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10{sup 13 }cm{sup −2} and no degradation in mobility (1760 cm{sup 2}/V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE.

  11. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hardy, Matthew T.; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J.; McConkie, Thomas O.; Smith, David J.; Nepal, Neeraj

    2016-01-01

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10 13  cm −2 and no degradation in mobility (1760 cm 2 /V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE

  12. Structural and nanomechanical properties of InN films grown on Si(1 0 0) by femtosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Hafez, M A; Mamun, M A; Elmustafa, A A; Elsayed-Ali, H E

    2013-01-01

    The structural and nanomechanical properties of InN films grown on Si(1 0 0) using femtosecond pulsed laser deposition were studied for different growth conditions. Atomic nitrogen was generated by either thermal cracking or laser-induced breakdown (LIB) of ammonia. Optical emission spectroscopy was conducted on the laser plasma and used to observe atomic nitrogen formation. An indium buffer layer was initially grown on the Si substrate at low temperature. The surface structure and morphology were investigated by in situ reflection high-energy electron diffraction, ex situ atomic force microscopy and x-ray diffraction (XRD). The results show that the initial buffer indium layers were terminated with the In(2 × 1) structure and had a smooth surface. With increased coverage, the growth mode developed from two-dimensional layers to three-dimensional islands. At room temperature (RT), formation of submicrometre islands resulted in mixed crystal structure of In and InN. As the substrate temperature was increased to 250–350 °C, the crystal structure was found to be dominated by fewer In and more InN, with only InN formed at 350 °C. The XRD patterns show that the grown InN films have wurtzite crystal structure. The film hardness near the surface was observed to increase from less than 1 GPa, characteristic of In for the sample grown at RT using the thermal cracker, to a hardness of 11 GPa at 30 nm from surface, characteristic of InN for samples grown at 350 °C by LIB. The hardness at deep indents reaches the hardness of the Si substrate of ∼12 GPa. (paper)

  13. Defect characterization in compositionally graded InGaAs layers on GaAs(001) grown by MBE

    International Nuclear Information System (INIS)

    Sasaki, Takuo; Takahasi, Masamitu; Norman, Andrew G.; Romero, Manuel J.; Al-Jassim, Mowafak M.; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi

    2013-01-01

    Defect characterization in molecular beam epitaxial (MBE) compositionally-graded In x Ga 1-x As layers on GaAs substrates consisting different thickness of overshooting (OS) layers was carried out using cathodoluminescence (CL) and transmission electron microscopy (TEM). We found that the thickness of the OS layer influences not only stress but also lattice defects generated in a top InGaAs layer. While the top InGaAs layer with a thin OS layer is under compression and has mainly threading dislocations, the top layer with a thick OS layer is under tension and exhibits inhomogeneous strain associating with phase separation. We will discuss the mechanisms of defect generation and their in-plane distribution based on strain relaxation at the top and OS layers. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Defect analysis of NiMnSb epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    Nowicki, L. [Andrzej SoItan Institute for Nuclear Studies, ul. Hoza 69, 00-681 Warsaw (Poland)]. E-mail: lech.nowicki@fuw.edu.pl; Turos, A. [Andrzej SoItan Institute for Nuclear Studies, ul. Hoza 69, 00-681 Warsaw (Poland); Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw (Poland); Stonert, A. [Andrzej SoItan Institute for Nuclear Studies, ul. Hoza 69, 00-681 Warsaw (Poland); Garrido, F. [Centre de Spectrometrie Nucleaire et Spectrometrie de Masse, CNRS-IN2P3-Universite Paris-Sud, 91405 Orsay (France); Molenkamp, L.W. [Department of Physics, University Wuerzburg, Am Hubland, 97074 Wuerzburg (Germany); Bach, P. [Department of Physics, University Wuerzburg, Am Hubland, 97074 Wuerzburg (Germany); Schmidt, G. [Department of Physics, University Wuerzburg, Am Hubland, 97074 Wuerzburg (Germany); Karczewski, G. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Muecklich, A. [Forschungszentrum Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, POB 510119, 01314 Dresden (Germany)

    2005-10-15

    NiMnSb layers grown on InP substrates with InGaAs buffer were studied by the backscattering/channeling spectrometry (RBS/C) with He beams. The nature of predominant defects observed in the layers was studied by determination of incident-energy dependence of the relative channeling yield. The defects are described as a combination of large amount of interstitial atoms and of stacking faults or grain boundaries. The presence of grains was confirmed by transmission electron microscopy.

  15. New method for the determination of the defect profile in thin layers grown over a substrate

    International Nuclear Information System (INIS)

    Zubiaga, A.; Garcia, J.A.; Plazaola, F.; Tuomisto, F.; Zuniga, J.; Munoz-Sanjose, V.

    2007-01-01

    We present a new method to obtain information about the defect profile of films grown over high quality substrates. The method is valid, at least, for films where the positron mean-diffusion length is small. We have used the method for the case of ZnO films grown over sapphire, but the method can be generalized very easily to other film/substrate systems. Applying the method to the ratio of W and S parameters obtained from Doppler broadening measurements, W/S plots, one can determine the thickness of the film and the defect profile trend in the film, when mainly one positron trap is contributing to positron trapping within the film. Indeed, the quality of such a characterization is very important for the potential technological applications of the film. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. New method for the determination of the defect profile in thin layers grown over a substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zubiaga, A.; Garcia, J.A.; Plazaola, F. [Fisika Aplikatua II Saila, Euskal Herriko Unibertsitatea, Bilbao (Spain); Tuomisto, F. [Laboratory of Physics, Helsinki University of Technology, Espoo (Finland); Zuniga, J.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada i Electromagnetisme, Burjassot (Valencia) (Spain)

    2007-07-01

    We present a new method to obtain information about the defect profile of films grown over high quality substrates. The method is valid, at least, for films where the positron mean-diffusion length is small. We have used the method for the case of ZnO films grown over sapphire, but the method can be generalized very easily to other film/substrate systems. Applying the method to the ratio of W and S parameters obtained from Doppler broadening measurements, W/S plots, one can determine the thickness of the film and the defect profile trend in the film, when mainly one positron trap is contributing to positron trapping within the film. Indeed, the quality of such a characterization is very important for the potential technological applications of the film. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Dynamics of phosphorus fractions in the rhizosphere of fababean (Phaseolus vulgaris L.) and maize (Zea mays L.) grown in calcareous and acid soils

    NARCIS (Netherlands)

    Li, G.; Li, Haigang; Leffelaar, P.A.; Shen, J.; Zhang, F.

    2015-01-01

    The dynamics of soil phosphorus (P) fractions were investigated, in the rhizosphere of fababean (Vicia faba L.) and maize (Zea mays L.) grown in calcareous and acid soils. Plants were grown in a mini-rhizotron with a thin (3 mm) soil layer, which was in contact with the root-mat, and considered as

  18. Preservation of the Pt(100) surface reconstruction after growth of a continuous layer of graphene

    DEFF Research Database (Denmark)

    Nilsson, Louis; Andersen, Mie; Bjerre, Jacob

    2012-01-01

    Scanning tunneling microscopy shows that a layer of graphene can be grown on the hex-reconstructed Pt(100) surface and that the reconstruction is preserved after growth. A continuous sheet of graphene can be grown across domain boundaries and step edges without loss of periodicity or change in di...

  19. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  20. Structural characterization of oxidized allotaxially grown CoSi2 layers by x-ray scattering

    International Nuclear Information System (INIS)

    Kaendler, I. D.; Seeck, O. H.; Schlomka, J.-P.; Tolan, M.; Press, W.; Stettner, J.; Kappius, L.; Dieker, C.; Mantl, S.

    2000-01-01

    A series of buried CoSi 2 layers prepared by a modified molecular beam epitaxy process (allotaxy) and a subsequent wet-oxidation process was investigated by x-ray scattering. The oxidation time which determines the depth in which the CoSi 2 layers are located within the Si substrates has been varied during the preparation. The electron density profiles and the structure of the interfaces were extracted from specular reflectivity and diffuse scattering measurements. Crystal truncation rod investigations yielded the structure on an atomic level (crystalline quality). It turns out that the roughness of the CoSi 2 layers increases drastically with increasing oxidation time, i.e., with increasing depth of the buried layers. Furthermore, the x-ray data reveal that the oxidation growth process is diffusion limited. (c) 2000 American Institute of Physics

  1. Wavelength tuning of InAs quantum dots grown on InP (100) by chemical-beam epitaxy

    International Nuclear Information System (INIS)

    Gong, Q.; Noetzel, R.; Veldhoven, P.J. van; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    We report on an effective way to continuously tune the emission wavelength of InAs quantum dots (QDs) grown on InP (100) by chemical-beam epitaxy. The InAs QD layer is embedded in a GaInAsP layer lattice matched to InP. With an ultrathin GaAs layer inserted between the InAs QD layer and the GaInAsP buffer, the peak wavelength from the InAs QDs can be continuously tuned from above 1.6 μm down to 1.5 μm at room temperature. The major role of the thin GaAs layer is to greatly suppress the As/P exchange during the deposition of InAs and subsequent growth interruption under arsenic flux, as well as to consume the segregated surface In layer floating on the GaInAsP buffer layer

  2. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    Science.gov (United States)

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  3. Investigation of GaN LED with Be-implanted Mg-doped GaN layer

    International Nuclear Information System (INIS)

    Huang, H.-W.; Kao, C.C.; Chu, J.T.; Kuo, H.C.; Wang, S.C.; Yu, C.C.; Lin, C.F.

    2004-01-01

    We report the electrical and optical characteristics of GaN light emitting diode (LED) with beryllium (Be) implanted Mg-doped GaN layer. The p-type layer of Be-implanted GaN LED showed a higher hole carrier concentration of 2.3 x 10 18 cm -3 and low specific contact resistance value of 2.0 x 10 -4 Ωcm 2 than as-grown p-GaN LED samples without Be-implantation. The Be-implanted GaN LEDs with InGaN/GaN MQW show slightly lower light output (about 10%) than the as-grown GaN LEDs, caused by the high RTA temperature annealing process

  4. Surface and interfacial structural characterization of MBE grown Si/Ge multilayers

    International Nuclear Information System (INIS)

    Saha, Biswajit; Sharma, Manjula; Sarma, Abhisakh; Rath, Ashutosh; Satyam, P.V.; Chakraborty, Purushottam; Sanyal, Milan K.

    2009-01-01

    Si/Ge multilayer structures have been grown by solid source molecular beam epitaxy (MBE) on Si (1 1 1) and (1 0 0) substrates and were characterized by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), high-depth-resolution secondary ion mass spectroscopy (SIMS) and cross-section high-resolution transmission electron microscopy (HRTEM). A reasonably good agreement has been obtained for layer thickness, interfacial structure and diffusion between SIMS and HRTEM measurements. Epitaxial growth and crystalline nature of the individual layer have been probed using cross-sectional HRTEM and XRD measurements. Surface and interface morphological studies by AFM and HRTEM show island-like growth of both Si and Ge nanostructures.

  5. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    Science.gov (United States)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  6. Organic solar cells using CVD-grown graphene electrodes

    International Nuclear Information System (INIS)

    Kim, Hobeom; Han, Tae-Hee; Lim, Kyung-Geun; Lee, Tae-Woo; Bae, Sang-Hoon; Ahn, Jong-Hyun

    2014-01-01

    We report on the development of flexible organic solar cells (OSCs) incorporating graphene sheets synthesized by chemical vapor deposition (CVD) as transparent conducting electrodes on polyethylene terephthalate (PET) substrates. A key barrier that must be overcome for the successful fabrication of OSCs with graphene electrodes is the poor-film properties of water-based poly(3,4-ethylenedioxythiphene):poly(styrenesulfonate) (PEDOT:PSS) when coated onto hydrophobic graphene surfaces. To form a uniform PEDOT:PSS film on a graphene surface, we added perfluorinated ionomers (PFI) to pristine PEDOT:PSS to create ‘GraHEL’, which we then successfully spin coated onto the graphene surface. We systematically investigated the effect of number of layers in layer-by-layer stacked graphene anode of an OSC on the performance parameters including the open-circuit voltage (V oc ), short-circuit current (J sc ), and fill factor (FF). As the number of graphene layers increased, the FF tended to increase owing to lower sheet resistance, while J sc tended to decrease owing to the lower light absorption. In light of this trade-off between sheet resistance and transmittance, we determined that three-layer graphene (3LG) represents the best configuration for obtaining the optimal power conversion efficiency (PCE) in OSC anodes, even at suboptimal sheet resistances. We finally developed efficient, flexible OSCs with a PCE of 4.33%, which is the highest efficiency attained so far by an OSC with CVD-grown graphene electrodes to the best of our knowledge. (paper)

  7. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  8. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  9. Electrical properties of ZnO nanorods and layers

    Energy Technology Data Exchange (ETDEWEB)

    Schlenker, Eva; Bakin, Andrey; Peters, Ole; Mofor, Augustine C.; Postels, Bianca; El-Shaer, Hamid; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Weimann, Thomas; Hinze, Peter [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany)

    2007-07-01

    ZnO has attracted a lot of interest in the scientific community due to its outstanding properties. With a band gap of 3.37 eV and an exciton binding energy of 60 meV it is a promising candidate for micro- and optoelectronic applications. The growth of ZnO nanostructures and epitaxial layers is well under control and their optical and structural properties are already thoroughly characterized. However, due to contacting difficulties, less reports exist on the electrical properties of single ZnO nanostructures. In this contribution we present various contacting methods in order to explore the electrical properties of individual nanorods either grown by aqueous chemical growth or vapor phase transport. Current-Voltage characteristics were obtained by using an atomic force microscope with a conductive tip or by patterning contacts with e-beam lithography. The results are compared to the ones obtained from measurements on epitaxially grown ZnO layers and first applications are presented.

  10. Characterization of nanostructured photosensitive (NiS)x(CdS)(1-x) composite thin films grown by successive ionic layer adsorption and reaction (SILAR) route

    International Nuclear Information System (INIS)

    Ubale, A.U.; Bargal, A.N.

    2011-01-01

    Highlights: → Thin films of (NiS) x (CdS) (1-x) with variable composition (x = 1 to 0) were deposited onto glass substrates by the successive ionic layer adsorption and reaction (SILAR) method. → The structural, surface morphological and electrical characterizations of the as deposited and annealed films were studied. → The bandgap and activation energy of annealed (NiS) x (CdS) (1-x) film decrease with improvement in photosensitive nature. -- Abstract: Recently ternary semiconductor nanostructured composite materials have attracted the interest of researchers because of their photovoltaic applications. Thin films of (NiS) x (CdS) (1-x) with variable composition (x = 1-0) had been deposited onto glass substrates by the successive ionic layer adsorption and reaction (SILAR) method. As grown and annealed films were characterised by X-ray diffraction, scanning electron microscopy and EDAX to investigate structural and morphological properties. The (NiS) x (CdS) (1-x) films were polycrystalline in nature having mixed phase of rhombohedral and hexagonal crystal structure due to NiS and CdS respectively. The optical and electrical properties of (NiS) x (CdS) (1-x) thin films were studied to determine compsition dependent bandgap, activation energy and photconductivity. The bandgap and activation energy of annealed (NiS) x (CdS) (1-x) film decrease with improvement in photosensitive nature.

  11. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  12. Antimicrobial-Resistant Campylobacter in Organically and Conventionally Raised Layer Chickens.

    Science.gov (United States)

    Kassem, Issmat I; Kehinde, Olugbenga; Kumar, Anand; Rajashekara, Gireesh

    2017-01-01

    Poultry is a major source of Campylobacter, which can cause foodborne bacterial gastroenteritis in humans. Additionally, poultry-associated Campylobacter can develop resistance to important antimicrobials, which increases the risk to public health. While broiler chickens have been the focus of many studies, the emergence of antimicrobial-resistant Campylobacter on layer farms has not received equal attention. However, the growing popularity of cage-free and organic layer farming necessitates a closer assessment of (1) the impact of these farming practices on the emergence of antimicrobial-resistant Campylobacter and (2) layers as a potential source for the transmission of these pathogens. Here, we showed that the prevalence of Campylobacter on organic and conventional layer farms was statistically similar (p > 0.05). However, the average number of Campylobacter jejuni-positive organically grown hens was lower (p < 0.05) in comparison to conventionally grown hens. Campylobacter isolated from both production systems carried antimicrobial resistance genes. The tet(O) and cmeB were the most frequently detected genes, while the occurrence of aph-3-1 and blaOXA-61 was significantly lower (p < 0.05). Farming practices appeared to have an effect on the antimicrobial resistance phenotype, because the isolates from organically grown hens on two farms (OF-2 and OF-3) exhibited significantly lower resistance (p < 0.05) to ciprofloxacin, erythromycin, and tylosin. However, on one of the sampled organic farms (OF-1), a relatively high number of antimicrobial-resistant Campylobacter were isolated. We conclude that organic farming can potentially impact the emergence of antimicrobial-resistant Campylobacter. Nevertheless, this impact should be regularly monitored to avoid potential relapses.

  13. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001 Patterned Si Substrates by Metal Organic Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Ludovico Megalini

    2018-02-01

    Full Text Available We report on the use of InGaAsP strain-compensated superlattices (SC-SLs as a technique to reduce the defect density of Indium Phosphide (InP grown on silicon (InP-on-Si by Metal Organic Chemical Vapor Deposition (MOCVD. Initially, a 2 μm thick gallium arsenide (GaAs layer was grown with very high uniformity on exact oriented (001 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2 stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD; atomic force microscopy (AFM; transmission electron microscopy (TEM; and electron channeling contrast imaging (ECCI; which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer.

  14. Electronic and magnetic properties of triple-layered ruthenate Sr4Ru3O10 single crystals grown by a floating-zone method

    International Nuclear Information System (INIS)

    Zhou, M.; Hooper, J.; Fobes, D.; Mao, Z.Q.; Golub, V.; O'Connor, C.J.

    2005-01-01

    We have grown high-quality single crystals of the triple-layered perovskite ruthenate Sr 4 Ru 3 O 10 using a floating-zone (FZ) method and measured their electronic transport and magnetic properties. Our experiments results are consistent with those previously reported for Sr 4 Ru 3 O 10 flux crystals; the magnetic ground state of Sr 4 Ru 3 O 10 is poised between an itinerant metamagnetic and itinerant ferromagnetic state, and its electronic ground state is a Fermi liquid. In addition, we have investigated the effect of disorder on the metallic state of Sr 4 Ru 3 O 10 . From resistivity measurements of various Sr 4 Ru 3 O 10 crystals with different levels of disorder, we found that disorder enhances both temperature-independent elastic scattering and also temperature-dependent inelastic scattering. The in-plane metamagnetic transition is also found to be sensitive to disorder. Disorder results in an increase in the metamagnetic transition field and different magnetic behavior above the transition. We discuss the implications of this interesting observation

  15. Nucleation and Early Stages of Layer-by-Layer Growth of Metal Organic Frameworks on Surfaces

    Science.gov (United States)

    2015-01-01

    High resolution atomic force microscopy (AFM) is used to resolve the evolution of crystallites of a metal organic framework (HKUST-1) grown on Au(111) using a liquid-phase layer-by-layer methodology. The nucleation and faceting of individual crystallites is followed by repeatedly imaging the same submicron region after each cycle of growth and we find that the growing surface is terminated by {111} facets leading to the formation of pyramidal nanostructures for [100] oriented crystallites, and triangular [111] islands with typical lateral dimensions of tens of nanometres. AFM images reveal that crystallites can grow by 5–10 layers in each cycle. The growth rate depends on crystallographic orientation and the morphology of the gold substrate, and we demonstrate that under these conditions the growth is nanocrystalline with a morphology determined by the minimum energy surface. PMID:26709359

  16. Thermal stability of an InAlN/GaN heterostructure grown on silicon by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, Arata, E-mail: a.watanabe.106@nitech.jp; Freedsman, Joseph J.; Urayama, Yuya; Christy, Dennis [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466 8555 (Japan); Egawa, Takashi, E-mail: egawa.takashi@nitech.ac.jp [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466 8555 (Japan); Innovation Center for Multi-Business of Nitride Semiconductors, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466 8555 (Japan)

    2015-12-21

    The thermal stabilities of metal-organic chemical vapor deposition-grown lattice-matched InAlN/GaN/Si heterostructures have been reported by using slower and faster growth rates for the InAlN barrier layer in particular. The temperature-dependent surface and two-dimensional electron gas (2-DEG) properties of these heterostructures were investigated by means of atomic force microscopy, photoluminescence excitation spectroscopy, and electrical characterization. Even at the annealing temperature of 850 °C, the InAlN layer grown with a slower growth rate exhibited a smooth surface morphology that resulted in excellent 2-DEG properties for the InAlN/GaN heterostructure. As a result, maximum values for the drain current density (I{sub DS,max}) and transconductance (g{sub m,max}) of 1.5 A/mm and 346 mS/mm, respectively, were achieved for the high-electron-mobility transistor (HEMT) fabricated on this heterostructure. The InAlN layer grown with a faster growth rate, however, exhibited degradation of the surface morphology at an annealing temperature of 850 °C, which caused compositional in-homogeneities and impacted the 2-DEG properties of the InAlN/GaN heterostructure. Additionally, an HEMT fabricated on this heterostructure yielded lower I{sub DS,max} and g{sub m,max} values of 1 A/mm and 210 mS/mm, respectively.

  17. Highly efficient and reliable high power LEDs with patterned sapphire substrate and strip-shaped distributed current blocking layer

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Shengjun [School of Power and Mechanical Engineering, Wuhan University, Wuhan 430072 (China); State Key Laboratory of Mechanical System and Vibration, School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Yuan, Shu; Liu, Yingce [Quantum Wafer Inc., Foshan 528251 (China); Guo, L. Jay [Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, MI 48109 (United States); Liu, Sheng, E-mail: victor_liu63@126.com [School of Power and Mechanical Engineering, Wuhan University, Wuhan 430072 (China); Ding, Han [State Key Laboratory of Mechanical System and Vibration, School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China)

    2015-11-15

    Graphical abstract: - Highlights: • TEM is used to characterize threading dislocation existing in GaN epitaxial layer. • Effect of threading dislocation on optical and electrical of LEDs is discussed. • Strip-shaped SiO{sub 2} DCBL is designed to improve current spreading performance of LEDs. - Abstract: We demonstrated that the improvement in optical and electrical performance of high power LEDs was achieved using cone-shaped patterned sapphire substrate (PSS) and strip-shaped SiO{sub 2} distributed current blocking layer (DCBL). We found through transmission electron microscopy (TEM) observation that densities of both the screw dislocation and edge dislocation existing in GaN epitaxial layer grown on PSS were much less than that of GaN epitaxial layer grown on flat sapphire substrate (FSS). Compared to LED grown on FSS, LED grown on PSS showed higher sub-threshold forward-bias voltage and lower reverse leakage current, resulting in an enhancement in device reliability. We also designed a strip-shaped SiO{sub 2} DCBL beneath a strip-shaped p-electrode, which prevents the current from being concentrated on regions immediately adjacent the strip-shaped p-electrode, thereby facilitating uniform current spreading into the active region. By implementing strip-shaped SiO{sub 2} DCBL, light output power of high power PSS-LED chip could be further increased by 13%.

  18. Structure characterization of Pd/Co/Pd tri-layer films epitaxially grown on MgO single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki

    2011-09-30

    Pd/Co/Pd tri-layer films were prepared on MgO substrates of (001), (111), and (011) orientations at room temperature by ultra high vacuum rf magnetron sputtering. The detailed film structures around the Co/Pd and the Pd/Co interfaces are investigated by reflection high energy electron diffraction. Pd layers of (001){sub fcc}, (111){sub fcc}, and (011){sub fcc} orientations epitaxially grow on the respective MgO substrates. Strained fcc-Co(001) single-crystal layers are formed on the Pd(001){sub fcc} layers by accommodating the fairly large lattice mismatch between the Co and the Pd layers. On the Co layers,, Pd polycrystalline layers are formed. When Co films are formed on the Pd(111){sub fcc} and the Pd(011){sub fcc} layers, atomic mixing is observed around the Co/Pd interfaces and fcc-CoPd alloy phases are coexisting with Co crystals. The Co crystals formed on the Pd(111){sub fcc} layers consist of hcp(0001) + fcc(111) and Pd(111){sub fcc} epitaxial layers are formed on the Co layers. Co crystals epitaxially grow on the Pd(011){sub fcc} layers with two variants, hcp(11-bar 00) and fcc(111). On the Co layers, Pd(011){sub fcc} epitaxial layers are formed.

  19. The effect of Bi composition on the properties of InP{sub 1−x}Bi{sub x} grown by liquid phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Das, T. D., E-mail: tddas@hotmail.com [Department of Electronic Science, University of Calcutta, 92, A. P. C. Road, Kolkata 700009 (India)

    2014-05-07

    InP{sub 1−x}Bi{sub x} epilayers (x ≥ 1.2%) on InP (001) are grown reproducibly by liquid phase epitaxy with conventional solution baking in a H{sub 2} environment. The Bi composition and surface morphology of the grown layers are studied by secondary ion mass spectroscopy and atomic force microscopy, respectively. High-resolution x-ray diffraction is used to characterize the lattice parameters and the crystalline quality of the layers. 10 K photoluminescence measurements indicate three clearly resolved peaks in undoped InP layers with band-to-band transition at 1.42 eV which is redshifted with Bi incorporation in the layer with a maximum band gap reduction of 50 meV/% Bi. The effect is attributed to the interaction between the valence band edge and Bi-related defect states as is explained here by valence-band anticrossing model. Room temperature Hall measurements indicate that the mobility of the layer is not significantly affected for Bi concentration up to 1.2%.

  20. Flexible IZO/Ag/IZO/Ag multilayer electrode grown on a polyethylene terephthalate substrate using roll-to-roll sputtering

    Science.gov (United States)

    2012-01-01

    We investigated the optical, electrical, structural, and surface properties of roll-to-roll [R2R] sputter-grown flexible IZO/Ag/IZO/Ag [IAIA] multilayer films on polyethylene terephthalate substrates as a function of the top indium zinc oxide [IZO] thickness. It was found that the optical transmittance of the IAIA multilayer was significantly influenced by the top IZO layer thickness, which was grown on identical AIA multilayers. However, the sheet resistance of the IAIA multilayer was maintained between the range 5.01 to 5.1 Ω/square regardless of the top IZO thickness because the sheet resistance of the IAIA multilayer was mainly dependent on the thickness of the Ag layers. Notably, the optimized IAIA multilayer had a constant resistance change (ΔR/R0) under repeated outer bending tests with a radius of 10 mm. The mechanical integrity of the R2R-sputtered IAIA multilayer indicated that hybridization of an IZO and Ag metal layer is a promising flexible electrode scheme for the next-generation flexible optoelectronics. PMID:22222144

  1. Effect of low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors

    Science.gov (United States)

    Onaka-Masada, Ayumi; Nakai, Toshiro; Okuyama, Ryosuke; Okuda, Hidehiko; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Kurita, Kazunari; Sueoka, Koji

    2018-02-01

    The effect of oxygen (O) concentration on the Fe gettering capability in a carbon-cluster (C3H5) ion-implanted region was investigated by comparing a Czochralski (CZ)-grown silicon substrate and an epitaxial growth layer. A high Fe gettering efficiency in a carbon-cluster ion-implanted epitaxial growth layer, which has a low oxygen region, was observed by deep-level transient spectroscopy (DLTS) and secondary ion mass spectroscopy (SIMS). It was demonstrated that the amount of gettered Fe in the epitaxial growth layer is approximately two times higher than that in the CZ-grown silicon substrate. Furthermore, by measuring the cathodeluminescence, the number of intrinsic point defects induced by carbon-cluster ion implantation was found to differ between the CZ-grown silicon substrate and the epitaxial growth layer. It is suggested that Fe gettering by carbon-cluster ion implantation comes through point defect clusters, and that O in the carbon-cluster ion-implanted region affects the formation of gettering sinks for Fe.

  2. Fabrication of heterojunction solar cells by improved tin oxide deposition on insulating layer

    Science.gov (United States)

    Feng, Tom; Ghosh, Amal K.

    1980-01-01

    Highly efficient tin oxide-silicon heterojunction solar cells are prepared by heating a silicon substrate, having an insulating layer thereon, to provide a substrate temperature in the range of about 300.degree. C. to about 400.degree. C. and thereafter spraying the so-heated substrate with a solution of tin tetrachloride in a organic ester boiling below about 250.degree. C. Preferably the insulating layer is naturally grown silicon oxide layer.

  3. Implant isolation of plasma-assisted MBE grown GaInAsN for opto-telecommunication applications

    International Nuclear Information System (INIS)

    Ahmed, S.; Lin, J.; Haq, A.; Sealy, B.

    2005-01-01

    The material system GaInAsN is considered to be one of the key materials for next generation telecommunication applications providing high data transmission and lower power consumption. The strong dependence of the band gap on the nitrogen content has made this material important for a variety of applications. We report on the effects of ion implantation on the achieved electrical isolation in GaInAsN layers. GaInAsN was grown using either a direct nitrogen beam or dispersive nitrogen radicals by a RF activated nitrogen source. Proton and iron implants were performed at RT and 77 K, respectively in order to effectively isolate the as-grown silicon (n-type) GaInAsN layers. Results show that the sheet resistance of n-type layers can be increased by about four and five orders of magnitude by proton and iron implantation, respectively. The study of annealing temperature dependence of sheet resistivity in proton-isolated samples shows that the electrical isolation can be preserved up to 450 deg. C. The thermally stable high resistivity region persists up to 600 deg. C when the implantation is performed with iron at 77 K. These results are novel and have ramifications for device engineers

  4. Strain and Structure Heterogeneity in MoS2 Atomic Layers Grown by Chemical Vapour Deposition

    Science.gov (United States)

    2014-11-18

    cture heterogeneity in MoS2 atomiclayers grown by chemical vapour deposition 6. AUTHORS Zheng Liu, Matin Amani, Sina Najmaei, Quan Xu, Xiaolong Zou...deposition Zheng Liu1•2•3·*, Matin Amani4·*, Sina Najmaei5·*, Quan Xu6•7, Xiaolong Zou5, Wu Zhou8, Ting Yu9, Caiyu Qiu9, A Glen Birdwell4, Frank J. Crowne4

  5. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  6. In-situ atomic layer deposition growth of Hf-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Karavaev, Konstantin

    2010-06-17

    We have grown HfO{sub 2} on Si(001) by atomic layer deposition (ALD) using HfCl{sub 4}, TEMAHf, TDMAHf and H{sub 2}O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl{sub 4} experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO{sub 2}. The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO{sub 2}: contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  7. AlGaN/GaN MISHEMTs with AlN gate dielectric grown by thermal ALD technique.

    Science.gov (United States)

    Liu, Xiao-Yong; Zhao, Sheng-Xun; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Zhang, Chun-Min; Lu, Hong-Liang; Wang, Peng-Fei; Zhang, David Wei

    2015-01-01

    Recently, AlN plasma-enhanced atomic layer deposition (ALD) passivation technique had been proposed and investigated for suppressing the dynamic on-resistance degradation behavior of high-electron-mobility transistors (HEMTs). In this paper, a novel gate dielectric and passivation technique for GaN-on-Si AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MISHEMTs) is presented. This technique features the AlN thin film grown by thermal ALD at 400°C without plasma enhancement. A 10.6-nm AlN thin film was grown upon the surface of the HEMT serving as the gate dielectric under the gate electrode and as the passivation layer in the access region at the same time. The MISHEMTs with thermal ALD AlN exhibit enhanced on/off ratio, reduced channel sheet resistance, reduction of gate leakage by three orders of magnitude at a bias of 4 V, reduced threshold voltage hysteresis of 60 mV, and suppressed current collapse degradation.

  8. Optimization of growth parameters for MOVPE-grown GaSb and Ga1−xInxSb

    International Nuclear Information System (INIS)

    Miya, S.S.; Wagener, V.; Botha, J.R.

    2012-01-01

    The triethylgallium/trimethylantimony (TEGa/TMSb) precursor combination was used for the metal-organic vapour phase epitaxial growth of GaSb at a growth temperature of 520 °C at atmospheric pressure. Trimethylindium was added in the case of Ga 1−x In x Sb growth. The effects of group V flux to group III flux ratio (V/III ratio) on the crystallinity and optical properties of GaSb layers are reported. It has been observed from the crystalline quality and optical properties that nominal V/III ratios of values greater than unity are required for GaSb epitaxial layers grown at this temperature. It has also been shown that Ga 1−x In x Sb can be grown using TEGa as a source of gallium species at atmospheric pressure. The relationship between Ga 1−x In x Sb vapour composition and solid composition has been studied at a V/III ratio of 0.78.

  9. Effects of a finite melt on the thickness and composition of liquid phase epitaxial InGaAsP and InGaAs layers grown by the diffusion-limited step-cooling technique

    International Nuclear Information System (INIS)

    Cook, L.W.; Tashima, M.M.; Stillman, G.E.

    1980-01-01

    The thickness of InGaAsP (lambda/sub g/=1.15 μm) and InGaAs (lambda/sub g/=1.68 μm) liquid phase epitaxial layers grown on (100) InP substrates by the step-cooling technique has been measured as a function of growth time. (lambda/sub g/ is defined as the wavelength corresponding to the energy gap of the epitaxial layer.) For growth times much less than the shortest diffusion time tau/sub i/=l 2 /D/sub i/ of the melt constituents, where l is the melt height and D/sub i/ is the diffusivity of each component in the melt, the thickness is consistent with diffusion-limited theory, and the composition is constant. The time at which the growth rate deviates sharply from diffusion-limited theory and beyond which constant composition growth can no longer be maintained has been determined for the melt size used in our experiments and can be estimated for any melt size

  10. Microstructural characterization of chemical bath deposited and sputtered Zn(O,S) buffer layers

    International Nuclear Information System (INIS)

    Gautron, E.; Buffière, M.; Harel, S.; Assmann, L.; Arzel, L.; Brohan, L.; Kessler, J.; Barreau, N.

    2013-01-01

    The present work aims at investigating the microstructure of Zn(O,S) buffer layers relative to their deposition route, namely either chemical bath deposition (CBD) or RF co-sputtering process (PVD) under pure Ar. The core of the study consists of cross-sectional transmission electron microscopy (TEM) characterization of the differently grown Zn(O,S) thin films on co-evaporated Cu(In,Ga)Se 2 (CIGSe) absorbers. It shows that the morphology of Zn(O,S) layer deposited on CIGSe using CBD process is made of a thin layer of well oriented ZnS sphalerite-(111) and/or ZnS wurtzite-(0002) planes parallel to CIGSe chalcopyrite-(112) planes at the interface with CIGSe followed by misoriented nanometer-sized ZnS crystallites in an amorphous phase. As far as (PVD)Zn(O,S) is concerned, the TEM analyses reveal two different microstructures depending on the S-content in the films: for [S] / ([O] + [S]) = 0.6, the buffer layer is made of ZnO zincite and ZnS wurtzite crystallites grown nearly coherently to each other, with (0002) planes nearly parallel with CIGSe-(112) planes, while for [S] / ([O] + [S]) = 0.3, it is made of ZnO zincite type crystals with O atoms substituted by S atoms, with (0002) planes perfectly aligned with CIGSe-(112) planes. Such microstructural differences can explain why photovoltaic performances are dependent on the Zn(O,S) buffer layer deposition route. - Highlights: ► Zn(O,S) layers were grown by chemical bath (CBD) or physical vapor (PVD) deposition. ► For CBD, a 3 nm ZnS layer is followed by ZnS nano-crystallites in an amorphous phase. ► For PVD with [S] / ([O] + [S]) = 0.3, the layer has a Zn(O,S) zincite structure. ► For PVD with [S] / ([O] + [S]) = 0.6, ZnS wurtzite and ZnO zincite phases are mixed

  11. Fabrication of magnetic tunnel junctions with epitaxial and textured ferromagnetic layers

    Science.gov (United States)

    Chang, Y. Austin; Yang, Jianhua Joshua

    2008-11-11

    This invention relates to magnetic tunnel junctions and methods for making the magnetic tunnel junctions. The magnetic tunnel junctions include a tunnel barrier oxide layer sandwiched between two ferromagnetic layers both of which are epitaxial or textured with respect to the underlying substrate upon which the magnetic tunnel junctions are grown. The magnetic tunnel junctions provide improved magnetic properties, sharper interfaces and few defects.

  12. Multiscale investigation of graphene layers on 6H-SiC(000-1

    Directory of Open Access Journals (Sweden)

    Hiebel Fanny

    2011-01-01

    Full Text Available Abstract In this article, a multiscale investigation of few graphene layers grown on 6H-SiC(000-1 under ultrahigh vacuum (UHV conditions is presented. At 100-μm scale, the authors show that the UHV growth yields few layer graphene (FLG with an average thickness given by Auger spectroscopy between 1 and 2 graphene planes. At the same scale, electron diffraction reveals a significant rotational disorder between the first graphene layer and the SiC surface, although well-defined preferred orientations exist. This is confirmed at the nanometer scale by scanning tunneling microscopy (STM. Finally, STM (at the nm scale and Raman spectroscopy (at the μm scale show that the FLG stacking is turbostratic, and that the domain size of the crystallites ranges from 10 to 100 nm. The most striking result is that the FLGs experience a strong compressive stress that is seldom observed for graphene grown on the C face of SiC substrates.

  13. Diode behavior in ultra-thin low temperature ALD grown zinc-oxide on silicon

    Directory of Open Access Journals (Sweden)

    Nazek El-Atab

    2013-10-01

    Full Text Available A thin-film ZnO(n/Si(p+ heterojunction diode is demonstrated. The thin film ZnO layer is deposited by Atomic Layer Deposition (ALD at different temperatures on a p-type silicon substrate. Atomic force microscopy (AFM AC-in-Air method in addition to conductive AFM (CAFM were used for the characterization of ZnO layer and to measure the current-voltage characteristics. Forward and reverse bias n-p diode behavior with good rectification properties is achieved. The diode with ZnO grown at 80°C exhibited the highest on/off ratio with a turn-on voltage (VON ∼3.5 V. The measured breakdown voltage (VBR and electric field (EBR for this diode are 5.4 V and 3.86 MV/cm, respectively.

  14. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  15. Efficient Planar Structured Perovskite Solar Cells with Enhanced Open-Circuit Voltage and Suppressed Charge Recombination Based on a Slow Grown Perovskite Layer from Lead Acetate Precursor.

    Science.gov (United States)

    Li, Cong; Guo, Qiang; Wang, Zhibin; Bai, Yiming; Liu, Lin; Wang, Fuzhi; Zhou, Erjun; Hayat, Tasawar; Alsaedi, Ahmed; Tan, Zhan'ao

    2017-12-06

    For planar structured organic-inorganic hybrid perovskite solar cells (PerSCs) with the poly(3,4-ethylenedioxythiophene:polystyrene sulfonate) (PEDOT:PSS) hole transport layer, the open-circuit voltage (V oc ) of the device is limited to be about 1.0 V, resulting in inferior performance in comparison with TiO 2 -based planar counterparts. Therefore, increasing V oc of the PEDOT:PSS-based planar device is an important way to enhance the efficiency of the PerSCs. Herein, we demonstrate a novel approach for perovskite film formation and the film is formed by slow growth from lead acetate precursor via a one-step spin-coating process without the thermal annealing (TA) process. Because the perovskite layer grows slowly and naturally, high-quality perovskite film can be achieved with larger crystalline particles, less defects, and smoother surface morphology. Ultraviolet absorption, X-ray diffraction, scanning electron microscopy, steady-state fluorescence spectroscopy (photoluminescence), and time-resolved fluorescence spectroscopy are used to clarify the crystallinity, morphology, and internal defects of perovskite thin films. The power conversion efficiency of p-i-n PerSCs based on slow-grown film (16.33%) shows greatly enhanced performance compared to that of the control device based on traditional thermally annealed perovskite film (14.33%). Furthermore, the V oc of the slow-growing device reaches 1.12 V, which is 0.1 V higher than that of the TA device. These findings indicate that slow growth of the perovskite layer from lead acetate precursor is a promising approach to achieve high-quality perovskite film for high-performance PerSCs.

  16. Investigation of (Y,Gd)Ba2Cu3O7-x grown by MOCVD on a simplified IBAD MgO template

    International Nuclear Information System (INIS)

    Stan, L; Holesinger, T G; Maiorov, B; Civale, L; DePaula, R F; Jia, Q X; Chen, Y; Xiong, X; Selvamanickam, V

    2010-01-01

    We have used an ion beam sputtered Y 2 O 3 -Al 2 O 3 (YALO) composite to simplify the architecture of high temperature superconducting (HTS) coated conductors (CCs) based on a IBAD MgO template. By implementing YALO, we have reduced the total non-superconducting layers between the polycrystalline metal substrate and the superconducting film from five (the standard architecture) to four. Well textured (Y,Gd)Ba 2 Cu 3 O 7-x ((Y, Gd)BCO) films have been successfully grown by MOCVD on this simplified template. The microstructural characterization revealed that all layers are continuous and uniform with sharp and clean interfaces. Additionally, the YALO maintained its amorphous nature after the deposition of the superconductive layer, which is a plus in terms of its efficiency as a diffusion barrier. The achievement of a self-field critical current of 230 A cm -1 at 75.5 K is another proof of the effectiveness of YALO as a diffusion barrier and nucleation seed for the MgO. The transport properties under an applied magnetic field of MOCVD grown (Y, Gd)BCO on LMO buffered MgO/YALO/Ni-alloy are comparable with those of (Y, Gd)BCO on a standard architecture, thus demonstrating good compatibility between the simplified template with the MOCVD grown (Y, Gd)BCO. The use of a single composite YALO layer instead of individual layers of Y 2 O 3 and Al 2 O 3 for the large scale fabrication of HTS CCs based on IBAD MgO provides advantages such as potentially reduced cost due to the reduced number of fabrication steps.

  17. Photoluminescence properties of ZnO thin films grown by using the hydrothermal technique

    International Nuclear Information System (INIS)

    Sahoo, Trilochan; Jang, Leewoon; Jeon, Juwon; Kim, Myoung; Kim, Jinsoo; Lee, Inhwan; Kwak, Joonseop; Lee, Jaejin

    2010-01-01

    The photoluminescence properties of zinc-oxide thin films grown by using the hydrothermal technique have been investigated. Zinc-oxide thin films with a wurtzite symmetry and c-axis orientation were grown in aqueous solution at 90 .deg. C on sapphire substrates with a p-GaN buffer layer by using the hydrothermal technique. The low-temperature photoluminescence analysis revealed a sharp bound-exciton-related luminescence peak at 3.366 eV with a very narrow peak width. The temperature-dependent variations of the emission energy and of the integrated intensity were studied. The activation energy of the bound exciton complex was calculated to be 7.35 ± 0.5 meV from the temperature dependent quenching of the integral intensities.

  18. Enhanced electrochemical activity using vertically aligned carbon nanotube electrodes grown on carbon fiber

    Directory of Open Access Journals (Sweden)

    Evandro Augusto de Morais

    2011-09-01

    Full Text Available Vertically aligned carbon nanotubes were successfully grown on flexible carbon fibers by plasma enhanced chemical vapor deposition. The diameter of the CNT is controllable by adjusting the thickness of the catalyst Ni layer deposited on the fiber. Vertically aligned nanotubes were grown in a Plasma Enhanced Chemical Deposition system (PECVD at a temperature of 630 ºC, d.c. bias of -600 V and 160 and 68 sccm flow of ammonia and acetylene, respectively. Using cyclic voltammetry measurements, an increase of the surface area of our electrodes, up to 50 times higher, was observed in our samples with CNT. The combination of VACNTs with flexible carbon fibers can have a significant impact on applications ranging from sensors to electrodes for fuel cells.

  19. Superconductivity in few-layer stanene

    Science.gov (United States)

    Liao, Menghan; Zang, Yunyi; Guan, Zhaoyong; Li, Haiwei; Gong, Yan; Zhu, Kejing; Hu, Xiao-Peng; Zhang, Ding; Xu, Yong; Wang, Ya-Yu; He, Ke; Ma, Xu-Cun; Zhang, Shou-Cheng; Xue, Qi-Kun

    2018-04-01

    A single atomic slice of α-tin—stanene—has been predicted to host the quantum spin Hall effect at room temperature, offering an ideal platform to study low-dimensional and topological physics. Although recent research has focused on monolayer stanene, the quantum size effect in few-layer stanene could profoundly change material properties, but remains unexplored. By exploring the layer degree of freedom, we discover superconductivity in few-layer stanene down to a bilayer grown on PbTe, while bulk α-tin is not superconductive. Through substrate engineering, we further realize a transition from a single-band to a two-band superconductor with a doubling of the transition temperature. In situ angle-resolved photoemission spectroscopy (ARPES) together with first-principles calculations elucidate the corresponding band structure. The theory also indicates the existence of a topologically non-trivial band. Our experimental findings open up novel strategies for constructing two-dimensional topological superconductors.

  20. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  1. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices. © 2012 Elsevier B.V. All rights reserved.

  2. Structural and optical features of InGaAs quantum dots grown on Si(001) substrates

    CERN Document Server

    Vdovin, V I; Rzaev, M M; Burbaev, T M

    2002-01-01

    A multilayer GaAs/SiGe/Si heterostructure with InGaAs quantum dots (QDs) embedded in a GaAs layer was grown by molecular beam epitaxy (MBE) on a Si(001) substrate. A step-graded Si sub 1 sub - sub x Ge sub x (0 <= x <= 1) buffer layer and a GaAs layer with In sub y Ga sub 1 sub sub - sub y As (y approx 0.5) QDs were deposited consecutively in two different MBE systems. The heterostructure exhibits intense photoluminescence in the region of 1.3 mu m at room temperature. Perfect crystal InGaAs islands with height less than 10 nm are the sources of this radiation.

  3. Characterization of InP/GaAs/Si structures grown by atmospheric pressure metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Pearton, S.J.; Short, K.T.; Macrander, A.T.; Abernathy, C.R.; Mazzi, V.P.; Haegel, N.M.; Al-Jassim, M.M.; Vernon, S.M.; Haven, V.E.

    1989-01-01

    The thickness dependence of material quality of InP-GaAs-Si structures grown by atmospheric pressure metalorganic chemical vapor deposition was investigated. The InP thickness was varied from 1--4 μm, and that of the GaAs from 0.1--4 μm. For a given thickness of InP, its ion channeling yield and x-ray peak width were essentially independent of the GaAs layer thickness. The InP x-ray peak widths were typically 400--440 arcsec for 4-μm-thick layers grown on GaAs. The GaAs x-ray widths in turn varied from 320--1000 arcsec for layer thicknesses from 0.1--4 μm. Cross-sectional transmission electron microscopy showed high defect densities at both the InP-GaAs and GaAs-Si interfaces. In 4-μm-thick InP layers the average threading dislocation density was in the range (3--8) x 10 8 cm -2 with a stacking fault density within the range (0.4--2) x 10 8 cm 2 . The He + ion channeling yield near the InP surface was similar to that of bulk InP (chi/sub min/∼4%), but rose rapidly toward the InP-GaAs heterointerface where it was typically around 50% for 1-μm-thick InP layers. All samples showed room-temperature luminescence, while at 4.4 K, exciton-related transitions, whose intensity was a function of the InP thickness, were observed

  4. Characterization of oxide scales grown on alloy 310S stainless steel after long term exposure to supercritical water at 500 °C

    Energy Technology Data Exchange (ETDEWEB)

    Behnamian, Yashar, E-mail: behnamia@ualberta.ca [Department of Chemical and Materials Engineering, University of Alberta, Edmonton, Alberta T6G 1H9 (Canada); Mostafaei, Amir [Department of Mechanical Engineering and Materials Science, University of Pittsburgh, Pittsburgh, PA 15261 (United States); Kohandehghan, Alireza [Department of Chemical and Materials Engineering, University of Alberta, Edmonton, Alberta T6G 1H9 (Canada); Amirkhiz, Babak Shalchi [Canmet MATERIALS, Natural Resources Canada, Hamilton, Ontario L8P 0A5 (Canada); Serate, Daniel [Department of Chemical and Materials Engineering, University of Alberta, Edmonton, Alberta T6G 1H9 (Canada); Zheng, Wenyue [Canmet MATERIALS, Natural Resources Canada, Hamilton, Ontario L8P 0A5 (Canada); Guzonas, David [Canadian Nuclear Laboratories, Chalk River Laboratories, Chalk River, Ontario K0J 1J0 (Canada); Chmielus, Markus [Department of Mechanical Engineering and Materials Science, University of Pittsburgh, Pittsburgh, PA 15261 (United States); Chen, Weixing, E-mail: Weixing@ualberta.ca [Department of Chemical and Materials Engineering, University of Alberta, Edmonton, Alberta T6G 1H9 (Canada); Luo, Jing Li, E-mail: Jingli.luo@ualberta.ca [Department of Chemical and Materials Engineering, University of Alberta, Edmonton, Alberta T6G 1H9 (Canada)

    2016-10-15

    The oxide scale grown of static capsules made of alloy 310S stainless steel was investigated by exposure to the supercritical water at 500 °C 25 MPa for various exposure times up to 20,000 h. Characterization techniques such as X-ray diffraction, scanning/transmission electron microscopy, energy dispersive spectroscopy, and fast Fourier transformation were employed on the oxide scales. The elemental and phase analyses indicated that long term exposure to the SCW resulted in the formation of scales identified as Fe{sub 3}O{sub 4} (outer layer), Fe-Cr spinel (inner layer), Cr{sub 2}O{sub 3} (transition layer) on the substrate, and Ni-enrichment (chrome depleted region) in the alloy 310S. It was found that the layer thickness and weight gain vs. exposure time followed parabolic law. The oxidation mechanism and scales grown on the alloy 310S stainless steel exposed to SCW are discussed. - Highlights: •Oxidation of alloy 310S stainless steel exposed to SCW (500 °C/25 MPa) •The layer thickness and weight gain vs. exposure time followed parabolic law. •Oxide layers including Fe{sub 3}O{sub 4} (outer), Fe-Cr spinel (inner) and Cr{sub 2}O{sub 3} (transition) •Ni element is segregated by the selective oxidation of Cr.

  5. Mode-locking of an InAs Quantum Dot Based Vertical External Cavity Surface Emitting Laser Using Atomic Layer Graphene

    Science.gov (United States)

    2015-07-16

    catalyzed on either a copper foil or on nickel coated substrates. The graphene must be transferred off of these substrates and then on to the DBR/spacer to...properties of graphene in both the exfoliated single layer graphene (SLG) and few layer graphene (FLG) flakes . Sun et al. make use of bile salts to...semiconductors and dielectrics is the transfer of CVD graphene grown on copper foils. The graphene is grown on thin Cu-foils by CVD using methane and

  6. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  7. LaNiO3 buffer layers for high critical current density YBa2Cu3O7-δ and Tl2Ba2CaCu2O8-δ films

    International Nuclear Information System (INIS)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-01-01

    We demonstrate high critical current density superconducting films of YBa 2 Cu 3 O 7-δ (YBCO) and Tl 2 Ba 2 CaCu 2 O 8-δ (Tl-2212) using LaNiO 3 (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J c (5 K, H=0) than films grown directly on a bare LaAlO 3 substrate. YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J c at all temperatures and fields compared to those grown on bare LaAlO 3 , correlating to both a-axis grain and nonsuperconducting phase formation. LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films in coated conductor applications. copyright 1999 American Institute of Physics

  8. LaNiO3 Buffer Layers for High Critical Current Density YBa2Cu3O7δ and Tl2Ba2CaCu2O8δ Films

    International Nuclear Information System (INIS)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.-T.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-01-01

    We demonstrate high critical current density superconducting films of YBa 2 Cu 3 O 7-δ (YBCO) and Tl 2 Ba 2 CaCu 2 O 8-δ (Tl-2212) using LaNiO 3 (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J c (5K, H=0) than films grown directly on a bare LaAlO 3 substrate. It is noteworthy that YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J c at all temperatures and fields compared to those grown on bare LaAlO 3 , correlating to both a-axis grain and nonsuperconducting phase formation. With additional optimization, LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films, perhaps ideally suited for coated conductor applications

  9. TEM characterization of catalyst- and mask-free grown GaN nanorods

    International Nuclear Information System (INIS)

    Schowalter, M; Aschenbrenner, T; Kruse, C; Hommel, D; Rosenauer, A

    2010-01-01

    Catalyst- and mask-free grown GaN nanorods have been investigated using transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM) and energy filtered transmission electron microscopy (EFTEM). The nanorods were grown on nitridated r-plane sapphire substrates in a molecular beam epitaxy reactor. We investigated samples directly after the nitridation and after the overgrowth of the structure with GaN. High resolution transmission electron microscopy (HRTEM) and EFTEM revealed that AlN islands have formed due to nitridation. After overgrowth, the AlN islands could not be observed any more, neither by EFTEM nor by Z-contrast imaging. Instead, a smooth layer consisting of AlGaN was found. The investigation of the overgrown sample revealed that an a-plane GaN layer and GaN nanorods on top of the a-plane GaN have formed. The nanorods reduced from top of the a-plane GaN towards the a-plane GaN/sapphire interface suggesting that the nanorods originate at the AlN islands found after nitridation. However, this could not be shown unambiguously. The number of threading dislocations in the nanorods was very low. The analysis of the epitaxial relationship to the a-plane GaN showed that the nanorods grew along the [000-1] direction, and the [1-100] direction of the rods was parallel to the [0001] direction of the a-plane GaN.

  10. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO{sub 2} thin films grown by atomic layer deposition at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: babaisps@rrcat.gov.in [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Ajimsha, R.S. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Rajiv, K.; Mukherjee, C. [Mechanical and Optical Support Section, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Gupta, M. [UGC-DAE Consortium, Indore Centre, Khandwa Road, Indore 452017 (India); Misra, P.; Kukreja, L.M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2014-10-01

    Highlights: • Refractive index was found to be increased from amorphous to the nanocrystalline films. • Refractive index was found to be inversely proportional with growth per cycle. • Large-grained anatase films showed lower refractive indices than the amorphous films. • Roughness was taken into consideration due to the columnar growths of crystalline films. - Abstract: TiO{sub 2} thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (T{sub s}) in a wide range (50 °C ≤ T{sub s} ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (T{sub s} ≤ 150 °C) to the nanocrystalline films (250{sup 0} < T{sub s} ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple–DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  11. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  12. Multiscale investigation of graphene layers on 6H-SiC(000-1)

    OpenAIRE

    Tiberj, Antoine; Huntzinger, Jean-Roch; Camassel, Jean; Hiebel, Fanny; Mahmood, Ather; Mallet, Pierre; Naud, Cecile; Veuillen, Jean-Yves

    2011-01-01

    Abstract In this article, a multiscale investigation of few graphene layers grown on 6H-SiC(000-1) under ultrahigh vacuum (UHV) conditions is presented. At 100-μm scale, the authors show that the UHV growth yields few layer graphene (FLG) with an average thickness given by Auger spectroscopy between 1 and 2 graphene planes. At the same scale, electron diffraction reveals a significant rotational disorder between the first graphene layer and the SiC surface, although well-defined preferre...

  13. Photoluminescence of heterostructures with GaP1−xNx and GaP1−x−yNxAsy layers grown on GaP and Si substrates by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lazarenko, A. A.; Nikitina, E. V.; Sobolev, M. S.; Pirogov, E. V.; Denisov, D. V.; Egorov, A. Yu.

    2015-01-01

    The structural and optical properties of heterostructures containing GaP 1−x N x ternary and GaP 1−x−y N x As y quaternary alloy layers are discussed. The heterostructures are grown by molecular-beam epitaxy on GaP and Si substrates. The structures are studied by the high-resolution X-ray diffraction technique and photoluminescence measurements in a wide temperature range from 10 to 300 K. In the low-temperature photoluminescence spectra of the alloys with a low nitrogen fraction (x < 0.007), two clearly resolved narrow lines attributed to the localized states of nitrogen pairs and the phonon replicas of these lines are observed

  14. High-quality graphene grown on polycrystalline PtRh{sub 20} alloy foils by low pressure chemical vapor deposition and its electrical transport properties

    Energy Technology Data Exchange (ETDEWEB)

    Yang, He; Shen, Chengmin, E-mail: cmshen@iphy.ac.cn; Tian, Yuan; Bao, Lihong; Chen, Peng; Yang, Rong; Yang, Tianzhong; Li, Junjie; Gu, Changzhi; Gao, Hong-Jun [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2016-02-08

    High-quality continuous uniform monolayer graphene was grown on polycrystalline PtRh{sub 20} alloy foils by low pressure chemical vapor deposition. The morphology of graphene was investigated by Raman spectroscopy, scanning electron microscopy, and atomic force microscopy. Analysis results confirm that high quality single-layer graphene was fabricated on PtRh{sub 20} foil at 1050 °C using a lower flux of methane under low pressure. Graphene films were transferred onto the SiO{sub 2}/Si substrate by the bubbling transfer method. The mobility of a test field effect transistor made of the graphene grown on PtRh{sub 20} was measured and reckoned at room temperature, showing that the carrier mobility was about 4000 cm{sup 2} V{sup −1} s{sup −1}. The results indicate that desired quality of single-layer graphene grown on PtRh{sub 20} foils can be obtained by tuning reaction conditions.

  15. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  16. Optical and structural properties of ZnO nanorods grown on graphene oxide and reduced graphene oxide film by hydrothermal method

    Energy Technology Data Exchange (ETDEWEB)

    Alver, U., E-mail: alver@ksu.edu.tr [Department of Physics, Kahramanmaras Sutcu Imam University, K. Maras 46100 (Turkey); Zhou, W.; Belay, A.B. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States); Krueger, R. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Davis, K.O.; Hickman, N.S. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States)

    2012-01-15

    ZnO nanorods were grown on graphene oxide (GO) and reduced graphene oxide (RGO) films with seed layers by using simple hydrothermal method. The GO films were deposited by spray coating and then annealed at 400 Degree-Sign C in argon atmosphere to obtain RGO films. The optical and structural properties of the ZnO nanorods were systematically studied by scanning electron microscopy (SEM), X-ray diffraction (XRD) and ultraviolet-visible spectroscopy. The XRD patterns and SEM images show that without a seed layer, no ZnO nanorod deposition occurs on GO or RGO films. Transmittance of ZnO nanorods grown on RGO films was measured to be approximately 83% at 550 nm. Furthermore, while transmittance of RGO films increases with ZnO nanorod deposition, transmittance of GO decreases.

  17. Recombination barrier layers in solid-state quantum dot-sensitized solar cells

    KAUST Repository

    Roelofs, Katherine E.

    2012-06-01

    By replacing the dye in the dye-sensitized solar cell design with semiconductor quantum dots as the light-absorbing material, solid-state quantum dot-sensitized solar cells (ss-QDSSCs) were fabricated. Cadmium sulfide quantum dots (QDs) were grown in situ by successive ion layer adsorption and reaction (SILAR). Aluminum oxide recombination barrier layers were deposited by atomic layer deposition (ALD) at the TiO2/hole-conductor interface. For low numbers of ALD cycles, the Al2O3 barrier layer increased open circuit voltage, causing an increase in device efficiency. For thicker Al2O3 barrier layers, photocurrent decreased substantially, leading to a decrease in device efficiency. © 2012 IEEE.

  18. Oxide layers of Zr-1% Nb under PWR primary circuit conditions

    International Nuclear Information System (INIS)

    Nagy, Gabor; Kerner, Zsolt; Battistig, Gabor; Pinter-Csordas, Anna; Balogh, Janos; Pajkossy, Tamas

    2001-01-01

    Oxide layers were grown on Zr-1% Nb under conditions simulating those in VVER-type pressurised water reactors (PWRs), viz. in borate solutions in an autoclave at 290 deg. C. The layers were characterised by various methods: their respective thickness values were determined by weight gain measurements, Rutherford backscattering (RBS), nuclear reaction analysis (NRA) and scanning electron microscopy (SEM); the electrical properties were tested by electrochemical impedance spectroscopy. The results show that the oxide layer on Zr-1% Nb is homogeneous and somewhat thicker than that on Zircaloy-4

  19. Surface state of GaN after rapid-thermal-annealing using AlN cap-layer

    Energy Technology Data Exchange (ETDEWEB)

    El-Zammar, G., E-mail: georgio.elzammar@univ-tours.fr [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Khalfaoui, W. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Oheix, T. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Yvon, A.; Collard, E. [STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Cayrel, F.; Alquier, D. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France)

    2015-11-15

    Graphical abstract: Surface state of a crack-free AlN cap-layer reactive sputtered on GaN and annealed at high temperature showing a smooth, pit-free surface. - Highlights: • We deposit a crystalline AlN layer by reactive magnetron sputtering on GaN. • We show the effect of deposition parameters of AlN by reactive magnetron sputtering on the quality of the grown layer. • We demonstrate the efficiency of double cap-layer for GaN protection during high temperature thermal treatments. • We show an efficient selective etch of AlN without damaging GaN surface. - Abstract: Critical issues need to be overcome to produce high performance Schottky diodes on gallium nitride (GaN). To activate dopant, high temperature thermal treatments are required but damage GaN surface where hexagonal pits appear and prevent any device processing. In this paper, we investigated the efficiency of cap-layers on GaN during thermal treatments to avoid degradation. Aluminum nitride (AlN) and silicon oxide (SiO{sub x}) were grown on GaN by direct current reactive magnetron sputtering and plasma-enhanced chemical vapor deposition, respectively. AlN growth parameters were studied to understand their effect on the grown layers and their protection efficiency. Focused ion beam was used to measure AlN layer thickness. Crystalline quality and exact composition were verified using X-ray diffraction and energy dispersive X-ray spectroscopy. Two types of rapid thermal annealing at high temperatures were investigated. Surface roughness and pits density were evaluated using atomic force microscopy and scanning electron microscopy. Cap-layers wet etching was processed in H{sub 3}PO{sub 4} at 120 °C for AlN and in HF (10%) for SiO{sub x}. This work reveals effective protection of GaN during thermal treatments at temperatures as high as 1150 °C. Low surface roughness was obtained. Furthermore, no hexagonal pit was observed on the surface.

  20. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  1. Large-Area Synthesis of High-Quality Uniform Few-Layer MoTe2.

    Science.gov (United States)

    Zhou, Lin; Xu, Kai; Zubair, Ahmad; Liao, Albert D; Fang, Wenjing; Ouyang, Fangping; Lee, Yi-Hsien; Ueno, Keiji; Saito, Riichiro; Palacios, Tomás; Kong, Jing; Dresselhaus, Mildred S

    2015-09-23

    The controlled synthesis of large-area, atomically thin molybdenum ditelluride (MoTe2) crystals is crucial for its various applications based on the attractive properties of this emerging material. In this work, we developed a chemical vapor deposition synthesis to produce large-area, uniform, and highly crystalline few-layer 2H and 1T' MoTe2 films. It was found that these two different phases of MoTe2 can be grown depending on the choice of Mo precursor. Because of the highly crystalline structure, the as-grown few-layer 2H MoTe2 films display electronic properties that are comparable to those of mechanically exfoliated MoTe2 flakes. Our growth method paves the way for the large-scale application of MoTe2 in high-performance nanoelectronics and optoelectronics.

  2. Spatial Atmospheric Pressure Atomic Layer Deposition of Tin Oxide as an Impermeable Electron Extraction Layer for Perovskite Solar Cells with Enhanced Thermal Stability.

    Science.gov (United States)

    Hoffmann, Lukas; Brinkmann, Kai O; Malerczyk, Jessica; Rogalla, Detlef; Becker, Tim; Theirich, Detlef; Shutsko, Ivan; Görrn, Patrick; Riedl, Thomas

    2018-02-14

    Despite the notable success of hybrid halide perovskite-based solar cells, their long-term stability is still a key-issue. Aside from optimizing the photoactive perovskite, the cell design states a powerful lever to improve stability under various stress conditions. Dedicated electrically conductive diffusion barriers inside the cell stack, that counteract the ingress of moisture and prevent the migration of corrosive halogen species, can substantially improve ambient and thermal stability. Although atomic layer deposition (ALD) is excellently suited to prepare such functional layers, ALD suffers from the requirement of vacuum and only allows for a very limited throughput. Here, we demonstrate for the first time spatial ALD-grown SnO x at atmospheric pressure as impermeable electron extraction layers for perovskite solar cells. We achieve optical transmittance and electrical conductivity similar to those in SnO x grown by conventional vacuum-based ALD. A low deposition temperature of 80 °C and a high substrate speed of 2.4 m min -1 yield SnO x layers with a low water vapor transmission rate of ∼10 -4 gm -2 day -1 (at 60 °C/60% RH). Thereby, in perovskite solar cells, dense hybrid Al:ZnO/SnO x electron extraction layers are created that are the key for stable cell characteristics beyond 1000 h in ambient air and over 3000 h at 60 °C. Most notably, our work of introducing spatial ALD at atmospheric pressure paves the way to the future roll-to-roll manufacturing of stable perovskite solar cells.

  3. Preparation and properties of thick not intentionally doped GaInP(As)/GaAs layers

    CERN Document Server

    Nohavica, D; Zdansky, K

    1999-01-01

    We report on liquid-phase epitaxial growth of thick layers of GaInP(As), lattice matched to GaAs. Layers with thicknesses up to 10 mu m were prepared in a multi-melt bin, step-cooling, one-phase configuration. Unintentionally doped layers, grown from moderate purity starting materials, show a significant decrease in the residual impurity level when erbium is added to the melt. Fundamental electrical and optical properties of the layers were investigated. (author)

  4. Exchange coupling in metallic multilayers with a top FeRh layer

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, S., E-mail: yamada@ee.es.osaka-u.ac.jp; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, Toyonaka 560-8531 (Japan); Tanikawa, K. [Department of Electronics, Kyushu University, 744 Motooka, Fukuoka 819-0395 (Japan); Hirayama, J. [Graduate School of Engineering Science, Osaka University, Toyonaka 560-8531 (Japan); Department of Electronics, Kyushu University, 744 Motooka, Fukuoka 819-0395 (Japan); Taniyama, T. [Materials and Structures Laboratory, Tokyo Institute of Technology, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan)

    2016-05-15

    We study magnetic properties of metallic multilayers with FeRh/ferromagnet interfaces grown by low-temperature molecular beam epitaxy. Room-temperature coercivity of the ferromagnetic layers is significantly enhanced after the growth of FeRh, proving the existence of the exchange coupling between the antiferromagnetic FeRh layer and the ferromagnetic layer. However, exchange bias is not clearly observed probably due to the presence of disordered structures, which result from the lattice strain at the FeRh/ferromagnet interfaces due to the lattice mismatch. We infer that the lattice matched interface between FeRh and ferromagnetic layers is a key parameter for controlling magnetic switching fields in such multilayer systems.

  5. Impact of AlN seeding layer growth rate in MOVPE growth of semi-polar gallium nitride structures on high index silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Noltemeyer, Martin; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Postfach 4120, 39016 Magdeburg (Germany)

    2011-03-15

    We present metal organic vapor phase epitaxy growth of semi-polar GaN structures on high index silicon surfaces. The crystallographic structure of GaN grown on Si(112), (115), and (117) substrates is investigated by X-ray analysis and scanning electron microscopy. X-ray diffraction was performed in Bragg Brentano geometry as well as pole figure measurements. The results demonstrate that the orientation of GaN crystallites on Si is significantly dependent on thickness of the AlN seeding layer and TMAl-flow rate. We observe that the crystallographic structures of GaN by applying thin AlN seeding layers grown with high TMAl-flow rate depend on Si surface direction while they are independent for thicker layers. By applying such seeding layer we obtain single crystalline semi-polar GaN on Si(112), while GaN structures grown with the same growth parameters on Si(117) show four components of GaN(0002). (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  7. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  8. Use of Kelvin probe force microscopy for identification of CVD grown graphene flakes on copper foil

    Science.gov (United States)

    Kumar, Rakesh; Mehta, B. R.; Kanjilal, D.

    2017-05-01

    Graphene flakes have been grown by chemical vapour deposition (CVD) method on Cu foils. The obtained graphene flakes have been characterized by optical microscopy, field emission scanning electron microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy. The graphene flakes grown on Cu foil comprise mainly single layer graphene and confirm that the nucleation for graphene growth starts very quickly. Moreover, KPFM has been found to be a valuable technique to differentiate between covered and uncovered portion of Cu foil by graphene flakes deposited for shorter duration. The results show that KPFM can be a very useful technique in understanding the mechanism of graphene growth.

  9. LaNiO(3) Buffer Layers for High Critical Current Density YBa(2)Cu(3)O(7-delta) and Tl(2)Ba(2)CaCu(2)O(8-delta) Films

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.-T.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-08-24

    We demonstrate high critical current density superconducting films of YBa{sub 2}Cu{sub 3}O{sub 7{minus}{delta}} (YBCO) and Tl{sub 2}Ba{sub 2}CaCu{sub 2}O{sub 8{minus}{delta}} (Tl-2212) using LaNiO{sub 3} (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J{sub c} (5K, H=0) than films grown directly on a bare LaAlO{sub 3} substrate. It is noteworthy that YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J{sub c} at all temperatures and fields compared to those grown on bare LaAlO{sub 3}, correlating to both a-axis grain and nonsuperconducting phase formation. With additional optimization, LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films, perhaps ideally suited for coated conductor applications.

  10. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  11. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  12. Effects of SiO2 encapsulation and laser processing on single CdTe/ZnTe quantum dots grown on Si (001) substrates

    International Nuclear Information System (INIS)

    Lee, Hong Seok; Rastelli, Armando; Schmidt, Oliver G.; Kim, Tae Whan; Lee, In Won

    2011-01-01

    Micro-photoluminescence (μ-PL) measurements are carried out to investigate the effects of SiO 2 encapsulation and laser processing of single CdTe/ZnTe quantum dots (QDs) grown on Si (001) substrates by using molecular beam epitaxy and atomic layer epitaxy. After laser processing, the μ-PL peak shift for the 200-nm SiO 2 capped single QD is larger than that of the as-grown sample. The large μ-PL peak shift in the 200-nm SiO 2 capped sample is related to the compressive stress induced by the ZnTe cap layer during laser processing. These results indicate that SiO 2 encapsulation and laser processing represent effective methods for achieving local wavelength tuning in single QDs.

  13. Effects of InAlAs strain reducing layer on the photoluminescence properties of InAs quantum dots embedded in InGaAs/GaAs quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    Kong, Lingmin, E-mail: konglm@qq.com [School of Marine Science and Technology, Zhejiang Ocean University, Zhoushan 316000 (China); Sun, Wei [SEM School of Electromechanical Engineering, Weifang Engineering Vocational College, Qingzhou 262500 (China); Feng, Zhe Chuan, E-mail: zcfeng@nut.edu.tw [Institute of Photonics and Optoelectronics, Department of Electrical Engineering, and Center for Emerging Material and Advanced Devices, National Taiwan University, Taipei 106-17, Taiwan (China); Xie, Sheng [School of Electronic and Information Engineering, Tianjin University, Tianjin 300072 (China); Zhou, Yunqing; Wang, Rui; Zhang, Cunxi; Zong, Zhaocun; Wang, Hongxia; Qiao, Qian [Department of Physics, Zhejiang Ocean University, Zhoushan 316000 (China); Wu, Zhengyun [Department of Physics, Xiamen University, Xiamen 361005 China (China)

    2014-07-01

    Two kinds of self-assembled quantum dots (QDs) embedded within InGaAs/GaAs quantum wells were grown by molecular beam epitaxy: one was capped with an InAlAs strain reducing (SR) layer, while the other was not. Their emission dynamics was investigated by time-resolved and temperature dependent (TD) photoluminescence (PL) measurements. A significant redshift can be observed in the emission peak position of InAs QDs with thin InAlAs SR cap layer, which results from SR effects. Different behaviors of the integrated PL intensity for the samples with or without InAlAs layer may be ascribed to the reduced carrier transition at higher temperature for the higher energy barrier of the InAlAs layer, and the TD mode of carrier migration. The PL decay time of quantum dots grown with InAlAs layer was much longer than that without the layer, which implies that the InAlAs layer with higher energy barrier may enhance the quantum restriction of carriers in InAs QDs. These observations are discussed from the viewpoint of strain compensation and potential barrier variation with SR layers. Our experiments also demonstrate that the main mode of carrier migration is quantum tunneling effect at lower temperature, while it is quantum transition at higher temperature. The results demonstrate the importance of InAlAs SR layer for the optical quality of InAs QDs. - Highlights: • InAs quantum dots (QDs) were grown on GaAs. • A thin InAlAs layer was grown on InAs QDs. • Temperature dependent photoluminescence (PL) and time-resolved PL were carried out. • Both a redshift and a double exponential decay of PL emission were generated by the InAlAs layer.

  14. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  15. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    Science.gov (United States)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  16. AlGaInN-based ultraviolet light-emitting diodes grown on Si(111)

    International Nuclear Information System (INIS)

    Kipshidze, G.; Kuryatkov, V.; Borisov, B.; Holtz, M.; Nikishin, S.; Temkin, H.

    2002-01-01

    Ultraviolet light-emitting diodes grown on Si(111) by gas-source molecular-beam epitaxy with ammonia are described. The layers are composed of superlattices of AlGaN/GaN and AlN/AlGaInN. The layers are doped n and p type with Si and Mg, respectively. Hole concentration of 4x10 17 cm -3 , with a mobility of 8 cm2/Vs, is measured in Al 0.4 Ga 0.6 N/GaN. We demonstrate effective n- and p-type doping of structures based on AlN/AlGaInN. Light-emitting diodes based on these structures show light emission between 290 and 334 nm

  17. Large-area WSe2 electric double layer transistors on a plastic substrate

    KAUST Repository

    Funahashi, Kazuma; Pu, Jiang; Li, Ming Yang; Li, Lain-Jong; Iwasa, Yoshihiro; Takenobu, Taishi

    2015-01-01

    Due to the requirements for large-area, uniform films, currently transition metal dichalcogenides (TMDC) cannot be used in flexible transistor industrial applications. In this study, we first transferred chemically grown large-area WSe2 monolayer films from the as-grown sapphire substrates to the flexible plastic substrates. We also fabricated electric double layer transistors using the WSe2 films on the plastic substrates. These transistors exhibited ambipolar operation and an ON/OFF current ratio of ∼104, demonstrating chemically grown WSe2 transistors on plastic substrates for the first time. This achievement can be an important first step for the next-generation TMDC based flexible devices. © 2015 The Japan Society of Applied Physics.

  18. Large-area WSe2 electric double layer transistors on a plastic substrate

    KAUST Repository

    Funahashi, Kazuma

    2015-04-27

    Due to the requirements for large-area, uniform films, currently transition metal dichalcogenides (TMDC) cannot be used in flexible transistor industrial applications. In this study, we first transferred chemically grown large-area WSe2 monolayer films from the as-grown sapphire substrates to the flexible plastic substrates. We also fabricated electric double layer transistors using the WSe2 films on the plastic substrates. These transistors exhibited ambipolar operation and an ON/OFF current ratio of ∼104, demonstrating chemically grown WSe2 transistors on plastic substrates for the first time. This achievement can be an important first step for the next-generation TMDC based flexible devices. © 2015 The Japan Society of Applied Physics.

  19. Surfactant effects of indium on cracking in AlN/GaN distributed Bragg reflectors grown via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Miller, C. M.; Korakakis, D.

    2011-01-01

    Aluminum Nitride (AlN) and Gallium Nitride (GaN) superlattice structures are often characterized by a network of cracks resulting from the large lattice mismatch and difference in thermal expansion coefficients, especially as the thickness of the layers increases. This work investigates the influence of indium as a surfactant on strain and cracking in AlN/GaN DBRs grown via Metal Organic Vapor Phase Epitaxy (MOVPE). DBRs with peak reflectivities ranging from 465 nm to 540 nm were grown and indium was introduced during the growth of the AlN layer. Image processing techniques were used to quantify the crack length per square millimeter and it was observed that indium has a significant effect on the crack formation and reduced the total crack length in these structures by a factor of two.

  20. Investigation of in-pile grown corrosion films on zirconium-based alloys

    International Nuclear Information System (INIS)

    Gebhardt, O.; Hermann, A.; Bart, G.; Blank, H.; Ray, I.L.F.

    1996-01-01

    In-pile grown corrosion films on different fuel rod claddings (standard Zircaloy-4, extra low tin Zircaloy (ELS), and Zr2.5Nb) have been studied using a variety of experimental techniques. The aim of the investigations was to find out common features and differences between the corrosion layers grown on zirconium alloys having different composition. Methods applied were scanning and transmission electron microscopy (SEM, TEM), electrochemical impedance spectroscopy (EIS), and electrochemical anodization. The morphological differences have been observed between the specimens that could explain the irradiation enhancement of corrosion of Zircaloy-4. The features of the compact oxide close to the oxide/metal interface have been characterized by electrochemical methods. The relationship between the thickness of this protective oxide and the overall oxide thickness has been investigated by EIS. It was found that this relation is dependent on the location of the oxide along the fuel rod and on the corrosion rate