WorldWideScience

Sample records for hftio thin films

  1. Electrical Properties of Ultrathin Hf-Ti-O Higher k Gate Dielectric Films and Their Application in ETSOI MOSFET.

    Science.gov (United States)

    Xiong, Yuhua; Chen, Xiaoqiang; Wei, Feng; Du, Jun; Zhao, Hongbin; Tang, Zhaoyun; Tang, Bo; Wang, Wenwu; Yan, Jiang

    2016-12-01

    Ultrathin Hf-Ti-O higher k gate dielectric films (~2.55 nm) have been prepared by atomic layer deposition. Their electrical properties and application in ETSOI (fully depleted extremely thin SOI) PMOSFETs were studied. It is found that at the Ti concentration of Ti/(Ti + Hf) ~9.4%, low equivalent gate oxide thickness (EOT) of ~0.69 nm and acceptable gate leakage current density of 0.61 A/cm 2 @ (V fb  - 1)V could be obtained. The conduction mechanism through the gate dielectric is dominated by the F-N tunneling in the gate voltage range of -0.5 to -2 V. Under the same physical thickness and process flow, lower EOT and higher I on /I off ratio could be obtained while using Hf-Ti-O as gate dielectric compared with HfO 2 . With Hf-Ti-O as gate dielectric, two ETSOI PMOSFETs with gate width/gate length (W/L) of 0.5 μm/25 nm and 3 μm/40 nm show good performances such as high I on , I on /I off ratio in the magnitude of 10 5 , and peak transconductance, as well as suitable threshold voltage (-0.3~-0.2 V). Particularly, ETSOI PMOSFETs show superior short-channel control capacity with DIBL <82 mV/V and subthreshold swing <70 mV/decade.

  2. Ti-catalyzed HfSiO4 formation in HfTiO4 films on SiO2 studied by Z-contrast scanning electron microscopy

    Directory of Open Access Journals (Sweden)

    Elizabeth Ellen Hoppe

    2013-08-01

    Full Text Available Hafnon (HfSiO4 as it is initially formed in a partially demixed film of hafnium titanate (HfTiO4 on fused SiO2 is studied by atomic number (Z contrast high resolution scanning electron microscopy, x-ray diffraction, and Raman spectroscopy and microscopy. The results show exsoluted Ti is the catalyst for hafnon formation by a two-step reaction. Ti first reacts with SiO2 to produce a glassy Ti-silicate. Ti is then replaced by Hf in the silicate to produce HfSiO4. The results suggest this behavior is prototypical of other Ti-bearing ternary or higher order oxide films on SiO2 when film thermal instability involves Ti exsolution.

  3. Thermal expansion studies on Hafnium titanate (HfTiO4)

    International Nuclear Information System (INIS)

    Panneerselvam, G.; Subramanian, G.G.S.; Antony, M.P.

    2006-01-01

    The lattice thermal expansion characteristics of hafnium titanate (HfTiO 4 ) have been studied by measuring the lattice parameter as a function of temperature by high temperature X-ray diffraction technique (HT-XRD) in the temperature range 298-1973K. Percentage linear thermal expansion and mean linear thermal expansion coefficients were computed from the lattice parameter data. The thermal expansion of HfTiO 4 is highly anisotropic. The expansivity along 'a' axis is large; as compared to the expansivity along 'b' axis which is negative below 1073 K. The percentage linear thermal expansion in the temperature range 298-1973 K along a, b and c axis are 2.74, 0.901 and 1.49 respectively. Thermal expansion values obtained in the present study are in reasonable agreement with the existing thermal expansion data. (author)

  4. High-temperature x-ray diffraction study of HfTiO4-HfO2 solid solutions

    International Nuclear Information System (INIS)

    Carpenter, D.A.

    1975-01-01

    High-temperature x-ray diffraction techniques were used to determine the axial thermal expansion curves of HfTiO 4 -HfO 2 solid solutions as a function of composition. Data show increasing anisotropy with increasing HfO 2 content. An orthorhombic-to-monoclinic phase transformation was detected near room temperature for compositions near the high HfO 2 end of the orthorhombic phase field and for compositions within the two-phase region (HfTiO 4 solid solution plus HfO 2 solid solution). An orthorhombic-to-cubic phase transformation is indicated by data from oxygen-deficient materials at greater than 1873 0 K. (U.S.)

  5. Solid thin film materials for use in thin film charge-coupled devices

    International Nuclear Information System (INIS)

    Lynch, S.J.

    1983-01-01

    Solid thin films deposited by vacuum deposition were evaluated to ascertain their effectiveness for use in the manufacturing of charge-coupled devices (CCDs). Optical and electrical characteristics of tellurium and Bi 2 Te 3 solid thin films were obtained in order to design and to simulate successfully the operation of thin film (TF) CCDs. In this article some of the material differences between single-crystal material and the island-structured thin film used in TFCCDs are discussed. The electrical parameters were obtained and tabulated, e.g. the mobility, conductivity, dielectric constants, permittivity, lifetime of holes and electrons in the thin films and drift diffusion constants. The optical parameters were also measured and analyzed. After the design was complete, experimental TFCCDs were manufactured and were successfully operated utilizing the aforementioned solid thin films. (Auth.)

  6. Thin-film photovoltaic technology

    Energy Technology Data Exchange (ETDEWEB)

    Bhattacharya, R.N. [National Renewable Energy Laboratory, Golden, CO (United States)

    2010-07-01

    The high material and processing costs associated with single-crystal and polycrystalline silicon wafers that are commonly used in photovoltaic cells render these modules expensive. This presentation described thin-film solar cell technology as a promising alternative to silicon solar cell technology. Cadmium telluride (CdTe) thin films along with copper, indium, gallium, and selenium (CIGS) thin films have become the leaders in this field. Their large optical absorption coefficient can be attributed to a direct energy gap that allows the use of thin layers (1-2 {mu}m) of active material. The efficiency of thin-film solar cell devices based on CIGS is 20 per cent, compared to 16.7 per cent for thin-film solar cell devices based on CdTe. IBM recently reported an efficiency of 9.7 per cent for a new type of inorganic thin-film solar cell based on a Cu{sub 2}ZnSn(S, Se){sub 4} compound. The efficiency of an organic thin-film solar cell is 7.9 per cent. This presentation included a graph of PV device efficiencies and discussed technological advances in non-vacuum deposited, CIGS-based thin-film solar cells. 1 fig.

  7. Thin film processes II

    CERN Document Server

    Kern, Werner

    1991-01-01

    This sequel to the 1978 classic, Thin Film Processes, gives a clear, practical exposition of important thin film deposition and etching processes that have not yet been adequately reviewed. It discusses selected processes in tutorial overviews with implementation guide lines and an introduction to the literature. Though edited to stand alone, when taken together, Thin Film Processes II and its predecessor present a thorough grounding in modern thin film techniques.Key Features* Provides an all-new sequel to the 1978 classic, Thin Film Processes* Introduces new topics, and sever

  8. Pyrolyzed thin film carbon

    Science.gov (United States)

    Tai, Yu-Chong (Inventor); Liger, Matthieu (Inventor); Harder, Theodore (Inventor); Konishi, Satoshi (Inventor); Miserendino, Scott (Inventor)

    2010-01-01

    A method of making carbon thin films comprises depositing a catalyst on a substrate, depositing a hydrocarbon in contact with the catalyst and pyrolyzing the hydrocarbon. A method of controlling a carbon thin film density comprises etching a cavity into a substrate, depositing a hydrocarbon into the cavity, and pyrolyzing the hydrocarbon while in the cavity to form a carbon thin film. Controlling a carbon thin film density is achieved by changing the volume of the cavity. Methods of making carbon containing patterned structures are also provided. Carbon thin films and carbon containing patterned structures can be used in NEMS, MEMS, liquid chromatography, and sensor devices.

  9. thin films

    Indian Academy of Sciences (India)

    microscopy (SEM) studies, respectively. The Fourier transform ... Thin films; chemical synthesis; hydrous tin oxide; FTIR; electrical properties. 1. Introduction ... dehydrogenation of organic compounds (Hattori et al 1987). .... SEM images of (a) bare stainless steel and (b) SnO2:H2O thin film on stainless steel substrate at a ...

  10. Thin Film Microbatteries

    International Nuclear Information System (INIS)

    Dudney, Nancy J.

    2008-01-01

    Thin film batteries are built layer by layer by vapor deposition. The resulting battery is formed of parallel plates, much as an ordinary battery construction, just much thinner. The figure (Fig. 1) shows an example of a thin film battery layout where films are deposited symmetrically onto both sides of a supporting substrate. The full stack of films is only 10 to 15 (micro)m thick, but including the support at least doubles the overall battery thickness. When the support is thin, the entire battery can be flexible. At least six companies have commercialized or are very close to commercializing such all-solid-state thin film batteries and market research predicts a growing market and a variety of applications including sensors, RFID tags, and smarter cards. In principle with a large deposition system, a thin film battery might cover a square meter, but in practice, most development is targeting individual cells with active areas less than 25 cm 2 . For very small battery areas, 2 , microfabrication processes have been developed. Typically the assembled batteries have capacities from 0.1 to 5 mAh. The operation of a thin film battery is depicted in the schematic diagram (Fig. 2). Very simply, when the battery is allowed to discharge, a Li + ion migrates from the anode to the cathode film by diffusing through the solid electrolyte. When the anode and cathode reactions are reversible, as for an intercalation compound or alloy, the battery can be recharged by reversing the current. The difference in the electrochemical potential of the lithium determines the cell voltage. Most of the thin films used in current commercial variations of this thin film battery are deposited in vacuum chambers by RF and DC magnetron sputtering and by thermal evaporation onto unheated substrates. In addition, many publications report exploring a variety of other physical and chemical vapor deposition processes, such as pulsed laser deposition, electron cyclotron resonance sputtering, and

  11. Ceramic Composite Thin Films

    Science.gov (United States)

    Ruoff, Rodney S. (Inventor); Stankovich, Sasha (Inventor); Dikin, Dmitriy A. (Inventor); Nguyen, SonBinh T. (Inventor)

    2013-01-01

    A ceramic composite thin film or layer includes individual graphene oxide and/or electrically conductive graphene sheets dispersed in a ceramic (e.g. silica) matrix. The thin film or layer can be electrically conductive film or layer depending the amount of graphene sheets present. The composite films or layers are transparent, chemically inert and compatible with both glass and hydrophilic SiOx/silicon substrates. The composite film or layer can be produced by making a suspension of graphene oxide sheet fragments, introducing a silica-precursor or silica to the suspension to form a sol, depositing the sol on a substrate as thin film or layer, at least partially reducing the graphene oxide sheets to conductive graphene sheets, and thermally consolidating the thin film or layer to form a silica matrix in which the graphene oxide and/or graphene sheets are dispersed.

  12. Host thin films incorporating nanoparticles

    Science.gov (United States)

    Qureshi, Uzma

    The focus of this research project was the investigation of the functional properties of thin films that incorporate a secondary nanoparticulate phase. In particular to assess if the secondary nanoparticulate material enhanced a functional property of the coating on glass. In order to achieve this, new thin film deposition methods were developed, namely use of nanopowder precursors, an aerosol assisted transport technique and an aerosol into atmospheric pressure chemical vapour deposition system. Aerosol assisted chemical vapour deposition (AACVD) was used to deposit 8 series of thin films on glass. Five different nanoparticles silver, gold, ceria, tungsten oxide and zinc oxide were tested and shown to successfully deposit thin films incorporating nanoparticles within a host matrix. Silver nanoparticles were synthesised and doped within a titania film by AACVD. This improved solar control properties. A unique aerosol assisted chemical vapour deposition (AACVD) into atmospheric pressure chemical vapour deposition (APCVD) system was used to deposit films of Au nanoparticles and thin films of gold nanoparticles incorporated within a host titania matrix. Incorporation of high refractive index contrast metal oxide particles within a host film altered the film colour. The key goal was to test the potential of nanopowder forms and transfer the suspended nanopowder via an aerosol to a substrate in order to deposit a thin film. Discrete tungsten oxide nanoparticles or ceria nanoparticles within a titanium dioxide thin film enhanced the self-cleaning and photo-induced super-hydrophilicity. The nanopowder precursor study was extended by deposition of zinc oxide thin films incorporating Au nanoparticles and also ZnO films deposited from a ZnO nanopowder precursor. Incorporation of Au nanoparticles within a VO: host matrix improved the thermochromic response, optical and colour properties. Composite VC/TiC and Au nanoparticle/V02/Ti02 thin films displayed three useful

  13. NMR characterization of thin films

    Science.gov (United States)

    Gerald II, Rex E.; Klingler, Robert J.; Rathke, Jerome W.; Diaz, Rocio; Vukovic, Lela

    2010-06-15

    A method, apparatus, and system for characterizing thin film materials. The method, apparatus, and system includes a container for receiving a starting material, applying a gravitational force, a magnetic force, and an electric force or combinations thereof to at least the starting material, forming a thin film material, sensing an NMR signal from the thin film material and analyzing the NMR signal to characterize the thin film of material.

  14. NMR characterization of thin films

    Science.gov (United States)

    Gerald, II, Rex E.; Klingler, Robert J.; Rathke, Jerome W.; Diaz, Rocio; Vukovic, Lela

    2008-11-25

    A method, apparatus, and system for characterizing thin film materials. The method, apparatus, and system includes a container for receiving a starting material, applying a gravitational force, a magnetic force, and an electric force or combinations thereof to at least the starting material, forming a thin film material, sensing an NMR signal from the thin film material and analyzing the NMR signal to characterize the thin film of material.

  15. Handbook of thin film technology

    CERN Document Server

    Frey, Hartmut

    2015-01-01

    “Handbook of Thin Film Technology” covers all aspects of coatings preparation, characterization and applications. Different deposition techniques based on vacuum and plasma processes are presented. Methods of surface and thin film analysis including coating thickness, structural, optical, electrical, mechanical and magnetic properties of films are detailed described. The several applications of thin coatings and a special chapter focusing on nanoparticle-based films can be found in this handbook. A complete reference for students and professionals interested in the science and technology of thin films.

  16. Rare Earth Oxide Thin Films

    CERN Document Server

    Fanciulli, Marco

    2007-01-01

    Thin rare earth (RE) oxide films are emerging materials for microelectronic, nanoelectronic, and spintronic applications. The state-of-the-art of thin film deposition techniques as well as the structural, physical, chemical, and electrical properties of thin RE oxide films and of their interface with semiconducting substrates are discussed. The aim is to identify proper methodologies for the development of RE oxides thin films and to evaluate their effectiveness as innovative materials in different applications.

  17. Thin-film solar cells

    International Nuclear Information System (INIS)

    Aberle, Armin G.

    2009-01-01

    The rapid progress that is being made with inorganic thin-film photovoltaic (PV) technologies, both in the laboratory and in industry, is reviewed. While amorphous silicon based PV modules have been around for more than 20 years, recent industrial developments include the first polycrystalline silicon thin-film solar cells on glass and the first tandem solar cells based on stacks of amorphous and microcrystalline silicon films ('micromorph cells'). Significant thin-film PV production levels are also being set up for cadmium telluride and copper indium diselenide.

  18. Process for forming thin film, heat treatment process of thin film sheet, and heat treatment apparatus therefor

    International Nuclear Information System (INIS)

    Watanabe, S.

    1984-01-01

    The invention provides a process for forming a magnetic thin film on a base film, a heat treatment process of a thin film sheet consisting of the base film and the magnetic thin film, and an apparatus for performing heat treatment of the thin film sheet. Tension applied to the thin film sheet is substantially equal to that applied to the base film when the magnetic thin film is formed thereon. Then, the thin film sheet is treated with heat. The thin film sheet is heated with a given temperature gradient to a reactive temperature at which heat shrinkage occurs, while the tension is being applied thereto. Thereafter, the thin film sheet to which the tension is still applied is cooled with substantially the same temperature gradient as applied in heating. The heat treatment apparatus has a film driving unit including a supply reel, a take-up reel, a drive source and guide rollers; a heating unit including heating plates, heater blocks and a temperature controller for heating the sheet to the reactive temperature; and a heat insulating unit including a thermostat and another temperature controller for maintaining the sheet at the nonreactive temperature which is slightly lower than the reactive temperature

  19. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  20. DC magnetron sputtering prepared Ag-C thin film anode for thin film lithium ion microbatteries

    International Nuclear Information System (INIS)

    Li, Y.; Tu, J.P.; Shi, D.Q.; Huang, X.H.; Wu, H.M.; Yuan, Y.F.; Zhao, X.B.

    2007-01-01

    An Ag-C thin film was prepared by DC magnetron co-sputtering, using pure silver and graphite as the targets. The microstructure and morphology of the deposited thin film were characterized by X-ray diffraction (XRD) and transmission electron microscopy (TEM). Electrochemical performances of the Ag-C thin film anode were investigated by means of discharge/charge and cyclic voltammogram (CV) tests in model cells. The electrochemical impedance spectrum (EIS) characteristics and the chemical diffusion coefficient, D Li of the Ag-C thin film electrode at different discharging states were discussed. It was believed that the excellent cycling performance of the Ag-C electrode was ascribed to the good conductivity of silver and the volume stability of the thin film

  1. Thin film metal-oxides

    CERN Document Server

    Ramanathan, Shriram

    2009-01-01

    Presents an account of the fundamental structure-property relations in oxide thin films. This title discusses the functional properties of thin film oxides in the context of applications in the electronics and renewable energy technologies.

  2. Thin films and nanomaterials

    International Nuclear Information System (INIS)

    Jayakumar, S.; Kannan, M.D.; Prasanna, S.

    2012-01-01

    The objective of this book is to disseminate the most recent research in Thin Films, Nanomaterials, Corrosion and Metallurgy presented at the International Conference on Advanced Materials (ICAM 2011) held in PSG College of Technology, Coimbatore, India during 12-16 December 2011. The book is a compilation of 113 chapters written by active researchers providing information and critical insights into the recent advancements that have taken place. Important new applications are possible today in the fields of microelectronics, opto-electronics, metallurgy and energy by the application of thin films on solid surfaces. Recent progress in high vacuum technology and new materials has a remarkable effect in thin film quality and cost. This has led to the development of new single or multi-layered thin film devices with diverse applications in a multitude of production areas, such as optics, thermal barrier coatings and wear protections, enhancing service life of tools and to protect materials against thermal and atmospheric influence. On the other hand, thin film process techniques and research are strongly related to the basic research activities in nano technology, an increasingly important field with countless opportunities for applications due to the emergence of new properties at the nanoscale level. Materials and structures that are designed and fabricated at the nano scale level, offer the potential to produce new devices and processes that may enhance efficiencies and reduce costs in many areas, as photovoltaic systems, hydrogen storage, fuel cells and solar thermal systems. In the book, the contributed papers are classified under two sections i) thin films and ii) nanomaterials. The thin film section includes single or multi layer conducting, insulating or semiconducting films synthesized by a wide variety of physical or chemical techniques and characterized or analyzed for different applications. The nanomaterials section deals with novel or exciting materials

  3. Thin film device applications

    CERN Document Server

    Kaur, Inderjeet

    1983-01-01

    Two-dimensional materials created ab initio by the process of condensation of atoms, molecules, or ions, called thin films, have unique properties significantly different from the corresponding bulk materials as a result of their physical dimensions, geometry, nonequilibrium microstructure, and metallurgy. Further, these characteristic features of thin films can be drasti­ cally modified and tailored to obtain the desired and required physical characteristics. These features form the basis of development of a host of extraordinary active and passive thin film device applications in the last two decades. On the one extreme, these applications are in the submicron dimensions in such areas as very large scale integration (VLSI), Josephson junction quantum interference devices, magnetic bubbles, and integrated optics. On the other extreme, large-area thin films are being used as selective coatings for solar thermal conversion, solar cells for photovoltaic conver­ sion, and protection and passivating layers. Ind...

  4. Biomimetic thin film synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Graff, G.L.; Campbell, A.A.; Gordon, N.R.

    1995-05-01

    The purpose of this program is to develop a new process for forming thin film coatings and to demonstrate that the biomimetic thin film technology developed at PNL is useful for industrial applications. In the biomimetic process, mineral deposition from aqueous solution is controlled by organic functional groups attached to the underlying substrate surface. The coatings process is simple, benign, inexpensive, energy efficient, and particularly suited for temperature sensitive substrate materials (such as polymers). In addition, biomimetic thin films can be deposited uniformly on complex shaped and porous substrates providing a unique capability over more traditional line-of-sight methods.

  5. Thin Film Photovoltaic Partnership Project | Photovoltaic Research | NREL

    Science.gov (United States)

    Thin Film Photovoltaic Partnership Project Thin Film Photovoltaic Partnership Project NREL's Thin Film Photovoltaic (PV) Partnership Project led R&D on emerging thin-film solar technologies in the United States from 1994 to 2009. The project made many advances in thin-film PV technologies that allowed

  6. Thin Film & Deposition Systems (Windows)

    Data.gov (United States)

    Federal Laboratory Consortium — Coating Lab: Contains chambers for growing thin film window coatings. Plasma Applications Coating Lab: Contains chambers for growing thin film window coatings. Solar...

  7. Characterization of organic thin films

    CERN Document Server

    Ulman, Abraham; Evans, Charles A

    2009-01-01

    Thin films based upon organic materials are at the heart of much of the revolution in modern technology, from advanced electronics, to optics to sensors to biomedical engineering. This volume in the Materials Characterization series introduces the major common types of analysis used in characterizing of thin films and the various appropriate characterization technologies for each. Materials such as Langmuir-Blodgett films and self-assembled monolayers are first introduced, followed by analysis of surface properties and the various characterization technologies used for such. Readers will find detailed information on: -Various spectroscopic approaches to characterization of organic thin films, including infrared spectroscopy and Raman spectroscopy -X-Ray diffraction techniques, High Resolution EELS studies, and X-Ray Photoelectron Spectroscopy -Concise Summaries of major characterization technologies for organic thin films, including Auger Electron Spectroscopy, Dynamic Secondary Ion Mass Spectrometry, and Tra...

  8. Self-Limited Growth in Pentacene Thin Films.

    Science.gov (United States)

    Pachmajer, Stefan; Jones, Andrew O F; Truger, Magdalena; Röthel, Christian; Salzmann, Ingo; Werzer, Oliver; Resel, Roland

    2017-04-05

    Pentacene is one of the most studied organic semiconducting materials. While many aspects of the film formation have already been identified in very thin films, this study provides new insight into the transition from the metastable thin-film phase to bulk phase polymorphs. This study focuses on the growth behavior of pentacene within thin films as a function of film thickness ranging from 20 to 300 nm. By employing various X-ray diffraction methods, combined with supporting atomic force microscopy investigations, one crystalline orientation for the thin-film phase is observed, while three differently tilted bulk phase orientations are found. First, bulk phase crystallites grow with their 00L planes parallel to the substrate surface; second, however, crystallites tilted by 0.75° with respect to the substrate are found, which clearly dominate the former in ratio; third, a different bulk phase polymorph with crystallites tilted by 21° is found. The transition from the thin-film phase to the bulk phase is rationalized by the nucleation of the latter at crystal facets of the thin-film-phase crystallites. This leads to a self-limiting growth of the thin-film phase and explains the thickness-dependent phase behavior observed in pentacene thin films, showing that a large amount of material is present in the bulk phase much earlier during the film growth than previously thought.

  9. Thin films for precision optics

    International Nuclear Information System (INIS)

    Araujo, J.F.; Maurici, N.; Castro, J.C. de

    1983-01-01

    The technology of producing dielectric and/or metallic thin films for high precision optical components is discussed. Computer programs were developed in order to calculate and register, graphically, reflectance and transmittance spectra of multi-layer films. The technology of vacuum evaporation of several materials was implemented in our thin-films laboratory; various films for optics were then developed. The possibility of first calculate film characteristics and then produce the film is of great advantage since it reduces the time required to produce a new type of film and also reduces the cost of the project. (C.L.B.) [pt

  10. Analysis of Hard Thin Film Coating

    Science.gov (United States)

    Shen, Dashen

    1998-01-01

    MSFC is interested in developing hard thin film coating for bearings. The wearing of the bearing is an important problem for space flight engine. Hard thin film coating can drastically improve the surface of the bearing and improve the wear-endurance of the bearing. However, many fundamental problems in surface physics, plasma deposition, etc, need further research. The approach is using electron cyclotron resonance chemical vapor deposition (ECRCVD) to deposit hard thin film an stainless steel bearing. The thin films in consideration include SiC, SiN and other materials. An ECRCVD deposition system is being assembled at MSFC.

  11. Thin-film solar cell

    NARCIS (Netherlands)

    Metselaar, J.W.; Kuznetsov, V.I.

    1998-01-01

    The invention relates to a thin-film solar cell provided with at least one p-i-n junction comprising at least one p-i junction which is at an angle alpha with that surface of the thin-film solar cell which collects light during operation and at least one i-n junction which is at an angle beta with

  12. Thin-Film Material Science and Processing | Materials Science | NREL

    Science.gov (United States)

    Thin-Film Material Science and Processing Thin-Film Material Science and Processing Photo of a , a prime example of this research is thin-film photovoltaics (PV). Thin films are important because cadmium telluride thin film, showing from top to bottom: glass, transparent conducting oxide (thin layer

  13. Nanocrystal thin film fabrication methods and apparatus

    Science.gov (United States)

    Kagan, Cherie R.; Kim, David K.; Choi, Ji-Hyuk; Lai, Yuming

    2018-01-09

    Nanocrystal thin film devices and methods for fabricating nanocrystal thin film devices are disclosed. The nanocrystal thin films are diffused with a dopant such as Indium, Potassium, Tin, etc. to reduce surface states. The thin film devices may be exposed to air during a portion of the fabrication. This enables fabrication of nanocrystal-based devices using a wider range of techniques such as photolithography and photolithographic patterning in an air environment.

  14. Characterizations of photoconductivity of graphene oxide thin films

    Directory of Open Access Journals (Sweden)

    Shiang-Kuo Chang-Jian

    2012-06-01

    Full Text Available Characterizations of photoresponse of a graphene oxide (GO thin film to a near infrared laser light were studied. Results showed the photocurrent in the GO thin film was cathodic, always flowing in an opposite direction to the initial current generated by the preset bias voltage that shows a fundamental discrepancy from the photocurrent in the reduced graphene oxide thin film. Light illumination on the GO thin film thus results in more free electrons that offset the initial current. By examining GO thin films reduced at different temperatures, the critical temperature for reversing the photocurrent from cathodic to anodic was found around 187°C. The dynamic photoresponse for the GO thin film was further characterized through the response time constants within the laser on and off durations, denoted as τon and τoff, respectively. τon for the GO thin film was comparable to the other carbon-based thin films such as carbon nanotubes and graphenes. τoff was, however, much larger than that of the other's. This discrepancy was attributable to the retardation of exciton recombination rate thanks to the existing oxygen functional groups and defects in the GO thin films.

  15. Development of neutron diffuse scattering analysis code by thin film and multilayer film

    International Nuclear Information System (INIS)

    Soyama, Kazuhiko

    2004-01-01

    To research surface structure of thin film and multilayer film by neutron, a neutron diffuse scattering analysis code using DWBA (Distorted-Wave Bron Approximation) principle was developed. Subjects using this code contain the surface and interface properties of solid/solid, solid/liquid, liquid/liquid and gas/liquid, and metal, magnetism and polymer thin film and biomembran. The roughness of surface and interface of substance shows fractal self-similarity and its analytical model is based on DWBA theory by Sinha. The surface and interface properties by diffuse scattering are investigated on the basis of the theoretical model. The calculation values are proved to be agreed with the experimental values. On neutron diffuse scattering by thin film, roughness of surface of thin film, correlation function, neutron propagation by thin film, diffuse scattering by DWBA theory, measurement model, SDIFFF (neutron diffuse scattering analysis program by thin film) and simulation results are explained. On neutron diffuse scattering by multilayer film, roughness of multilayer film, principle of diffuse scattering, measurement method and simulation examples by MDIFF (neutron diffuse scattering analysis program by multilayer film) are explained. (S.Y.)To research surface structure of thin film and multilayer film by neutron, a neutron diffuse scattering analysis code using DWBA (Distorted-Wave Bron Approximation) principle was developed. Subjects using this code contain the surface and interface properties of solid/solid, solid/liquid, liquid/liquid and gas/liquid, and metal, magnetism and polymer thin film and biomembran. The roughness of surface and interface of substance shows fractal self-similarity and its analytical model is based on DWBA theory by Sinha. The surface and interface properties by diffuse scattering are investigated on the basis of the theoretical model. The calculation values are proved to be agreed with the experimental values. On neutron diffuse scattering

  16. Thin film tritium dosimetry

    Science.gov (United States)

    Moran, Paul R.

    1976-01-01

    The present invention provides a method for tritium dosimetry. A dosimeter comprising a thin film of a material having relatively sensitive RITAC-RITAP dosimetry properties is exposed to radiation from tritium, and after the dosimeter has been removed from the source of the radiation, the low energy electron dose deposited in the thin film is determined by radiation-induced, thermally-activated polarization dosimetry techniques.

  17. Thin films for emerging applications v.16

    CERN Document Server

    Francombe, Maurice H

    1992-01-01

    Following in the long-standing tradition of excellence established by this serial, this volume provides a focused look at contemporary applications. High Tc superconducting thin films are discussed in terms of ion beam and sputtering deposition, vacuum evaporation, laser ablation, MOCVD, and other deposition processes in addition to their ultimate applications. Detailed treatment is also given to permanent magnet thin films, lateral diffusion and electromigration in metallic thin films, and fracture and cracking phenomena in thin films adhering to high-elongation substrates.

  18. Interfaces and thin films physics

    International Nuclear Information System (INIS)

    Equer, B.

    1988-01-01

    The 1988 progress report of the Interfaces and Thin Film Physics laboratory (Polytechnic School France) is presented. The research program is focused on the thin films and on the interfaces of the amorphous semiconductor materials: silicon and silicon germanium, silicon-carbon and silicon-nitrogen alloys. In particular, the following topics are discussed: the basic processes and the kinetics of the reactive gas deposition, the amorphous materials manufacturing, the physico-chemical characterization of thin films and interfaces and the electron transport in amorphous semiconductors. The construction and optimization of experimental devices, as well as the activities concerning instrumentation, are also described [fr

  19. Buckling of Thin Films in Nano-Scale

    Directory of Open Access Journals (Sweden)

    Li L.A.

    2010-06-01

    Full Text Available Investigation of thin film buckling is important for life prediction of MEMS device which are damaged mainly by the delamination and buckling of thin films. In this paper the mechanical and thermal properties of compressed thin film titanium films with 150 nm thickness deposited on an organic glass substrate under mechanical and thermal loads were measured and characterized. In order to simulate the thin films which subjected to compound loads and the buckle modes the external uniaxial compression and thermal loading were subjected to the specimen by the symmetric loading device and the electrical film in this experiment. The temperature of the thin film deposited on substrate was measured using thermoelectric couple. The range of temperature accords with the temperature range of the MEMS. It is found that the size and number of the delamination and buckling of the film are depended upon the pre-fixed mechanical loading and thermal temperature. The thermal transient conduction and thermal stability of the film and substrate was studied with finite element method.

  20. Operating method of amorphous thin film semiconductor element

    Energy Technology Data Exchange (ETDEWEB)

    Mori, Koshiro; Ono, Masaharu; Hanabusa, Akira; Osawa, Michio; Arita, Takashi

    1988-05-31

    The existing technologies concerning amorphous thin film semiconductor elements are the technologies concerning the formation of either a thin film transistor or an amorphous Si solar cell on a substrate. In order to drive a thin film transistor for electronic equipment control by the output power of an amorphous Si solar cell, it has been obliged to drive the transistor weth an amorphous solar cell which was formed on a substrate different from that for the transistor. Accordingly, the space for the amorphous solar cell, which was formed on the different substrate, was additionally needed on the substrate for the thin film transistor. In order to solve the above problem, this invention proposes an operating method of an amorphous thin film semiconductor element that after forming an amorphous Si solar cell through lamination on the insulation coating film which covers the thin film transistor formed on the substrate, the thin film transistor is driven by the output power of this solar cell. The invention eliminates the above superfluous space and reduces the size of the amorphous thin film semiconductor element including the electric source. (3 figs)

  1. Temperature dependence of LRE-HRE-TM thin films

    Science.gov (United States)

    Li, Zuoyi; Cheng, Xiaomin; Lin, Gengqi; Li, Zhen; Huang, Zhixin; Jin, Fang; Wang, Xianran; Yang, Xiaofei

    2003-04-01

    Temperature dependence of the properties of RE-TM thin films is very important for MO recording. In this paper, we studied the temperature dependence of the magnetic and magneto-optical properties of the amorphous LRE-HRE-TM single layer thin films and LRE-HRE-TM/HRE-TM couple-bilayered thin films. For LRE-HRE-TM single layer thin films, the temperature dependence of the magnetization was investigated by using the mean field theory. The experimental and theoretical results matched very well. With the LRE substitution in HRE-TM thin film, the compensation temperature Tcomp decreased and the curie temperature Tc remained unchanged. Kerr rotation angle became larger and the saturation magnetization Ms at room temperature increased. For LRE-HRE-TM/HRE-TM couple-bilayered thin films, comparisons of the temperature dependences of the coercivities and Kerr rotation angles were made between isolated sublayers and couple-bilayered thin film.

  2. Application-related properties of giant magnetostrictive thin films

    International Nuclear Information System (INIS)

    Lim, S.H.; Kim, H.J.; Na, S.M.; Suh, S.J.

    2002-01-01

    In an effort to facilitate the utilization of giant magnetostrictive thin films in microdevices, application-related properties of these thin films, which include induced anisotropy, residual stress and corrosion properties, are investigated. A large induced anisotropy with an energy of 6x10 4 J/m 3 is formed in field-sputtered amorphous Sm-Fe-B thin films, resulting in a large magnetostriction anisotropy. Two components of residual stress, intrinsic compressive stress and tensile stress due to the difference of the thermal expansion coefficients between the substrate and thin film, are identified. The variation of residual stress with fabrication parameter and annealing temperature, and its influence on mechanical bending and magnetic properties are examined. Better corrosion properties are observed in Sm-Fe thin films than in Tb-Fe. Corrosion properties of Tb-Fe thin films, however, are much improved with the introduction of nitrogen to the thin films without deteriorating magnetostrictive properties

  3. Preparation of LiMn2O4 cathode thin films for thin film lithium secondary batteries by a mist CVD process

    International Nuclear Information System (INIS)

    Tadanaga, Kiyoharu; Yamaguchi, Akihiro; Sakuda, Atsushi; Hayashi, Akitoshi; Tatsumisago, Masahiro; Duran, Alicia; Aparacio, Mario

    2014-01-01

    Highlights: • LiMn 2 O 4 thin films were prepared by using the mist CVD process. • An aqueous solution of lithium and manganese acetates is used for the precursor solution. • The cell with the LiMn 2 O 4 thin films exhibited a capacity of about 80 mAh/g. • The cell showed good cycling performance during 10 cycles. - Abstract: LiMn 2 O 4 cathode thin films for thin film lithium secondary batteries were prepared by using so-called the “mist CVD process”, employing an aqueous solution of lithium acetate and manganese acetate, as the source of Li and Mn, respectively. The aqueous solution of starting materials was ultrasonically atomized to form mist particles, and mists were transferred by nitrogen gas to silica glass substrate to form thin films. FE-SEM observation revealed that thin films obtained by this process were dense and smooth, and thin films with a thickness of about 750 nm were obtained. The electrochemical cell with the thin films obtained by sintering at 700 °C exhibited a capacity of about 80 mAh/g, and the cell showed good cycling performance during 10 cycles

  4. P-type CuxS thin films: Integration in a thin film transistor structure

    International Nuclear Information System (INIS)

    Nunes de Carvalho, C.; Parreira, P.; Lavareda, G.; Brogueira, P.; Amaral, A.

    2013-01-01

    Cu x S thin films, 80 nm thick, are deposited by vacuum thermal evaporation of sulfur-rich powder mixture, Cu 2 S:S (50:50 wt.%) with no intentional heating of the substrate. The process of deposition occurs at very low deposition rates (0.1–0.3 nm/s) to avoid the formation of Cu or S-rich films. The evolution of Cu x S films surface properties (morphology/roughness) under post deposition mild annealing in air at 270 °C and their integration in a thin film transistor (TFT) are the main objectives of this study. Accordingly, Scanning Electron Microscopy studies show Cu x S films with different surface morphologies, depending on the post deposition annealing conditions. For the shortest annealing time, the Cu x S films look to be constructed of grains with large dimension at the surface (approximately 100 nm) and consequently, irregular shape. For the longest annealing time, films with a fine-grained surface are found, with some randomly distributed large particles bound to this fine-grained surface. Atomic Force Microscopy results indicate an increase of the root-mean-square roughness of Cu x S surface with annealing time, from 13.6 up to 37.4 nm, for 255 and 345 s, respectively. The preliminary integration of Cu x S films in a TFT bottom-gate type structure allowed the study of the feasibility and compatibility of this material with the remaining stages of a TFT fabrication as well as the determination of the p-type characteristic of the Cu x S material. - Highlights: • Surface properties of annealed Cu x S films. • Variation of conductivity with annealing temperatures of Cu x S films. • Application of evaporated Cu x S films in a thin film transistor (TFT) structure. • Determination of Cu x S p-type characteristic from TFT behaviour

  5. Semiconductor-nanocrystal/conjugated polymer thin films

    Science.gov (United States)

    Alivisatos, A. Paul; Dittmer, Janke J.; Huynh, Wendy U.; Milliron, Delia

    2014-06-17

    The invention described herein provides for thin films and methods of making comprising inorganic semiconductor-nanocrystals dispersed in semiconducting-polymers in high loading amounts. The invention also describes photovoltaic devices incorporating the thin films.

  6. Thin liquid films dewetting and polymer flow

    CERN Document Server

    Blossey, Ralf

    2012-01-01

    This book is a treatise on the thermodynamic and dynamic properties of thin liquid films at solid surfaces and, in particular, their rupture instabilities. For the quantitative study of these phenomena, polymer thin films haven proven to be an invaluable experimental model system.   What is it that makes thin film instabilities special and interesting, warranting a whole book? There are several answers to this. Firstly, thin polymeric films have an important range of applications, and with the increase in the number of technologies available to produce and to study them, this range is likely to expand. An understanding of their instabilities is therefore of practical relevance for the design of such films.   Secondly, thin liquid films are an interdisciplinary research topic. Interdisciplinary research is surely not an end to itself, but in this case it leads to a fairly heterogeneous community of theoretical and experimental physicists, engineers, physical chemists, mathematicians and others working on the...

  7. Self-assembly of dodecaphenyl POSS thin films

    Science.gov (United States)

    Handke, Bartosz; Klita, Łukasz; Niemiec, Wiktor

    2017-12-01

    The self-assembly abilities of Dodecaphenyl Polyhedral Oligomeric Silsesquioxane thin films on Si(1 0 0) surfaces were studied. Due to their thermal properties - relatively low sublimation temperature and preservation of molecular structure - cage type silsesquioxanes are ideal material for the preparation of a thin films by Physical Vapor Deposition. The Ultra-High Vacuum environment and the deposition precision of the PVD method enable the study of early stages of thin film growth and its molecular organization. X-ray Reflectivity and Atomic Force Microscopy measurements allow to pursuit size-effects in the structure of thin films with thickness ranges from less than a single molecular layer up to several tens of layers. Thermal treatment of the thin films triggered phase change: from a poorly ordered polycrystalline film into a well-ordered multilayer structure. Self-assembly of the layers is the effect of the π-stacking of phenyl rings, which force molecules to arrange in a superlattice, forming stacks of alternating organic-inorganic layers.

  8. Organic thin films and surfaces directions for the nineties

    CERN Document Server

    Ulman, Abraham

    1995-01-01

    Physics of Thin Films has been one of the longest running continuing series in thin film science consisting of 20 volumes since 1963. The series contains some of the highest quality studies of the properties ofvarious thin films materials and systems.In order to be able to reflect the development of todays science and to cover all modern aspects of thin films, the series, beginning with Volume 20, will move beyond the basic physics of thin films. It will address the most important aspects of both inorganic and organic thin films, in both their theoretical as well as technological aspects. Ther

  9. Novel chemical analysis for thin films

    International Nuclear Information System (INIS)

    Usui, Toshio; Kamei, Masayuki; Aoki, Yuji; Morishita, Tadataka; Tanaka, Shoji

    1991-01-01

    Scanning electron microscopy and total-reflection-angle X-ray spectroscopy (SEM-TRAXS) was applied for fluorescence X-ray analysis of 50A- and 125A-thick Au thin films on Si(100). The intensity of the AuM line (2.15 keV) emitted from the Au thin films varied as a function of the take-off angle (θ t ) with respect to the film surface; the intensity of AuM line from the 125A-thick Au thin film was 1.5 times as large as that of SiK α line (1.74 keV) emitted from the Si substrate when θ t = 0deg-3deg, in the vicinity of a critical angle for total external reflection of the AuM line at Si (0.81deg). In addition, the intensity of the AuM line emitted from the 50A-thick Au thin film was also sufficiently strong for chemical analysis. (author)

  10. Nanostructured thin film coatings with different strengthening effects

    Directory of Open Access Journals (Sweden)

    Panfilov Yury

    2017-01-01

    Full Text Available A number of articles on strengthening thin film coatings were analyzed and a lot of unusual strengthening effects, such as super high hardness and plasticity simultaneously, ultra low friction coefficient, high wear-resistance, curve rigidity increasing of drills with small diameter, associated with process formation of nanostructured coatings by the different thin film deposition methods were detected. Vacuum coater with RF magnetron sputtering system and ion-beam source and arc evaporator for nanostructured thin film coating manufacture are represented. Diamond Like Carbon and MoS2 thin film coatings, Ti, Al, Nb, Cr, nitride, carbide, and carbo-nitride thin film materials are described as strengthening coatings.

  11. Thin Film Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Zweibel, K.

    1998-11-19

    The motivation to develop thin film technologies dates back to the inception of photovoltaics. It is an idea based on achieving truly low-cost photovoltaics appropriate for mass production and energy significant markets. The key to the idea is the use of pennies worth of active materials. Since sunlight carries relatively little energy in comparison with combustion-based energy sources, photovoltaic (PV) modules must be cheap to produce energy that can be competitive. Thin films are presumed to be the answer to that low-cost requirement. But how cheap do they have to be? The following is an oversimplified analysis that allows some insight into this question.

  12. Thin films: Past, present, future

    Energy Technology Data Exchange (ETDEWEB)

    Zweibel, K

    1995-04-01

    This report describes the characteristics of the thin film photovoltaic modules necessary for an acceptable rate of return for rural areas and underdeveloped countries. The topics of the paper include a development of goals of cost and performance for an acceptable PV system, a review of current technologies for meeting these goals, issues and opportunities in thin film technologies.

  13. Non-linear optics of nano-scale pentacene thin film

    Science.gov (United States)

    Yahia, I. S.; Alfaify, S.; Jilani, Asim; Abdel-wahab, M. Sh.; Al-Ghamdi, Attieh A.; Abutalib, M. M.; Al-Bassam, A.; El-Naggar, A. M.

    2016-07-01

    We have found the new ways to investigate the linear/non-linear optical properties of nanostructure pentacene thin film deposited by thermal evaporation technique. Pentacene is the key material in organic semiconductor technology. The existence of nano-structured thin film was confirmed by atomic force microscopy and X-ray diffraction. The wavelength-dependent transmittance and reflectance were calculated to observe the optical behavior of the pentacene thin film. It has been observed the anomalous dispersion at wavelength λ 800. The non-linear refractive index of the deposited films was investigated. The linear optical susceptibility of pentacene thin film was calculated, and we observed the non-linear optical susceptibility of pentacene thin film at about 6 × 10-13 esu. The advantage of this work is to use of spectroscopic method to calculate the liner and non-liner optical response of pentacene thin films rather than expensive Z-scan. The calculated optical behavior of the pentacene thin films could be used in the organic thin films base advanced optoelectronic devices such as telecommunications devices.

  14. Oxidation of ruthenium thin films using atomic oxygen

    Energy Technology Data Exchange (ETDEWEB)

    McCoy, A.P.; Bogan, J.; Brady, A.; Hughes, G.

    2015-12-31

    In this study, the use of atomic oxygen to oxidise ruthenium thin films is assessed. Atomic layer deposited (ALD) ruthenium thin films (~ 3 nm) were exposed to varying amounts of atomic oxygen and the results were compared to the impact of exposures to molecular oxygen. X-ray photoelectron spectroscopy studies reveal substantial oxidation of metallic ruthenium films to RuO{sub 2} at exposures as low as ~ 10{sup 2} L at 575 K when atomic oxygen was used. Higher exposures of molecular oxygen resulted in no metal oxidation highlighting the benefits of using atomic oxygen to form RuO{sub 2}. Additionally, the partial oxidation of these ruthenium films occurred at temperatures as low as 293 K (room temperature) in an atomic oxygen environment. - Highlights: • X-ray photoelectron spectroscopy study of the oxidation of Ru thin films • Oxidation of Ru thin films using atomic oxygen • Comparison between atomic oxygen and molecular oxygen treatments on Ru thin films • Fully oxidised RuO{sub 2} thin films formed with low exposures to atomic oxygen.

  15. Thin Films in the Photovoltaic Industry

    International Nuclear Information System (INIS)

    Jaeger-Waldau, A.

    2008-03-01

    In the past years, the yearly world market growth rate for Photovoltaics was an average of more than 40%, which makes it one of the fastest growing industries at present. Business analysts predict the market volume to increase to 40 billion euros in 2010 and expect rising profit margins and lower prices for consumers at the same time. Today PV is still dominated by wafer based Crystalline Silicon Technology as the 'working horse' in the global market, but thin films are gaining market shares. For 2007 around 12% are expected. The current silicon shortage and high demand has kept prices higher than anticipated from the learning curve experience and has widened the windows of opportunities for thin film solar modules. Current production capacity estimates for thin films vary between 3 and 6 GW in 2010, representing a 20% market share for these technologies. Despite the higher growth rates for thin film technologies compared with the industry average, Thin Film Photovoltaic Technologies are still facing a number of challenges to maintain this growth and increase market shares. The four main topics which were discussed during the workshop were: Potential for cost reduction; Standardization; Recycling; Performance over the lifetime.

  16. Nanostructured thin films and coatings functional properties

    CERN Document Server

    Zhang, Sam

    2010-01-01

    The second volume in ""The Handbook of Nanostructured Thin Films and Coatings"" set, this book focuses on functional properties, including optical, electronic, and electrical properties, as well as related devices and applications. It explores the large-scale fabrication of functional thin films with nanoarchitecture via chemical routes, the fabrication and characterization of SiC nanostructured/nanocomposite films, and low-dimensional nanocomposite fabrication and applications. The book also presents the properties of sol-gel-derived nanostructured thin films as well as silicon nanocrystals e

  17. Intrinsically conductive polymer thin film piezoresistors

    DEFF Research Database (Denmark)

    Lillemose, Michael; Spieser, Martin; Christiansen, N.O.

    2008-01-01

    We report on the piezoresistive effect in the intrinsically conductive polymer, polyaniline. A process recipe for indirect patterning of thin film polyaniline has been developed. Using a specially designed chip, the polyaniline thin films have been characterised with respect to resistivity...

  18. Thermal conductivity model for nanoporous thin films

    Science.gov (United States)

    Huang, Congliang; Zhao, Xinpeng; Regner, Keith; Yang, Ronggui

    2018-03-01

    Nanoporous thin films have attracted great interest because of their extremely low thermal conductivity and potential applications in thin thermal insulators and thermoelectrics. Although there are some numerical and experimental studies about the thermal conductivity of nanoporous thin films, a simplified model is still needed to provide a straightforward prediction. In this paper, by including the phonon scattering lifetimes due to film thickness boundary scattering, nanopore scattering and the frequency-dependent intrinsic phonon-phonon scattering, a fitting-parameter-free model based on the kinetic theory of phonon transport is developed to predict both the in-plane and the cross-plane thermal conductivities of nanoporous thin films. With input parameters such as the lattice constants, thermal conductivity, and the group velocity of acoustic phonons of bulk silicon, our model shows a good agreement with available experimental and numerical results of nanoporous silicon thin films. It illustrates that the size effect of film thickness boundary scattering not only depends on the film thickness but also on the size of nanopores, and a larger nanopore leads to a stronger size effect of the film thickness. Our model also reveals that there are different optimal structures for getting the lowest in-plane and cross-plane thermal conductivities.

  19. Photoinduced hydrophobic surface of graphene oxide thin films

    International Nuclear Information System (INIS)

    Zhang Xiaoyan; Song Peng; Cui Xiaoli

    2012-01-01

    Graphene oxide (GO) thin films were deposited on transparent conducting oxide substrates and glass slides by spin coating method at room temperature. The wettability of GO thin films before and after ultraviolet (UV) irradiation was characterized with water contact angles, which increased from 27.3° to 57.6° after 3 h of irradiation, indicating a photo-induced hydrophobic surface. The UV–vis absorption spectra, Raman spectroscopy, X-ray photoelectron spectroscopy, and conductivity measurements of GO films before and after UV irradiation were taken to study the mechanism of photoinduced hydrophobic surface of GO thin films. It is demonstrated that the photoinduced hydrophobic surface is ascribed to the elimination of oxygen-containing functional groups on GO molecules. This work provides a simple strategy to control the wettability properties of GO thin films by UV irradiation. - Highlights: ► Photoinduced hydrophobic surface of graphene oxide thin films has been demonstrated. ► Elimination of oxygen-containing functional groups in graphene oxide achieved by UV irradiation. ► We provide novel strategy to control surface wettability of GO thin films by UV irradiation.

  20. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  1. Optical thin films and coatings from materials to applications

    CERN Document Server

    Flory, Francois

    2013-01-01

    Optical coatings, including mirrors, anti-reflection coatings, beam splitters, and filters, are an integral part of most modern optical systems. This book provides an overview of thin film materials, the properties, design and manufacture of optical coatings and their use across a variety of application areas.$bOptical coatings, including mirrors, anti-reflection coatings, beam splitters, and filters, are an integral part of most modern optical systems. Optical thin films and coatings provides an overview of thin film materials, the properties, design and manufacture of optical coatings and their use across a variety of application areas. Part one explores the design and manufacture of optical coatings. Part two highlights unconventional features of optical thin films including scattering properties of random structures in thin films, optical properties of thin film materials at short wavelengths, thermal properties and colour effects. Part three focusses on novel materials for optical thin films and coatings...

  2. Sputtering materials for VLSI and thin film devices

    CERN Document Server

    Sarkar, Jaydeep

    2010-01-01

    An important resource for students, engineers and researchers working in the area of thin film deposition using physical vapor deposition (e.g. sputtering) for semiconductor, liquid crystal displays, high density recording media and photovoltaic device (e.g. thin film solar cell) manufacturing. This book also reviews microelectronics industry topics such as history of inventions and technology trends, recent developments in sputtering technologies, manufacturing steps that require sputtering of thin films, the properties of thin films and the role of sputtering target performance on overall p

  3. Piezoelectric MEMS: Ferroelectric thin films for MEMS applications

    Science.gov (United States)

    Kanno, Isaku

    2018-04-01

    In recent years, piezoelectric microelectromechanical systems (MEMS) have attracted attention as next-generation functional microdevices. Typical applications of piezoelectric MEMS are micropumps for inkjet heads or micro-gyrosensors, which are composed of piezoelectric Pb(Zr,Ti)O3 (PZT) thin films and have already been commercialized. In addition, piezoelectric vibration energy harvesters (PVEHs), which are regarded as one of the key devices for Internet of Things (IoT)-related technologies, are promising future applications of piezoelectric MEMS. Significant features of piezoelectric MEMS are their simple structure and high energy conversion efficiency between mechanical and electrical domains even on the microscale. The device performance strongly depends on the function of the piezoelectric thin films, especially on their transverse piezoelectric properties, indicating that the deposition of high-quality piezoelectric thin films is a crucial technology for piezoelectric MEMS. On the other hand, although the difficulty in measuring the precise piezoelectric coefficients of thin films is a serious obstacle in the research and development of piezoelectric thin films, a simple unimorph cantilever measurement method has been proposed to obtain precise values of the direct or converse transverse piezoelectric coefficient of thin films, and recently this method has become to be the standardized testing method. In this article, I will introduce fundamental technologies of piezoelectric thin films and related microdevices, especially focusing on the deposition of PZT thin films and evaluation methods for their transverse piezoelectric properties.

  4. Nanometric thin film membranes manufactured on square meter scale: ultra-thin films for CO 2 capture

    KAUST Repository

    Yave, Wilfredo

    2010-09-01

    Miniaturization and manipulation of materials at nanometer scale are key challenges in nanoscience and nanotechnology. In membrane science and technology, the fabrication of ultra-thin polymer films (defect-free) on square meter scale with uniform thickness (<100 nm) is crucial. By using a tailor-made polymer and by controlling the nanofabrication conditions, we developed and manufactured defect-free ultra-thin film membranes with unmatched carbon dioxide permeances, i.e. >5 m3 (STP) m-2 h -1 bar-1. The permeances are extremely high, because the membranes are made from a CO2 philic polymer material and they are only a few tens of nanometers thin. Thus, these thin film membranes have potential application in the treatment of large gas streams under low pressure like, e.g., carbon dioxide separation from flue gas. © 2010 IOP Publishing Ltd.

  5. Thin film bismuth iron oxides useful for piezoelectric devices

    Science.gov (United States)

    Zeches, Robert J.; Martin, Lane W.; Ramesh, Ramamoorthy

    2016-05-31

    The present invention provides for a composition comprising a thin film of BiFeO.sub.3 having a thickness ranging from 20 nm to 300 nm, a first electrode in contact with the BiFeO.sub.3 thin film, and a second electrode in contact with the BiFeO.sub.3 thin film; wherein the first and second electrodes are in electrical communication. The composition is free or essentially free of lead (Pb). The BFO thin film is has the piezoelectric property of changing its volume and/or shape when an electric field is applied to the BFO thin film.

  6. Tools to synthesize the learning of thin films

    International Nuclear Information System (INIS)

    Rojas, Roberto; Fuster, Gonzalo; Sluesarenko, Viktor

    2011-01-01

    After a review of textbooks written for undergraduate courses in physics, we have found that discussions on thin films are mostly incomplete. They consider the reflected and not the transmitted light for two instead of the four types of thin films. In this work, we complement the discussion in elementary textbooks, by analysing the phase differences required to match the conditions for constructive and destructive interference, in the reflected and transmitted light in four types of thin films. We consider thin films with varied sequences in the refractive index, which we identify as barriers, wells and stairs (up and down). Also, we use the conservation of energy in order to understand the complementary colour fringes observed in the reflected and transmitted light through thin films. We analyse systematically the phase changes by introducing a phase table and we synthesize the results in a circular diagram matching 16 physical situations of interference and their corresponding conditions on the film thickness. The phase table and the circular diagram are a pair of tools easily assimilated by students, and useful to organize, analyse and activate the knowledge about thin films.

  7. Molecular simulation of freestanding amorphous nickel thin films

    Energy Technology Data Exchange (ETDEWEB)

    Dong, T.Q. [Université Paris-Est, Laboratoire Modélisation et Simulation Multi Echelle, UMR 8208 CNRS, 5 Boulevard Descartes, 77454 Marne-la-Vallée, Cedex 2 (France); Hoang, V.V., E-mail: vvhoang2002@yahoo.com [Department of Physics, Institute of Technology, National University of Ho Chi Minh City, 268 Ly Thuong Kiet Street, District 10, Ho Chi Minh City (Viet Nam); Lauriat, G. [Université Paris-Est, Laboratoire Modélisation et Simulation Multi Echelle, UMR 8208 CNRS, 5 Boulevard Descartes, 77454 Marne-la-Vallée, Cedex 2 (France)

    2013-10-31

    Size effects on glass formation in freestanding Ni thin films have been studied via molecular dynamics simulation with the n-body Gupta interatomic potential. Atomic mechanism of glass formation in the films is determined via analysis of the spatio-temporal arrangements of solid-like atoms occurred upon cooling from the melt. Solid-like atoms are detected via the Lindemann ratio. We find that solid-like atoms initiate and grow mainly in the interior of the film and grow outward. Their number increases with decreasing temperature and at a glass transition temperature they dominate in the system to form a relatively rigid glassy state of a thin film shape. We find the existence of a mobile surface layer in both liquid and glassy states which can play an important role in various surface properties of amorphous Ni thin films. We find that glass formation is size independent for models containing 4000 to 108,000 atoms. Moreover, structure of amorphous Ni thin films has been studied in details via coordination number, Honeycutt–Andersen analysis, and density profile which reveal that amorphous thin films exhibit two different parts: interior and surface layer. The former exhibits almost the same structure like that found for the bulk while the latter behaves a more porous structure containing a large amount of undercoordinated sites which are the origin of various surface behaviors of the amorphous Ni or Ni-based thin films found in practice. - Highlights: • Glass formation is analyzed via spatio-temporal arrangements of solid-like atoms. • Amorphous Ni thin film exhibits two different parts: surface and interior. • Mobile surface layer enhances various surface properties of the amorphous Ni thin films. • Undercoordinated sites play an important role in various surface activities.

  8. Resistivity of thiol-modified gold thin films

    International Nuclear Information System (INIS)

    Correa-Puerta, Jonathan; Del Campo, Valeria; Henríquez, Ricardo; Häberle, Patricio

    2014-01-01

    In this work, we study the effect of thiol self assembled monolayers on the electrical resistivity of metallic thin films. The analysis is based on the Fuchs–Sondheimer–Lucas theory and on electrical transport measurements. We determined resistivity change due to dodecanethiol adsorption on gold thin films. For this purpose, we controlled the deposition and annealing temperatures of the films to change the surface topography and to diminish the effect of electron grain boundary scattering. Results show that the electrical response to the absorption of thiols strongly depends on the initial topography of the surface. - Highlights: • We study the effect of self assembled monolayers on the resistivity of thin films. • Fuchs–Sondheimer theory reproduces the resistivity increase due to thiol deposition. • We determined resistivity change due to dodecanethiol deposition on gold thin films. • The electrical response strongly depends on the substrate surface topography

  9. Resistivity of thiol-modified gold thin films

    Energy Technology Data Exchange (ETDEWEB)

    Correa-Puerta, Jonathan [Instituto de Física, Pontificia Universidad Católica de Valparaíso, Av. Universidad 330, Curauma, Valparaíso (Chile); Del Campo, Valeria [Departamento de Física, Universidad Técnica Federico Santa María, Av. España 1680, Valparaiso 2390123 (Chile); Henríquez, Ricardo, E-mail: ricardo.henriquez@usm.cl [Departamento de Física, Universidad Técnica Federico Santa María, Av. España 1680, Valparaiso 2390123 (Chile); Häberle, Patricio [Departamento de Física, Universidad Técnica Federico Santa María, Av. España 1680, Valparaiso 2390123 (Chile)

    2014-11-03

    In this work, we study the effect of thiol self assembled monolayers on the electrical resistivity of metallic thin films. The analysis is based on the Fuchs–Sondheimer–Lucas theory and on electrical transport measurements. We determined resistivity change due to dodecanethiol adsorption on gold thin films. For this purpose, we controlled the deposition and annealing temperatures of the films to change the surface topography and to diminish the effect of electron grain boundary scattering. Results show that the electrical response to the absorption of thiols strongly depends on the initial topography of the surface. - Highlights: • We study the effect of self assembled monolayers on the resistivity of thin films. • Fuchs–Sondheimer theory reproduces the resistivity increase due to thiol deposition. • We determined resistivity change due to dodecanethiol deposition on gold thin films. • The electrical response strongly depends on the substrate surface topography.

  10. Improvement in interfacial characteristics of low-voltage carbon nanotube thin-film transistors with solution-processed boron nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Jun-Young; Ha, Tae-Jun, E-mail: taejunha0604@gmail.com

    2017-08-15

    Highlights: • We demonstrate the potential of solution-processed boron nitride (BN) thin films for nanoelectronics. • Improved interfacial characteristics reduced the leakage current by three orders of magnitude. • The BN encapsulation improves all the device key metrics of low-voltage SWCNT-TFTs. • Such improvements were achieved by reduced interaction of interfacial localized states. - Abstract: In this article, we demonstrate the potential of solution-processed boron nitride (BN) thin films for high performance single-walled carbon nanotube thin-film transistors (SWCNT-TFTs) with low-voltage operation. The use of BN thin films between solution-processed high-k dielectric layers improved the interfacial characteristics of metal-insulator-metal devices, thereby reducing the current density by three orders of magnitude. We also investigated the origin of improved device performance in SWCNT-TFTs by employing solution-processed BN thin films as an encapsulation layer. The BN encapsulation layer improves the electrical characteristics of SWCNT-TFTs, which includes the device key metrics of linear field-effect mobility, sub-threshold swing, and threshold voltage as well as the long-term stability against the aging effect in air. Such improvements can be achieved by reduced interaction of interfacial localized states with charge carriers. We believe that this work can open up a promising route to demonstrate the potential of solution-processed BN thin films on nanoelectronics.

  11. Characterization of nanocrystalline cadmium telluride thin films ...

    Indian Academy of Sciences (India)

    Unknown

    tion method, successive ionic layer adsorption and reaction (SILAR), are described. For deposition of CdTe thin films ... By conducting several trials optimization of the adsorption, reaction and rinsing time duration for CdTe thin film .... The electrical resistivity of CdTe films was studied in air. Figure 3 shows the variation of log ...

  12. Photoluminescence properties of perovskite multilayer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Macario, Leilane Roberta; Longo, Elson, E-mail: leilanemacario@gmail.com [Universidade Federal de Sao Carlos (UFSCar), SP (Brazil); Mazzo, Tatiana Martelli [Universidade Federal de Sao Paulo (UNIFESP), SP (Brazil); Bouquet, Valerie; Deputier, Stephanie; Ollivier, Sophie; Guilloux-Viry, Maryline [Universite de Rennes (France)

    2016-07-01

    Full text: The knowledge of the optical properties of thin films is important in many scientific, technological and industrial applications of thin films such as photoconductivity, solar energy, photography, and numerous other applications [1]. In this study, perovskite type oxides were grown by pulsed laser deposition [2] in order to obtain thin films with applicable optical properties. The LaNiO{sub 3} (LN), BaTiO{sub 3} (BT) and KNbO{sub 3} (KNb) targets were prepared by solid-state reaction. The X-ray Diffraction revealed the presence of the desired phases, containing the elements of interest in the targets and in the thin films that were produced. The LN, BT and KNb thin films were polycrystalline and the corresponding diffraction peaks were indexed in the with JCPDS cards n. 00-033-0711, n. 00-005-0626, and n. 00-009-0156, respectively. The multilayers films were polycrystalline. The majority of the micrographs obtained by scanning electron microscopy presented films with a thickness from 100 to 400 nm. The photoluminescent (PL) emission spectra of thin films show different broad bands that occupies large region of the visible spectrum, ranging from about 300-350 to 600-650 nm of the electromagnetic spectrum. The PL emission is associated with the order-disorder structural, even small structural changes can modify the interactions between electronic states. The structural disorder results in formation of new energy levels in the forbidden region. The proximity or distance of these new energy levels formed in relation to valence band and to the conduction band results in PL spectra located at higher or lower energies. These interactions change the electronic states which can be influenced by defects, particularly the interface defects between the layers of the thin films. The presence of defects results in changes in the broad band matrix intensity and in displacement of the PL emission maximum. (author)

  13. Optical characteristics of the thin-film scintillator detector

    International Nuclear Information System (INIS)

    Muga, L.; Burnsed, D.

    1976-01-01

    A study of the thin-film detector (TFD) was made in which various light guide and scintillator film support configurations were tested for efficiency of light coupling. Masking of selected portions of the photomultiplier (PM) tube face revealed the extent to which emitted light was received at the exposed PM surfaces. By blocking off selected areas of the scintillator film surface from direct view of the PM tube faces, a measure of the light-guiding efficiency of the film and its support could be estimated. The picture that emerges is that, as the light which is initially trapped in the thin film spreads radially outward from the ion entrance/exit point, it is scattered out of the film by minute imperfections. Optimum signals were obtained by a configuration in which the thin scintillator film was supported on a thin rectangular Celluloid frame inserted within a highly polished metal cylindrical sleeve

  14. Preparation of LiMn{sub 2}O{sub 4} cathode thin films for thin film lithium secondary batteries by a mist CVD process

    Energy Technology Data Exchange (ETDEWEB)

    Tadanaga, Kiyoharu, E-mail: tadanaga@chem.osakafu-u.ac.jp [Department of Applied Chemistry, Graduate School of Engineering, Osaka Prefecture University, Sakai, Osaka, 599-8531 (Japan); Yamaguchi, Akihiro; Sakuda, Atsushi; Hayashi, Akitoshi; Tatsumisago, Masahiro [Department of Applied Chemistry, Graduate School of Engineering, Osaka Prefecture University, Sakai, Osaka, 599-8531 (Japan); Duran, Alicia; Aparacio, Mario [Instituto de Cerámica y Vidrio, Consejo Superior de Investigaciones Científicas, Kelsen 5 (Campus de Cantoblanco), Madrid, 28049 (Spain)

    2014-05-01

    Highlights: • LiMn{sub 2}O{sub 4} thin films were prepared by using the mist CVD process. • An aqueous solution of lithium and manganese acetates is used for the precursor solution. • The cell with the LiMn{sub 2}O{sub 4} thin films exhibited a capacity of about 80 mAh/g. • The cell showed good cycling performance during 10 cycles. - Abstract: LiMn{sub 2}O{sub 4} cathode thin films for thin film lithium secondary batteries were prepared by using so-called the “mist CVD process”, employing an aqueous solution of lithium acetate and manganese acetate, as the source of Li and Mn, respectively. The aqueous solution of starting materials was ultrasonically atomized to form mist particles, and mists were transferred by nitrogen gas to silica glass substrate to form thin films. FE-SEM observation revealed that thin films obtained by this process were dense and smooth, and thin films with a thickness of about 750 nm were obtained. The electrochemical cell with the thin films obtained by sintering at 700 °C exhibited a capacity of about 80 mAh/g, and the cell showed good cycling performance during 10 cycles.

  15. Fractal and multifractal analysis of LiF thin film surface

    International Nuclear Information System (INIS)

    Yadav, R.P.; Dwivedi, S.; Mittal, A.K.; Kumar, M.; Pandey, A.C.

    2012-01-01

    Highlights: ► Fractal and multifractal analysis of surface morphologies of the LiF thin films. ► Complexity and roughness of the LiF thin films increases as thickness increases. ► LiF thin films are multifractal in nature. ► Strength of the multifractality increases with thickness of the film. - Abstract: Fractal and multifractal analysis is performed on the atomic force microscopy (AFM) images of the surface morphologies of the LiF thin films of thickness 10 nm, 20 nm, and 40 nm, respectively. Autocorrelation function, height–height correlation function, and two-dimensional multifractal detrended fluctuation analysis (MFDFA) are used for characterizing the surface. It is found that the interface width, average roughness, lateral correlation length, and fractal dimension of the LiF thin film increase with the thickness of the film, whereas the roughness exponent decreases with thickness. Thus, the complexity and roughness of the LiF thin films increases as thickness increases. It is also demonstrated that the LiF thin films are multifractal in nature. Strength of the multifractality increases with thickness of the film.

  16. Preparation and characterization of vanadium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Monfort, O.; Plesch, G. [Comenius University of Bratislava, Faculty of Natural Sciences, Department of Inorganic Chemistry, 84215 Bratislava (Slovakia); Roch, T. [Comenius University of Bratislava, Faculty of Mathematics Physics and Informatics, Department of Experimental Physics, 84248 Bratislava (Slovakia)

    2013-04-16

    The thermotropic VO{sub 2} films have many applications, since they exhibit semiconductor-conductor switching properties at temperature around 70 grad C. Vanadium oxide thin films were prepared via sol-gel method. Spin coater was used to depose these films on Si/SiO{sub 2} and lime glass substrates. Thin films of V{sub 2}O{sub 5} can be reduced to metastable VO{sub 2} thin films at the temperature of 450 grad C under the pressure of 10{sup -2} Pa. These films are then converted to thermotropic VO{sub 2} at 700 grad C in argon under normal pressure. (authors)

  17. Laser nanostructuring of ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Nedyalkov, N., E-mail: nned@ie.bas.bg [Department of Electronics and Electrical Engineering, Keio University, 3-14-1 Hiyoshi Kohoku-ku, Yokohama-shi, Kanagawa-ken 223-8522 (Japan); Institute of Electronics, Bulgarian Academy of Sciences, Tzarigradsko shousse 72, Sofia 1784 (Bulgaria); Koleva, M.; Nikov, R.; Atanasov, P. [Institute of Electronics, Bulgarian Academy of Sciences, Tzarigradsko shousse 72, Sofia 1784 (Bulgaria); Nakajima, Y.; Takami, A.; Shibata, A.; Terakawa, M. [Department of Electronics and Electrical Engineering, Keio University, 3-14-1 Hiyoshi Kohoku-ku, Yokohama-shi, Kanagawa-ken 223-8522 (Japan)

    2016-06-30

    Highlights: • Nanosecond laser pulse nanostructuring of ZnO thin films on metal substrate is demonstrated. • Two regimes of the thin film modification are observed depending on the applied laser fluence. • At high fluence regime the ZnO film is homogeneously decomposed into nanosized particles. • The characteristic size of the formed nanostructures corresponds to the domain size of the thin film. - Abstract: In this work, results on laser processing of thin zinc oxide films deposited on metal substrate are presented. ZnO films are obtained by classical nanosecond pulsed laser deposition method in oxygen atmosphere on tantalum substrate. The produced films are then processed by nanosecond laser pulses at wavelength of 355 nm. The laser processing parameters and the film thickness are varied and their influence on the fabricated structures is estimated. The film morphology after the laser treatment is found to depend strongly on the laser fluence as two regimes are defined. It is shown that at certain conditions (high fluence regime) the laser treatment of the film leads to formation of a discrete nanostructure, composed of spherical like nanoparticles with narrow size distribution. The dynamics of the melt film on the substrate and fast cooling are found to be the main mechanisms for fabrication of the observed structures. The demonstrated method is an alternative way for direct fabrication of ZnO nanostructures on metal which can be easy implemented in applications as resistive sensor devices, electroluminescent elements, solar cell technology.

  18. Restructuring in block copolymer thin films

    DEFF Research Database (Denmark)

    Posselt, Dorthe; Zhang, Jianqi; Smilgies, Detlef-M.

    2017-01-01

    Block copolymer (BCP) thin films have been proposed for a number of nanotechnology applications, such as nanolithography and as nanotemplates, nanoporous membranes and sensors. Solvent vapor annealing (SVA) has emerged as a powerful technique for manipulating and controlling the structure of BCP...... thin films, e.g., by healing defects, by altering the orientation of the microdomains and by changing the morphology. Due to high time resolution and compatibility with SVA environments, grazing-incidence small-angle X-ray scattering (GISAXS) is an indispensable technique for studying the SVA process......, providing information of the BCP thin film structure both laterally and along the film normal. Especially, state-of-the-art combined GISAXS/SVA setups at synchrotron sources have facilitated in situ and real-time studies of the SVA process with a time resolution of a few seconds, giving important insight...

  19. Nanocoatings and ultra-thin films technologies and applications

    CERN Document Server

    Tiginyanu, Ion

    2011-01-01

    Gives a comprehensive account of the developments of nanocoatings and ultra-thin films. This book covers the fundamentals, processes of deposition and characterisation of nanocoatings, as well as the applications. It is suitable for the glass and glazing, automotive, electronics, aerospace, construction and biomedical industries in particular.$bCoatings are used for a wide range of applications, from anti-fogging coatings for glass through to corrosion control in the aerospace and automotive industries. Nanocoatings and ultra-thin films provides an up-to-date review of the fundamentals, processes of deposition, characterisation and applications of nanocoatings. Part one covers technologies used in the creation and analysis of thin films, including chapters on current and advanced coating technologies in industry, nanostructured thin films from amphiphilic molecules, chemical and physical vapour deposition methods and methods for analysing nanocoatings and ultra-thin films. Part two focuses on the applications...

  20. Nanosphere lithography applied to magnetic thin films

    Science.gov (United States)

    Gleason, Russell

    Magnetic nanostructures have widespread applications in many areas of physics and engineering, and nanosphere lithography has recently emerged as promising tool for the fabrication of such nanostructures. The goal of this research is to explore the magnetic properties of a thin film of ferromagnetic material deposited onto a hexagonally close-packed monolayer array of polystyrene nanospheres, and how they differ from the magnetic properties of a typical flat thin film. The first portion of this research focuses on determining the optimum conditions for depositing a monolayer of nanospheres onto chemically pretreated silicon substrates (via drop-coating) and the subsequent characterization of the deposited nanosphere layer with scanning electron microscopy. Single layers of permalloy (Ni80Fe20) are then deposited on top of the nanosphere array via DC magnetron sputtering, resulting in a thin film array of magnetic nanocaps. The coercivities of the thin films are measured using a home-built magneto-optical Kerr effect (MOKE) system in longitudinal arrangement. MOKE measurements show that for a single layer of permalloy (Py), the coercivity of a thin film deposited onto an array of nanospheres increases compared to that of a flat thin film. In addition, the coercivity increases as the nanosphere size decreases for the same deposited layer. It is postulated that magnetic exchange decoupling between neighboring nanocaps suppresses the propagation of magnetic domain walls, and this pinning of the domain walls is thought to be the primary source of the increase in coercivity.

  1. Bandtail characteristics in InN thin films

    International Nuclear Information System (INIS)

    Shen, W.Z.; Jiang, L.F.; Yang, H.F.; Meng, F.Y.; Ogawa, H.; Guo, Q.X.

    2002-01-01

    The Urbach bandtail characteristics in InN thin films grown by radio-frequency magnetron sputtering on sapphire (0001) substrates have been investigated both theoretically and experimentally. The bandtail parameter in InN thin films has been obtained by temperature-dependent transmission spectra, with the aid of a detailed calculation of the transmission profile. A bandtail model based on the calculation of density of occupied states and the carrier-phonon interaction has been employed to analyze the temperature-dependent bandtail characteristics. The bandtail parameter is in the range of 90-120 meV in the InN thin film. It is found that the carrier-phonon interaction in InN is weak and the structural disorder contribution (∼90 meV) dominates over the interactive terms. The high structural disorder in InN thin films may relate to the high nonradiative recombination centers

  2. Thin films of mixed metal compounds

    Science.gov (United States)

    Mickelsen, Reid A.; Chen, Wen S.

    1985-01-01

    A compositionally uniform thin film of a mixed metal compound is formed by simultaneously evaporating a first metal compound and a second metal compound from independent sources. The mean free path between the vapor particles is reduced by a gas and the mixed vapors are deposited uniformly. The invention finds particular utility in forming thin film heterojunction solar cells.

  3. Thin-Film Power Transformers

    Science.gov (United States)

    Katti, Romney R.

    1995-01-01

    Transformer core made of thin layers of insulating material interspersed with thin layers of ferromagnetic material. Flux-linking conductors made of thinner nonferromagnetic-conductor/insulator multilayers wrapped around core. Transformers have geometric features finer than those of transformers made in customary way by machining and mechanical pressing. In addition, some thin-film materials exhibit magnetic-flux-carrying capabilities superior to those of customary bulk transformer materials. Suitable for low-cost, high-yield mass production.

  4. Preparation and properties of antimony thin film anode materials

    Institute of Scientific and Technical Information of China (English)

    SU Shufa; CAO Gaoshao; ZHAO Xinbing

    2004-01-01

    Metallic antimony thin films were deposited by magnetron sputtering and electrodeposition. Electrochemical properties of the thin film as anode materials for lithium-ion batteries were investigated and compared with those of antimony powder. It was found that both magnetron sputtering and electrodeposition are easily controllable processes to deposit antimony films with fiat charge/discharge potential plateaus. The electrochemical performances of antimony thin films, especially those prepared with magnetron sputtering, are better than those of antimony powder. The reversible capacities of the magnetron sputtered antimony thin film are above 400 mA h g-1 in the first 15 cycles.

  5. Magnetic damping phenomena in ferromagnetic thin-films and multilayers

    Science.gov (United States)

    Azzawi, S.; Hindmarch, A. T.; Atkinson, D.

    2017-11-01

    Damped ferromagnetic precession is an important mechanism underpinning the magnetisation processes in ferromagnetic materials. In thin-film ferromagnets and ferromagnetic/non-magnetic multilayers, the role of precession and damping can be critical for spintronic device functionality and as a consequence there has been significant research activity. This paper presents a review of damping in ferromagnetic thin-films and multilayers and collates the results of many experimental studies to present a coherent synthesis of the field. The terms that are used to define damping are discussed with the aim of providing consistent definitions for damping phenomena. A description of the theoretical basis of damping is presented from early developments to the latest discussions of damping in ferromagnetic thin-films and multilayers. An overview of the time and frequency domain methods used to study precessional magnetisation behaviour and damping in thin-films and multilayers is also presented. Finally, a review of the experimental observations of magnetic damping in ferromagnetic thin-films and multilayers is presented with the most recent explanations. This brings together the results from many studies and includes the effects of ferromagnetic film thickness, the effects of composition on damping in thin-film ferromagnetic alloys, the influence of non-magnetic dopants in ferromagnetic films and the effects of combining thin-film ferromagnets with various non-magnetic layers in multilayered configurations.

  6. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  7. Residual stress in spin-cast polyurethane thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Hong; Zhang, Li, E-mail: lizhang@mae.cuhk.edu.hk [Department of Mechanical and Automation Engineering, The Chinese University of Hong Kong, Shatin N.T., Hong Kong (China); Chow Yuk Ho Technology Centre for Innovative Medicine, The Chinese University of Hong Kong, Shatin N.T., Hong Kong (China)

    2015-01-19

    Residual stress is inevitable during spin-casting. Herein, we report a straightforward method to evaluate the residual stress in as-cast polyurethane thin films using area shrinkage measurement of films in floating state, which shows that the residual stress is independent of radial location on the substrate and decreased with decreasing film thickness below a critical value. We demonstrate that the residual stress is developed due to the solvent evaporation after vitrification during spin-casting and the polymer chains in thin films may undergo vitrification at an increased concentration. The buildup of residual stress in spin-cast polymer films provides an insight into the size effects on the nature of polymer thin films.

  8. Simulated Thin-Film Growth and Imaging

    Science.gov (United States)

    Schillaci, Michael

    2001-06-01

    Thin-films have become the cornerstone of the electronics, telecommunications, and broadband markets. A list of potential products includes: computer boards and chips, satellites, cell phones, fuel cells, superconductors, flat panel displays, optical waveguides, building and automotive windows, food and beverage plastic containers, metal foils, pipe plating, vision ware, manufacturing equipment and turbine engines. For all of these reasons a basic understanding of the physical processes involved in both growing and imaging thin-films can provide a wonderful research project for advanced undergraduate and first-year graduate students. After producing rudimentary two- and three-dimensional thin-film models incorporating ballsitic deposition and nearest neighbor Coulomb-type interactions, the QM tunneling equations are used to produce simulated scanning tunneling microscope (SSTM) images of the films. A discussion of computational platforms, languages, and software packages that may be used to accomplish similar results is also given.

  9. Nanostructured thin films as functional coatings

    Energy Technology Data Exchange (ETDEWEB)

    Lazar, Manoj A; Tadvani, Jalil K; Tung, Wing Sze; Lopez, Lorena; Daoud, Walid A, E-mail: Walid.Daoud@sci.monash.edu.au [School of Applied Sciences and Engineering, Monash University, Churchill, VIC 3842 (Australia)

    2010-06-15

    Nanostructured thin films is one of the highly exploiting research areas particularly in applications such as photovoltaics, photocatalysis and sensor technologies. Highly tuned thin films, in terms of thickness, crystallinity, porosity and optical properties, can be fabricated on different substrates using the sol-gel method, chemical solution deposition (CSD), electrochemical etching, along with other conventional methods such as chemical vapour deposition (CVD) and physical vapour deposition (PVD). The above mentioned properties of these films are usually characterised using surface analysis techniques such as XRD, SEM, TEM, AFM, ellipsometry, electrochemistry, SAXS, reflectance spectroscopy, STM, XPS, SIMS, ESCA, X-ray topography and DOSY-NMR. This article presents a short review of the preparation and characterisation of thin films of nanocrystalline titanium dioxide and modified silicon as well as their application in solar cells, water treatment, water splitting, self cleaning fabrics, sensors, optoelectronic devices and lab on chip systems.

  10. Thin film characterization by resonantly excited internal standing waves

    Energy Technology Data Exchange (ETDEWEB)

    Di Fonzio, S [SINCROTRONE TRIESTE, Trieste (Italy)

    1996-09-01

    This contribution describes how a standing wave excited in a thin film can be used for the characterization of the properties of the film. By means of grazing incidence X-ray reflectometry one can deduce the total film thickness. On the other hand in making use of a strong resonance effect in the electric field intensity distribution inside a thin film on a bulk substrate one can learn more about the internal structure of the film. The profile of the internal standing wave is proven by diffraction experiments. The most appropriate non-destructive technique for the subsequent thin film characterization is angularly dependent X-ray fluorescence analysis. The existence of the resonance makes it a powerful tool for the detection of impurities and of ultra-thin maker layers, for which the position can be determined with very high precision (about 1% of the total film thickness). This latter aspect will be discussed here on samples which had a thin Ti marker layer at different positions in a carbon film. Due to the resonance enhancement it was still possible to perform these experiments with a standard laboratory x-ray tube and with standard laboratory tool for marker or impurity detection in thin films.

  11. Thin film ceramic thermocouples

    Science.gov (United States)

    Gregory, Otto (Inventor); Fralick, Gustave (Inventor); Wrbanek, John (Inventor); You, Tao (Inventor)

    2011-01-01

    A thin film ceramic thermocouple (10) having two ceramic thermocouple (12, 14) that are in contact with each other in at least on point to form a junction, and wherein each element was prepared in a different oxygen/nitrogen/argon plasma. Since each element is prepared under different plasma conditions, they have different electrical conductivity and different charge carrier concentration. The thin film thermocouple (10) can be transparent. A versatile ceramic sensor system having an RTD heat flux sensor can be combined with a thermocouple and a strain sensor to yield a multifunctional ceramic sensor array. The transparent ceramic temperature sensor that could ultimately be used for calibration of optical sensors.

  12. Photoluminescence of electron beam evaporated CaS:Bi thin films

    CERN Document Server

    Smet, P F; Poelman, D R; Meirhaeghe, R L V

    2003-01-01

    For the first time, the photoluminescence (PL) of electron beam evaporated CaS:Bi thin films is reported. Luminescent CaS:Bi powder prepared out of aqueous solutions was used as source material. The influence of substrate temperature on the PL and the morphology of thin films is discussed, and an optimum is determined. Substrate temperatures between 200 deg. C and 300 deg. C lead to good quality thin films with sufficient PL intensity. As-deposited thin films show two emission bands, peaking at 450 and 530 nm. Upon annealing the emission intensity increases, and annealing at 800 deg. C is sufficient to obtain a homogeneously blue emitting thin film (CIE colour coordinates (0.17; 0.12)), thanks to a single remaining emission band at 450 nm. The influence of ambient temperature on the PL of CaS:Bi powder and thin films was also investigated and it was found that CaS:Bi thin films show a favourable thermal quenching behaviour near room temperature.

  13. Excimer Laser Deposition of PLZT Thin Films

    National Research Council Canada - National Science Library

    Petersen, GAry

    1991-01-01

    .... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.

  14. Physics of thin films advances in research and development

    CERN Document Server

    Hass, Georg; Vossen, John L

    2013-01-01

    Physics of Thin Films: Advances in Research and Development, Volume 12 reviews advances that have been made in research and development concerning the physics of thin films. This volume covers a wide range of preparative approaches, physics phenomena, and applications related to thin films. This book is comprised of four chapters and begins with a discussion on metal coatings and protective layers for front surface mirrors used at various angles of incidence from the ultraviolet to the far infrared. Thin-film materials and deposition conditions suitable for minimizing reflectance changes with

  15. Characterization of ultrasonic spray pyrolysed ruthenium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Patil, P.S.; Ennaoui, E.A.; Lokhande, C.D.; Mueller, M.; Giersig, M.; Diesner, K.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1997-11-21

    The ultrasonic spray pyrolysis (USP) technique was employed to deposit ruthenium oxide thin films. The films were prepared at 190 C substrate temperature and further annealed at 350 C for 30 min in air. The films were 0.22 {mu} thick and black grey in color. The structural, compositional and optical properties of ruthenium oxide thin films are reported. Contactless transient photoconductivity measurement was carried out to calculate the decay time of excess charge carriers in ruthenium oxide thin films. (orig.) 28 refs.

  16. Field ion microscope studies on thin films

    International Nuclear Information System (INIS)

    Cavaleru, A.; Scortaru, A.

    1976-01-01

    A review of the progress made in the last years in FIM application to thin film structure studies and adatom properties important in the nucleation stage of thin film growth: substrate binding and mobility of individual adatoms, behaviour of adatoms clusters is presented. (author)

  17. The Structure and Stability of Molybdenum Ditelluride Thin Films

    Directory of Open Access Journals (Sweden)

    Zhouling Wang

    2014-01-01

    Full Text Available Molybdenum-tellurium alloy thin films were fabricated by electron beam evaporation and the films were annealed in different conditions in N2 ambient. The hexagonal molybdenum ditelluride thin films with well crystallization annealed at 470°C or higher were obtained by solid state reactions. Thermal stability measurements indicate the formation of MoTe2 took place at about 350°C, and a subtle weight-loss was in the range between 30°C and 500°C. The evolution of the chemistry for Mo-Te thin films was performed to investigate the growth of the MoTe2 thin films free of any secondary phase. And the effect of other postdeposition treatments on the film characteristics was also investigated.

  18. Magnetic surfaces, thin films, and multilayers

    International Nuclear Information System (INIS)

    Parkin, S.S.P.; Renard, J.P.; Shinjo, T.; Zinn, W.

    1992-01-01

    This paper details recent developments in the magnetism of surfaces, thin films and multilayers. More than 20 invited contributions and more than 60 contributed papers attest to the great interest and vitality of this subject. In recent years the study of magnetic surfaces, thin films and multilayers has undergone a renaissance, partly motivated by the development of new growth and characterization techniques, but perhaps more so by the discovery of many exciting new properties, some quite unanticipated. These include, most recently, the discovery of enormous values of magnetoresistance in magnetic multilayers far exceeding those found in magnetic single layer films and the discovery of oscillatory interlayer coupling in transition metal multilayers. These experimental studies have motivated much theoretical work. However these developments are to a large extent powered by materials engineering and our ability to control and understand the growth of thin layers just a few atoms thick. The preparation of single crystal thin film layers and multilayers remains important for many studies, in particular, for properties dependent. These studies obviously require engineering not just a layer thicknesses but of lateral dimensions as well. The properties of such structures are already proving to be a great interest

  19. Thin films prepared from tungstate glass matrix

    Energy Technology Data Exchange (ETDEWEB)

    Montanari, B.; Ribeiro, S.J.L.; Messaddeq, Y. [Departamento de Quimica Geral e Inorganica, Instituto de Quimica, Sao Paulo State University-UNESP, CP 355, CEP 14800-900, Araraquara, SP (Brazil); Li, M.S. [Instituto de Fisica, USP, CP 369, CEP 13560-970, Sao Carlos, SP (Brazil); Poirier, G. [Departamento de Ciencias Exatas, UNIFAL-MG, CEP 37130-000, Alfenas-MG (Brazil)], E-mail: gael@unifal-mg.edu.br

    2008-01-30

    Vitreous samples containing high concentrations of WO{sub 3} (above 40% M) have been used as a target to prepare thin films. Such films were deposited using the electron beam evaporation method onto soda-lime glass substrates. These films were characterized by X-ray diffraction (XRD), perfilometry, X-ray energy dispersion spectroscopy (EDS), M-Lines and UV-vis absorption spectroscopy. In this work, experimental parameters were established to obtain stable thin films showing a chemical composition close to the glass precursor composition and with a high concentration of WO{sub 3}. These amorphous thin films of about 4 {mu}m in thickness exhibit a deep blue coloration but they can be bleached by thermal treatment near the glass transition temperature. Such bleached films show several guided modes in the visible region and have a high refractive index. Controlled crystallization was realized and thus it was possible to obtain WO{sub 3} microcrystals in the amorphous phase.

  20. Characterization of Sucrose Thin Films for Biomedical Applications

    Directory of Open Access Journals (Sweden)

    S. L. Iconaru

    2011-01-01

    Full Text Available Sucrose is a natural osmolyte accumulated in the cells of organisms as they adapt to environmental stress. In vitro sucrose increases protein stability and forces partially unfolded structures to refold. Thin films of sucrose (C12H22O11 were deposited on thin cut glass substrates by the thermal evaporation technique (P∼10−5 torr. Characteristics of thin films were put into evidence by Fourier Transform Infrared Spectroscopy (FTIR, X-ray Photoelectron Spectroscopy (XPS, scanning electron microscopy (SEM, and differential thermal analysis and thermal gravimetric analysis (TG/DTA. The experimental results confirm a uniform deposition of an adherent layer. In this paper we present a part of the characteristics of sucrose thin films deposited on glass in medium vacuum conditions, as a part of a culture medium for osteoblast cells. Osteoblast cells were used to determine proliferation, viability, and cytotoxicity interactions with sucrose powder and sucrose thin films. The osteoblast cells have been provided from the American Type Culture Collection (ATCC Centre. The outcome of this study demonstrated the effectiveness of sucrose thin films as a possible nontoxic agent for biomedical applications.

  1. Significant questions in thin liquid film heat transfer

    International Nuclear Information System (INIS)

    Bankoff, S.G.

    1994-01-01

    Thin liquid films appear in many contexts, such as the cooling of gas turbine blade tips, rocket engines, microelectronics arrays, and hot fuel element surfaces in hypothetical nuclear reactor accidents. Apart from these direct cooling applications of thin liquid layers, thin films form a crucial element in determining the allowable heat flux limits in boiling. This is because the last stages of dryout almost invariably involve the rupture of a residual liquid film, either as a microlayer underneath the bubbles, or a thin annular layer in a high-quality burnout scenario. The destabilization of these thin films under the combined actions of shear stress, evaporation, and thermocapillary effects is quite complex. The later stages of actual rupture to form dry regions, which then expand, resulting in possible overheating, are even more complex and less well understood. However, significant progress has been made in understanding the behavior of these thin films, which are subject to competing instabilities prior to actual rupture. This will be reviewed briefly. Recent work on the advance, or recession, of contact lines will also be described briefly, and significant questions that still remain to be answered will be discussed. 68 refs., 7 figs

  2. Novel photon management for thin-film photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Menon, Rajesh [Univ. of Utah, Salt Lake City, UT (United States)

    2016-11-11

    The objective of this project is to enable commercially viable thin-film photovoltaics whose efficiencies are increased by over 10% using a novel optical spectral-separation technique. A thin planar diffractive optic is proposed that efficiently separates the solar spectrum and assigns these bands to optimal thin-film sub-cells. An integrated device that is comprised of the optical element, an array of sub-cells and associated packaging is proposed.

  3. Thin films as an emerging platform for drug delivery

    Directory of Open Access Journals (Sweden)

    Sandeep Karki

    2016-10-01

    Full Text Available Pharmaceutical scientists throughout the world are trying to explore thin films as a novel drug delivery tool. Thin films have been identified as an alternative approach to conventional dosage forms. The thin films are considered to be convenient to swallow, self-administrable, and fast dissolving dosage form, all of which make it as a versatile platform for drug delivery. This delivery system has been used for both systemic and local action via several routes such as oral, buccal, sublingual, ocular, and transdermal routes. The design of efficient thin films requires a comprehensive knowledge of the pharmacological and pharmaceutical properties of drugs and polymers along with an appropriate selection of manufacturing processes. Therefore, the aim of this review is to provide an overview of the critical factors affecting the formulation of thin films, including the physico-chemical properties of polymers and drugs, anatomical and physiological constraints, as well as the characterization methods and quality specifications to circumvent the difficulties associated with formulation design. It also highlights the recent trends and perspectives to develop thin film products by various companies.

  4. Investigations of Si Thin Films as Anode of Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Qingliu [Department of Chemical; Shi, Bing; Bareño, Javier; Liu, Yuzi; Maroni, Victor A.; Zhai, Dengyun; Dees, Dennis W.; Lu, Wenquan

    2018-01-22

    Amorphous silicon thin films having various thicknesses were investigated as a negative electrode material for lithium-ion batteries. Electrochemical characterization of the 20 nm thick thin silicon film revealed a very low first cycle Coulombic efficiency, which can be attributed to the silicon oxide layer formed on both the surface of the as-deposited Si thin film and the interface between the Si and the substrate. Among the investigated films, the 100 nm Si thin film demonstrated the best performance in terms of first cycle efficiency and cycle life. Observations from scanning electron microscopy demonstrated that the generation of cracks was inevitable in the cycled Si thin films, even as the thickness of the film was as little as 20 nm, which was not predicted by previous modeling work. However, the cycling performance of the 20 and 100 nm silicon thin films was not detrimentally affected by these cracks. The poor capacity retention of the 1 mu m silicon thin film was attributed to the delamination.

  5. Removable Thin Films used for the Abatement and Mitigation of Beryllium

    International Nuclear Information System (INIS)

    Lumia, M.; Gentile, C.; Creek, K.; Sandoval, R.

    2003-01-01

    The use of removable thin films for the abatement of hazardous particulates has many advantages. Removable thin films are designed to trap and fix particulates in the film's matrix by adhesion. Thin films can be applied to an existing contaminated area to fix and capture the particulates for removal. The nature of the removable thin films, after sufficient cure time, is such that it can typically be removed as one continuous entity. The removable thin films can be applied to almost any surface type with a high success rate of removal

  6. Thermochemical hydrogen generation of indium oxide thin films

    Directory of Open Access Journals (Sweden)

    Taekyung Lim

    2017-03-01

    Full Text Available Development of alternative energy resources is an urgent requirement to alleviate current energy constraints. As such, hydrogen gas is gaining attention as a future alternative energy source to address existing issues related to limited energy resources and air pollution. In this study, hydrogen generation by a thermochemical water-splitting process using two types of In2O3 thin films was investigated. The two In2O3 thin films prepared by chemical vapor deposition (CVD and sputtering deposition systems contained different numbers of oxygen vacancies, which were directly related to hydrogen generation. The as-grown In2O3 thin film prepared by CVD generated a large amount of hydrogen because of its abundant oxygen vacancies, while that prepared by sputtering had few oxygen vacancies, resulting in low hydrogen generation. Increasing the temperature of the In2O3 thin film in the reaction chamber caused an increase in hydrogen generation. The oxygen-vacancy-rich In2O3 thin film is expected to provide a highly effective production of hydrogen as a sustainable and efficient energy source.

  7. Thin Film Photovoltaic/Thermal Solar Panels

    Institute of Scientific and Technical Information of China (English)

    David JOHNSTON

    2008-01-01

    A solar panel is described.in which thin films of semiconductor are deposited onto a metal substrate.The semiconductor-metal combination forms a thin film photovoltaic cell,and also acts as a reflector,absorber tandem, which acts as a solar selective surface,thus enhancing the solar thermal performance of the collector plate.The use of thin films reduces the distance heat is required to flow from the absorbing surface to the metal plate and heat exchange conduits.Computer modelling demonstrated that,by suitable choice of materials,photovohaic efficiency call be maintained,with thermal performance slishtly reduced,compared to that for thermal-only panels.By grading the absorber layer-to reduce the band gap in the lower region-the thermal performance can be improved,approaching that for a thermal-only solar panel.

  8. Phonon transport across nano-scale curved thin films

    Energy Technology Data Exchange (ETDEWEB)

    Mansoor, Saad B.; Yilbas, Bekir S., E-mail: bsyilbas@kfupm.edu.sa

    2016-12-15

    Phonon transport across the curve thin silicon film due to temperature disturbance at film edges is examined. The equation for radiative transport is considered via incorporating Boltzmann transport equation for the energy transfer. The effect of the thin film curvature on phonon transport characteristics is assessed. In the analysis, the film arc length along the film centerline is considered to be constant and the film arc angle is varied to obtain various film curvatures. Equivalent equilibrium temperature is introduced to assess the phonon intensity distribution inside the curved thin film. It is found that equivalent equilibrium temperature decay along the arc length is sharper than that of in the radial direction, which is more pronounced in the region close to the film inner radius. Reducing film arc angle increases the film curvature; in which case, phonon intensity decay becomes sharp in the close region of the high temperature edge. Equivalent equilibrium temperature demonstrates non-symmetric distribution along the radial direction, which is more pronounced in the near region of the high temperature edge.

  9. Phonon transport across nano-scale curved thin films

    International Nuclear Information System (INIS)

    Mansoor, Saad B.; Yilbas, Bekir S.

    2016-01-01

    Phonon transport across the curve thin silicon film due to temperature disturbance at film edges is examined. The equation for radiative transport is considered via incorporating Boltzmann transport equation for the energy transfer. The effect of the thin film curvature on phonon transport characteristics is assessed. In the analysis, the film arc length along the film centerline is considered to be constant and the film arc angle is varied to obtain various film curvatures. Equivalent equilibrium temperature is introduced to assess the phonon intensity distribution inside the curved thin film. It is found that equivalent equilibrium temperature decay along the arc length is sharper than that of in the radial direction, which is more pronounced in the region close to the film inner radius. Reducing film arc angle increases the film curvature; in which case, phonon intensity decay becomes sharp in the close region of the high temperature edge. Equivalent equilibrium temperature demonstrates non-symmetric distribution along the radial direction, which is more pronounced in the near region of the high temperature edge.

  10. Emergent Topological Phenomena in Thin Films of Pyrochlore Iridates

    Science.gov (United States)

    Yang, Bohm-Jung; Nagaosa, Naoto

    2014-06-01

    Because of the recent development of thin film and artificial superstructure growth techniques, it is possible to control the dimensionality of the system, smoothly between two and three dimensions. In this Letter we unveil the dimensional crossover of emergent topological phenomena in correlated topological materials. In particular, by focusing on the thin film of pyrochlore iridate antiferromagnets grown along the [111] direction, we demonstrate that the thin film can have a giant anomalous Hall conductance, proportional to the thickness of the film, even though there is no Hall effect in 3D bulk material. Moreover, in the case of ultrathin films, a quantized anomalous Hall conductance can be observed, despite the fact that the system is an antiferromagnet. In addition, we uncover the emergence of a new topological phase, the nontrivial topological properties of which are hidden in the bulk insulator and manifest only in thin films. This shows that the thin film of correlated topological materials is a new platform to search for unexplored novel topological phenomena.

  11. Thermoelectric effects of amorphous Ga-Sn-O thin film

    Science.gov (United States)

    Matsuda, Tokiyoshi; Uenuma, Mutsunori; Kimura, Mutsumi

    2017-07-01

    The thermoelectric effects of an amorphous Ga-Sn-O (a-GTO) thin film have been evaluated as a physical parameter of a novel oxide semiconductor. Currently, a-GTO thin films are greatly desired not only because they do not contain rare metals and are therefore free from problems on the exhaustion of resources and the increase in cost but also because their initial characteristics and performance stabilities are excellent when they are used in thin-film transistors. In this study, an a-GTO thin film was deposited on a quartz substrate by RF magnetron sputtering and postannealing was performed in air at 350 °C for 1 h using an annealing furnace. The Seebeck coefficient and electrical conductivity of the a-GTO thin film were -137 µV/K and 31.8 S/cm at room temperature, and -183 µV/K and 43.8 S/cm at 397 K, respectively, and as a result, the power factor was 1.47 µW/(cm·K2) at 397 K; these values were roughly as high as those of amorphous In-Ga-Zn-O (a-IGZO) thin films. Therefore, a-GTO thin films will be a candidate material for thermoelectric devices fabricated in a large area at a low cost by controlling the carrier mobility, carrier density, device structures, and so forth.

  12. Beryllium thin films for resistor applications

    Science.gov (United States)

    Fiet, O.

    1972-01-01

    Beryllium thin films have a protective oxidation resistant property at high temperature and high recrystallization temperature. However, the experimental film has very low temperature coefficient of resistance.

  13. XRay Study of Transfer Printed Pentacene Thin Films

    International Nuclear Information System (INIS)

    Shao, Y.; Solin, S. A.; Hines, D. R.; Williams, E. D.

    2007-01-01

    We investigated the structural properties and transfer properties of pentacene thin films fabricated by thermal deposition and transfer printing onto SiO2 and plastic substrates, respectively. The dependence of the crystallite size on the printing time, temperature and pressure were measured. The increases of crystalline size were observed when pentacene thin films were printed under specific conditions, e.g. 120 deg. C and 600 psi and can be correlated with the improvement of the field effect mobility of pentacene thin-film transistors

  14. Macro stress mapping on thin film buckling

    Energy Technology Data Exchange (ETDEWEB)

    Goudeau, P.; Villain, P.; Renault, P.-O.; Tamura, N.; Celestre, R.S.; Padmore, H.A.

    2002-11-06

    Thin films deposited by Physical Vapour Deposition techniques on substrates generally exhibit large residual stresses which may be responsible of thin film buckling in the case of compressive stresses. Since the 80's, a lot of theoretical work has been done to develop mechanical models but only a few experimental work has been done on this subject to support these theoretical approaches and nothing concerning local stress measurement mainly because of the small dimension of the buckling (few 10th mm). This paper deals with the application of micro beam X-ray diffraction available on synchrotron radiation sources for stress mapping analysis of gold thin film buckling.

  15. Theoretical investigation of the thermodynamic properties of metallic thin films

    International Nuclear Information System (INIS)

    Hung, Vu Van; Phuong, Duong Dai; Hoa, Nguyen Thi; Hieu, Ho Khac

    2015-01-01

    The thermodynamic properties of metallic thin films with face-centered cubic structure at ambient conditions were investigated using the statistical moment method including the anharmonicity effects of thermal lattice vibrations. The analytical expressions of Helmholtz free energy, lattice parameter, linear thermal expansion coefficient, specific heats at the constant volume and constant pressure were derived in terms of the power moments of the atomic displacements. Numerical calculations of thermodynamic properties have been performed for Au and Al thin films and compared with those of bulk metals. This research proposes that thermodynamic quantities of thin films approach the values of bulk when the thickness of thin film is about 70 nm. - Highlights: • Thermodynamic properties of thin films were investigated using the moment method. • Expressions of Helmholtz energy, expansion coefficient, specific heats were derived. • Calculations for Au, Al thin films were performed and compared with those of bulks

  16. Theoretical investigation of the thermodynamic properties of metallic thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hung, Vu Van [Vietnam Education Publishing House, 81 Tran Hung Dao, Hanoi (Viet Nam); Phuong, Duong Dai [Hanoi National University of Education, 136 Xuan Thuy, Hanoi (Viet Nam); Hoa, Nguyen Thi [University of Transport and Communications, Lang Thuong, Dong Da, Hanoi (Viet Nam); Hieu, Ho Khac, E-mail: hieuhk@duytan.edu.vn [Institute of Research and Development, Duy Tan University, K7/25 Quang Trung, Danang (Viet Nam)

    2015-05-29

    The thermodynamic properties of metallic thin films with face-centered cubic structure at ambient conditions were investigated using the statistical moment method including the anharmonicity effects of thermal lattice vibrations. The analytical expressions of Helmholtz free energy, lattice parameter, linear thermal expansion coefficient, specific heats at the constant volume and constant pressure were derived in terms of the power moments of the atomic displacements. Numerical calculations of thermodynamic properties have been performed for Au and Al thin films and compared with those of bulk metals. This research proposes that thermodynamic quantities of thin films approach the values of bulk when the thickness of thin film is about 70 nm. - Highlights: • Thermodynamic properties of thin films were investigated using the moment method. • Expressions of Helmholtz energy, expansion coefficient, specific heats were derived. • Calculations for Au, Al thin films were performed and compared with those of bulks.

  17. Effect of solution concentration on MEH-PPV thin films

    Science.gov (United States)

    Affendi, I. H. H.; Sarah, M. S. P.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    MEH-PPV thin films were prepared with a mixture of THF (tetrahydrofuran) solution deposited by spin coating method. The surface topology of MEH-PPV thin film were characterize by atomic force microscopy (AFM) and optical properties of absorption spectra were characterized by using Ultraviolet-visible-near-infrared (UV-Vis-NIR). The MEH-PPV concentration variation affects the surface and optical properties of the thin film where 0.5 mg/ml MEH-PPV concentration have a good surface topology provided the same film also gives the highest absorption coefficient were then deposited to a TiO2 thin film forming composite layer. The composite layer then shows low current flow of short circuit current of Isc = -5.313E-7 A.

  18. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  19. Perovskite phase thin films and method of making

    Science.gov (United States)

    Boyle, Timothy J.; Rodriguez, Mark A.

    2000-01-01

    The present invention comprises perovskite-phase thin films, of the general formula A.sub.x B.sub.y O.sub.3 on a substrate, wherein A is selected from beryllium, magnesium, calcium, strontium, and barium or a combination thereof; B is selected from niobium and tantalum or a combination thereof; and x and y are mole fractions between approximately 0.8 and 1.2. More particularly, A is strontium or barium or a combination thereof and B is niobium or tantalum or a combination thereof. Also provided is a method of making a perovskite-phase thin film, comprising combining at least one element-A-containing compound, wherein A is selected from beryllium, magnesium, calcium, strontium or barium, with at least one element-B-containing compound, wherein B niobium or tantalum, to form a solution; adding a solvent to said solution to form another solution; spin-coating the solution onto a substrate to form a thin film; and heating the film to form the perovskite-phase thin film.

  20. Properties of RF-Sputtered PZT Thin Films with Ti/Pt Electrodes

    Directory of Open Access Journals (Sweden)

    Cui Yan

    2014-01-01

    Full Text Available Effect of annealing temperature and thin film thickness on properties of Pb(Zr0.53Ti0.47O3 (PZT thin film deposited via radiofrequency magnetron sputtering technique onto Pt/Ti/SiO2/Si substrate was investigated. Average grain sizes of the PZT thin film were measured by atomic force microscope; their preferred orientation was studied through X-ray diffraction analysis. Average residual stress in the thin film was estimated according to the optimized Stoney formula, and impedance spectroscopy characterization was performed via an intelligent LCR measuring instrument. Average grain sizes of PZT thin films were 60 nm~90 nm and their average roughness was less than 2 nm. According to X-ray diffraction analysis, 600°C is the optimal annealing temperature to obtain the PZT thin film with better crystallization. Average residual stress showed that thermal mismatch was the decisive factor of residual stress in Pt/Ti/SiO2/Si substrate; the residual stress in PZT thin film decreased as their thickness increased and increased with annealing temperature. The dielectric constant and loss angle tangent were extremely increased with the thickness of PZT thin films. The capacitance of the device can be adjusted according to the thickness of PZT thin films.

  1. Substrate-HTcS thin film interaction studies by (S)TEM

    NARCIS (Netherlands)

    Ramaekers, P.P.J.; Klepper, D.; Kitazawa, K.; Ishiguro, T.

    1989-01-01

    This paper concerns with compatibility aspects beween HTcS thin film either their substrates. The influence of substrate-thin film interaction and thin film microstructure on the superconducting properties is discussed. In this respect, data based on (S)TEM observations are presented. It is

  2. Low-field vortex dynamics in various high-Tc thin films

    Indian Academy of Sciences (India)

    Abstract. We present a novel ac susceptibility technique for the study of vortex creep in supercon- ducting thin films. With this technique we study the dynamics of dilute vortices in c-axis oriented. Y-123, Hg-1212, and Tl-1212 thin films, as well as a-axis oriented Hg-1212 thin films. Results on the Hg-1212 and Tl-1212 thin ...

  3. Atomic Structure Control of Silica Thin Films on Pt(111)

    KAUST Repository

    Crampton, Andrew S

    2015-05-27

    Metal oxide thin films grown on metal single crystals are commonly used to model heterogeneous catalyst supports. The structure and properties of thin silicon dioxide films grown on metal single crystals have only recently been thoroughly characterized and their spectral properties well established. We report the successful growth of a three- dimensional, vitreous silicon dioxide thin film on the Pt(111) surface and reproduce the closed bilayer structure previously reported. The confirmation of the three dimensional nature of the film is unequivocally shown by the infrared absorption band at 1252 cm−1. Temperature programmed desorption was used to show that this three-dimensional thin film covers the Pt(111) surface to such an extent that its application as a catalyst support for clusters/nanoparticles is possible. The growth of a three-dimensional film was seen to be directly correlated with the amount of oxygen present on the surface after the silicon evaporation process. This excess of oxygen is tentatively attributed to atomic oxygen being generated in the evaporator. The identification of atomic oxygen as a necessary building block for the formation of a three-dimensional thin film opens up new possibilities for thin film growth on metal supports, whereby simply changing the type of oxygen enables thin films with different atomic structures to be synthesized. This is a novel approach to tune the synthesis parameters of thin films to grow a specific structure and expands the options for modeling common amorphous silica supports under ultra high vacuum conditions.

  4. A novel application of the CuI thin film for preparing thin copper nanowires

    International Nuclear Information System (INIS)

    Shi Shuo; Sun Jialin; Zhang Jianhong; Cao Yang

    2005-01-01

    We present a novel application of the CuI thin film for preparing thin copper nanowires under a direct current electric field (DCEF). The CuI thin film was used as a medium for transmitting cuprous ions during the growing process of copper nanowires. As electrodes are the source of cuprous ions, high-purity copper films were deposited on both ends of the CuI thin film. At 353 K, under whole solid condition, without any templates, and having applied a DCEF of 1.5x10 4 V/m, cuprous ions were generated at the anode and migrated towards the cathode through the CuI film. At the edge of the cathode, cuprous ions obtained electrons and congregated to form a disordered thin copper nanowires bundle. The SEM images showed that these copper nanowires were from 10 to 20 nm in diameter and several hundred nanometers in length. The effect of the electric field intensity and the growth temperature on the diameter of the nanowires was also studied

  5. Silicon-integrated thin-film structure for electro-optic applications

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick Joseph

    2000-01-01

    A crystalline thin-film structure suited for use in any of an number of electro-optic applications, such as a phase modulator or a component of an interferometer, includes a semiconductor substrate of silicon and a ferroelectric, optically-clear thin film of the perovskite BaTiO.sub.3 overlying the surface of the silicon substrate. The BaTiO.sub.3 thin film is characterized in that substantially all of the dipole moments associated with the ferroelectric film are arranged substantially parallel to the surface of the substrate to enhance the electro-optic qualities of the film.

  6. Nitrogen incorporation in sputter deposited molybdenum nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Stöber, Laura, E-mail: laura.stoeber@tuwien.ac.at; Patocka, Florian, E-mail: florian.patocka@tuwien.ac.at; Schneider, Michael, E-mail: michael.schneider@tuwien.ac.at; Schmid, Ulrich, E-mail: ulrich.e366.schmid@tuwien.ac.at [Institute of Sensor and Actuator Systems, TU Wien, Gußhausstraße 27-29, A-1040 Vienna (Austria); Konrath, Jens Peter, E-mail: jenspeter.konrath@infineon.com; Haberl, Verena, E-mail: verena.haberl@infineon.com [Infineon Technologies Austria AG, Siemensstraße 2, 9500 Villach (Austria)

    2016-03-15

    In this paper, the authors report on the high temperature performance of sputter deposited molybdenum (Mo) and molybdenum nitride (Mo{sub 2}N) thin films. Various argon and nitrogen gas compositions are applied for thin film synthetization, and the amount of nitrogen incorporation is determined by Auger measurements. Furthermore, effusion measurements identifying the binding conditions of the nitrogen in the thin film are performed up to 1000 °C. These results are in excellent agreement with film stress and scanning electron microscope analyses, both indicating stable film properties up to annealing temperatures of 500 °C.

  7. Thin Cu film resistivity using four probe techniques: Effect of film thickness and geometrical shapes

    Science.gov (United States)

    Choudhary, Sumita; Narula, Rahul; Gangopadhyay, Subhashis

    2018-05-01

    Precise measurement of electrical sheet resistance and resistivity of metallic thin Cu films may play a significant role in temperature sensing by means of resistivity changes which can further act as a safety measure of various electronic devices during their operation. Four point probes resistivity measurement is a useful approach as it successfully excludes the contact resistance between the probes and film surface of the sample. Although, the resistivity of bulk samples at a particular temperature mostly depends on its materialistic property, however, it may significantly differ in the case of thin films, where the shape and thickness of the sample can significantly influence on it. Depending on the ratio of the film thickness to probe spacing, samples are usually classified in two segments such as (i) thick films or (ii) thin films. Accordingly, the geometric correction factors G can be related to the sample resistivity r, which has been calculated here for thin Cu films of thickness up to few 100 nm. In this study, various rectangular shapes of thin Cu films have been used to determine the shape induced geometric correction factors G. An expressions for G have been obtained as a function of film thickness t versus the probe spacing s. Using these expressions, the correction factors have been plotted separately for each cases as a function of (a) film thickness for fixed linear probe spacing and (b) probe distance from the edge of the film surface for particular thickness. Finally, we compare the experimental results of thin Cu films of various rectangular geometries with the theoretical reported results.

  8. Optical constant of thin gold films

    DEFF Research Database (Denmark)

    Yakubovsky, D. I.; Fedyanin, D. Yu; Arsenin, A. V.

    2017-01-01

    The performance of metal-based devices is limited by ohmic losses in the metal, which are determined by electron scattering. The structural properties of gold thin films also play an important role in the film quality, which may affect its' optical properties and the overall capability...... and spectroscopic ellipsometry, the structural morphology and optical properties of polycrystalline gold thin films (fabricated by e-beam deposition at a low sputtering rate smooth gold) in the thickness range of 20 - 200 nm. By extracting the real and imaginary dielectric function and the Drude parameter...... of the device. At the same time, metal films of different thicknesses are needed for different applications and, since these films are polycrystalline, their internal properties and surface roughness can greatly vary from one thickness to another. In this work, we study, using atomic force microscopy...

  9. Preparation and properties of thin films treatise on materials science and technology

    CERN Document Server

    Tu, K N

    1982-01-01

    Treatise on Materials Science and Technology, Volume 24: Preparation and Properties of Thin Films covers the progress made in the preparation of thin films and the corresponding study of their properties. The book discusses the preparation and property correlations in thin film; the variation of microstructure of thin films; and the molecular beam epitaxy of superlattices in thin film. The text also describes the epitaxial growth of silicon structures (thermal-, laser-, and electron-beam-induced); the characterization of grain boundaries in bicrystalline thin films; and the mechanical properti

  10. Hall effect of K-doped superconducting thin films

    Energy Technology Data Exchange (ETDEWEB)

    Son, Eunseon; Lee, Nam Hoon; Kang, Won Nam [Dept. of physics, Sungkyunkwan University, Suwon (Korea, Republic of); Hwang, Tae Jong; Kim, Dong Ho [Dept. of physics, Yeungnam University, Gyeongsan(Korea, Republic of)

    2013-09-15

    We have studied Hall effect for potassium (K)-doped BaFe{sub 2}As{sub 2}superconducting thin films by analyzing the relation between the longitudinal resistivity (ρ{sub xy}) and the Hall resistivity (ρ{sub xy}). The thin films used in this study were fabricated on Al{sub O3} (000l) substrates by using an ex-situ pulsed laser deposition (PLD) technique under a high-vacuum condition of ∼10{sup -6} Torr. The samples showed the high superconducting transition temperatures (T{sub C}) of ∼40 K. The ρ{sub xx} and ρ{sub xy}the for K-doped BaFeAs{sub 2} thin films were measured by using a physical property measurement system (PPMS) with a temperature sweep (T-sweep) mode at an applied current density of 100 A/cm{sup 2} and at magnetic fields from 0 up to 9 T. We report the T-sweep results of the ρ{sub xx} and the ρ{sub xy} to investigate Hall scaling behavior on the basis of the relation of ρ{sub xy} = A(ρ{sub xy}){sup β}. The ρ{sub xx} values are 3.0 ± 0.2 in the c-axis-oriented K-doped BaFeAs{sub 2} thin films, whereas the thin films with various oriented-directions like a polycrystal showed slightly lower β than that of c-axis-oriented thin films. Interestingly, the β value is decreased with increasing magnetic fields.

  11. Properties of Spray Pyrolysied Copper Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2017-02-01

    Full Text Available Copper oxide (CuO thin films were deposited on well cleaned glass substrates by spray pyrolysis technique (SPT from cupric acetate (Cu(CH3COO2.H2O precursor solutions of 0.05 – 0.15 M molar concentrations (MC at a substrate temperature of 350 °C and at an air pressure of 1 bar. Effect of varying MC on the surface morphology, structural optical and electrical properties of CuO thin films were investigated. XRD patterns of the prepared films revealed the formation of CuO thin films having monoclinic structure with the main CuO (111 orientation and crystalline size ranging from 8.02 to 9.05 nm was observed. The optical transmission of the film was found to decrease with the increase of MC. The optical band gap of the thin films for 0.10 M was fond to be 1.60 eV. The room temperature electrical resistivity varies from 31 and 24 ohm.cm for the films grown with MC of 0.05 and 0.10 M respectively. The change in resistivity of the films was studied with respect to the change in temperature was shown that semiconductor nature is present. This information is expected to underlie the successful development of CuO films for solar windows and other semi-conductor applications including gas sensors.

  12. Laser-induced damage to thin film dielectric coatings

    International Nuclear Information System (INIS)

    Walker, T.W.

    1980-01-01

    The laser-induced damage thresholds of dielectric thin film coatings have been found to be more than an order of magnitude lower than the bulk material damage thresholds. Prior damage studies have been inconclusive in determining the damage mechanism which is operative in thin films. A program was conducted in which thin film damage thresholds were measured as a function of laser wavelength (1.06 μm, 0.53 μm, 0.35 μm and 0.26 μm), laser pulse length (5 and 15 nanoseconds), film materials and film thickness. The large matrix of data was compared to predictions given by avalanche ionization, multiphoton ionization and impurity theories of laser damage. When Mie absorption cross-sections and the exact thermal equations were included into the impurity theory excellent agreement with the data was found. The avalanche and multiphoton damage theories could not account for most parametric variations in the data. For example, the damage thresholds for most films increased as the film thickness decreased and only the impurity theory could account for this behavior. Other observed changes in damage threshold with changes in laser wavelength, pulse length and film material could only be adequately explained by the impurity theory. The conclusion which results from this study is that laser damage in thin film coatings results from absorbing impurities included during the deposition process

  13. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  14. Indium Sulfide and Indium Oxide Thin Films Spin-Coated from Triethylammonium Indium Thioacetate Precursor for n-Channel Thin Film Transistor

    Energy Technology Data Exchange (ETDEWEB)

    Tung, Duy Dao; Jeong, Hyun Dam [Chonnam Natioal University, Gwangju (Korea, Republic of)

    2014-09-15

    The In{sub 2}S{sub 3} thin films of tetragonal structure and In{sub 2}O{sub 3} films of cubic structure were synthesized by a spin coating method from the organometallic compound precursor triethylammonium indium thioacetate ([(Et){sub 3}NH]+ [In(SCOCH{sub 3}){sub 4}]''-; TEA-InTAA). In order to determine the electron mobility of the spin-coated TEA-InTAA films, thin film transistors (TFTs) with an inverted structure using a gate dielectric of thermal oxide (SiO{sub 2}) was fabricated. These devices exhibited n-channel TFT characteristics with a field-effect electron mobility of 10.1 cm''2 V''-1s''-1 at a curing temperature of 500 o C, indicating that the semiconducting thin film material is applicable for use in low-cost, solution-processed printable electronics.

  15. Nanostructured thin films and coatings mechanical properties

    CERN Document Server

    2010-01-01

    The first volume in "The Handbook of Nanostructured Thin Films and Coatings" set, this book concentrates on the mechanical properties, such as hardness, toughness, and adhesion, of thin films and coatings. It discusses processing, properties, and performance and provides a detailed analysis of theories and size effects. The book presents the fundamentals of hard and superhard nanocomposites and heterostructures, assesses fracture toughness and interfacial adhesion strength of thin films and hard nanocomposite coatings, and covers the processing and mechanical properties of hybrid sol-gel-derived nanocomposite coatings. It also uses nanomechanics to optimize coatings for cutting tools and explores various other coatings, such as diamond, metal-containing amorphous carbon nanostructured, and transition metal nitride-based nanolayered multilayer coatings.

  16. Fabrication of ATO/Graphene Multi-layered Transparent Conducting Thin Films

    Science.gov (United States)

    Li, Na; Chen, Fei; Shen, Qiang; Wang, Chuanbin; Zhang, Lianmeng

    2013-03-01

    A novel transparent conducting oxide based on the ATO/graphene multi-layered thin films has been developed to satisfy the application of transparent conductive electrode in solar cells. The ATO thin films are prepared by pulsed laser deposition method with high quality, namely the sheet resistance of 49.5 Ω/sq and average transmittance of 81.9 %. The prepared graphene sheet is well reduced and shows atomically thin, spotty distributed appearance on the top of the ATO thin films. The XRD and optical micrographs are used to confirm the successfully preparation of the ATO/graphene multi-layered thin films. The Hall measurements and UV-Vis spectrophotometer are conducted to evaluate the sheet resistance and optical transmittance of the innovative structure. It is found that graphene can improve the electrical properties of the ATO thin films with little influence on the optical transmittance.

  17. Fabrication of ATO/Graphene Multi-layered Transparent Conducting Thin Films

    International Nuclear Information System (INIS)

    Li Na; Chen Fei; Shen Qiang; Wang Chuanbin; Zhang Lianmeng

    2013-01-01

    A novel transparent conducting oxide based on the ATO/graphene multi-layered thin films has been developed to satisfy the application of transparent conductive electrode in solar cells. The ATO thin films are prepared by pulsed laser deposition method with high quality, namely the sheet resistance of 49.5 Ω/sq and average transmittance of 81.9 %. The prepared graphene sheet is well reduced and shows atomically thin, spotty distributed appearance on the top of the ATO thin films. The XRD and optical micrographs are used to confirm the successfully preparation of the ATO/graphene multi-layered thin films. The Hall measurements and UV-Vis spectrophotometer are conducted to evaluate the sheet resistance and optical transmittance of the innovative structure. It is found that graphene can improve the electrical properties of the ATO thin films with little influence on the optical transmittance.

  18. Bi-axially crumpled silver thin-film electrodes for dielectric elastomer actuators

    International Nuclear Information System (INIS)

    Low, Sze-Hsien; Lau, Gih-Keong

    2014-01-01

    Metal thin films, which have high conductivity, are much stiffer and may fracture at a much lower strain than dielectric elastomers. In order to fabricate compliant electrodes for use in dielectric elastomer actuators (DEAs), metal thin films have been formed into either zigzag patterns or corrugations, which favour bending and only allow uniaxial DEA deformations. However, biaxially compliant electrodes are desired in order to maximize generated forces of DEA. In this paper, we present crumpled metal thin-film electrodes that are biaxially compliant and have full area coverage over the dielectric elastomer. These crumpled metal thin-film electrodes are more stretchable than flat metal thin films; they remain conductive beyond 110% radial strain. Also, crumpling reduced the stiffening effect of metal thin films on the soft elastomer. As such, DEAs using crumpled metal thin-film electrodes managed to attain relatively high actuated area strains of up to 128% at 1.8 kV (102 Vμm −1 ). (paper)

  19. Subtle Raman signals from nano-diamond and β-SiC thin films

    International Nuclear Information System (INIS)

    Kuntumalla, Mohan Kumar; Ojha, Harish; Srikanth, Vadali Venkata Satya Siva

    2013-01-01

    Micro Raman scattering experiments are carried out in pursuit of subtle but discernable signals from nano-diamond and β-SiC thin films. The thin films are synthesized using microwave plasma assisted chemical vapor deposition technique. Raman scattering experiments in conjunction with scanning electron microscopy and x-ray diffraction were carried out to extract microstructure and phase information of the above mentioned thin films. Certain subtle Raman signals have been identified in this work. In the case of nanodiamond thin films, Raman bands at ∼ 485 and ∼ 1220 cm −1 are identified. These bands have been assigned to the nanodiamond present in nanodiamond thin films. In the case of nano β-SiC thin films, optical phonons are identified using surface enhanced Raman scattering. - Highlights: ► Subtle Raman signals from nano-diamond and β-silicon carbide related thin films. ► Raman bands at ∼ 485 and ∼ 1220 cm −1 from nanodiamond thin films are identified. ► Longitudinal optical phonon from nano β-silicon carbide thin films is identified

  20. In vitro behaviour of nanocrystalline silver-sputtered thin films

    International Nuclear Information System (INIS)

    Piedade, A P; Vieira, M T; Martins, A; Silva, F

    2007-01-01

    Silver thin films were deposited with different preferential orientations and special attention was paid to the bioreactivity of the surfaces. The study was essentially focused on the evaluation of the films by x-ray diffraction (XRD), atomic force microscopy (AFM), high-resolution transmission electron microscopy (HRTEM), electron probe microanalysis (EPMA) and contact angle measurements. The deposited thin films were characterized before and after immersion in S-enriched simulated human plasma in order to estimate the influence of the preferential crystallographic orientation on the in vitro behaviour. Silver thin films with and without (111) preferential crystallographic orientation were deposited by r.f. magnetron sputtering to yield nanocrystalline coatings, high compact structures, very hydrophobic surfaces and low roughness. These properties reduce the chemisorption of reactive species onto the film surface. The in vitro tests indicate that silver thin films can be used as coatings for biomaterials applications

  1. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    Science.gov (United States)

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  2. Catalytic EC′ reaction at a thin film modified electrode

    International Nuclear Information System (INIS)

    Gerbino, Leandro; Baruzzi, Ana M.; Iglesias, Rodrigo A.

    2013-01-01

    Numerical simulations of cyclic voltammograms corresponding to a catalytic EC′ reaction taking place at a thin film modified electrode are performed by way of finite difference method. Besides considering the chemical kinetic occurring inside the thin film, the model takes into account the different diffusion coefficients for each species at each of the involved phases, i.e. the thin film layer and bulk solution. The theoretical formulation is given in terms of dimensionless model parameters but a brief discussion of each of these parameters and their relationship to experimental variables is presented. Special emphasis is given to the use of working curve characteristics to quantify diffusion coefficient, homogeneous kinetic constant and thickness of the thin layer in a real system. Validation of the model is made by comparison of experimental results corresponding to the electron charge transfer of Ru(NH 3 ) 6 3+ /Ru(NH 3 ) 6 2+ hemi-couple at a thin film of a cross-linked chitosan film containing an immobilized redox dye

  3. Room temperature ferroelectricity in continuous croconic acid thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xuanyuan; Lu, Haidong; Yin, Yuewei; Ahmadi, Zahra; Costa, Paulo S. [Department of Physics and Astronomy, University of Nebraska, Lincoln, Nebraska 68588 (United States); Zhang, Xiaozhe [Department of Physics and Astronomy, University of Nebraska, Lincoln, Nebraska 68588 (United States); Department of Physics, Xi' an Jiaotong University, Xi' an 710049 (China); Wang, Xiao; Yu, Le; Cheng, Xuemei [Department of Physics, Bryn Mawr College, Bryn Mawr, Pennsylvania 19010 (United States); DiChiara, Anthony D. [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Gruverman, Alexei, E-mail: alexei-gruverman@unl.edu, E-mail: a.enders@me.com, E-mail: xiaoshan.xu@unl.edu; Enders, Axel, E-mail: alexei-gruverman@unl.edu, E-mail: a.enders@me.com, E-mail: xiaoshan.xu@unl.edu; Xu, Xiaoshan, E-mail: alexei-gruverman@unl.edu, E-mail: a.enders@me.com, E-mail: xiaoshan.xu@unl.edu [Department of Physics and Astronomy, University of Nebraska, Lincoln, Nebraska 68588 (United States); Nebraska Center for Materials and Nanoscience, University of Nebraska, Lincoln, Nebraska 68588 (United States)

    2016-09-05

    Ferroelectricity at room temperature has been demonstrated in nanometer-thin quasi 2D croconic acid thin films, by the polarization hysteresis loop measurements in macroscopic capacitor geometry, along with observation and manipulation of the nanoscale domain structure by piezoresponse force microscopy. The fabrication of continuous thin films of the hydrogen-bonded croconic acid was achieved by the suppression of the thermal decomposition using low evaporation temperatures in high vacuum, combined with growth conditions far from thermal equilibrium. For nominal coverages ≥20 nm, quasi 2D and polycrystalline films, with an average grain size of 50–100 nm and 3.5 nm roughness, can be obtained. Spontaneous ferroelectric domain structures of the thin films have been observed and appear to correlate with the grain patterns. The application of this solvent-free growth protocol may be a key to the development of flexible organic ferroelectric thin films for electronic applications.

  4. Subtractive fabrication of ferroelectric thin films with precisely controlled thickness

    Science.gov (United States)

    Ievlev, Anton V.; Chyasnavichyus, Marius; Leonard, Donovan N.; Agar, Joshua C.; Velarde, Gabriel A.; Martin, Lane W.; Kalinin, Sergei V.; Maksymovych, Petro; Ovchinnikova, Olga S.

    2018-04-01

    The ability to control thin-film growth has led to advances in our understanding of fundamental physics as well as to the emergence of novel technologies. However, common thin-film growth techniques introduce a number of limitations related to the concentration of defects on film interfaces and surfaces that limit the scope of systems that can be produced and studied experimentally. Here, we developed an ion-beam based subtractive fabrication process that enables creation and modification of thin films with pre-defined thicknesses. To accomplish this we transformed a multimodal imaging platform that combines time-of-flight secondary ion mass spectrometry with atomic force microscopy to a unique fabrication tool that allows for precise sputtering of the nanometer-thin layers of material. To demonstrate fabrication of thin-films with in situ feedback and control on film thickness and functionality we systematically studied thickness dependence of ferroelectric switching of lead-zirconate-titanate, within a single epitaxial film. Our results demonstrate that through a subtractive film fabrication process we can control the piezoelectric response as a function of film thickness as well as improve on the overall piezoelectric response versus an untreated film.

  5. Solution processed pentacene thin films and their structural properties

    International Nuclear Information System (INIS)

    Tao Chunlan; Zhang Xuhui; Zhang Fujia; Liu Yiyang; Zhang Haoli

    2007-01-01

    The paper reported the solution process of pentacene thin films from organic solvent O-dichlorobenzene. The pentacene thin films obtained from different conditions were characterized by X-ray diffraction (XRD), optical microscopy, scanning electron microscopy (SEM), and UV-vis spectroscopy. The result shows that the pentacene solution was successfully obtained at a minimum temperature of 40 deg. C. The optimum temperature of forming pentacene thin films was 100 deg. C

  6. Stability of tetraphenyl butadiene thin films in liquid xenon

    International Nuclear Information System (INIS)

    Sanguino, P.; Balau, F.; Botelho do Rego, A.M.; Pereira, A.; Chepel, V.

    2016-01-01

    Tetraphenyl butadiene (TPB) is widely used in particle detectors as a wavelength shifter. In this work we studied the stability of TPB thin films when immersed in liquid xenon (LXe). The thin films were deposited on glass and quartz substrates by thermal evaporation. Morphological and chemical surface properties were monitored before and after immersion into LXe by scanning electron microscopy and X-ray photoelectron spectroscopy. No appreciable changes have been detected with these two methods. Grain size and surface chemical composition were found to be identical before and after submersion into LXe. However, the film thickness, measured via optical transmission in the ultraviolet–visible wavelength regions, decreased by 1.6 μg/cm 2 (24%) after immersion in LXe during 20 h. These results suggest the necessity of using a protective thin film over the Tetraphenyl butadiene when used as a wavelength shifter in LXe particle detectors. - Highlights: • Stability of tetraphenyl butadiene (TPB) thin films immersed in liquid xenon (LXe). • Thermally evaporated TPB thin films were immersed in LXe for 20 h. • Film morphology and chemical surface properties remained unchanged. • Surface density of the films decreased by 1.6 μg/cm 2 (24%) after immersion in LXe. • For using in LXe particle detectors, TPB films should be protected with a coating.

  7. Preparation of Cu{sub 2}ZnSnS{sub 4} thin films by sulfurizing stacked precursor thin films via successive ionic layer adsorption and reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Su Zhenghua; Yan Chang; Sun Kaiwen; Han Zili [School of Metallurgical Science and Engineering, Central South University, Changsha 410083 (China); Liu Fangyang, E-mail: liufangyang@csu.edu.cn [School of Metallurgical Science and Engineering, Central South University, Changsha 410083 (China); Liu Jin [School of Metallurgical Science and Engineering, Central South University, Changsha 410083 (China); Lai Yanqing, E-mail: laiyanqingcsu@163.com [School of Metallurgical Science and Engineering, Central South University, Changsha 410083 (China); Li Jie; Liu Yexiang [School of Metallurgical Science and Engineering, Central South University, Changsha 410083 (China)

    2012-07-15

    Earth-abundant Cu{sub 2}ZnSnS{sub 4} is a promising alternative photovoltaic material which has been examined as absorber layer of thin film solar cells. In this study, Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films have been successfully fabricated by sulfurizing stacked precursor thin films via successive ionic layer adsorption and reaction (SILAR) method. The prepared CZTS thin films have been characterized by X-ray diffraction, energy dispersive spectrometer, Raman spectroscopy, UV-vis spectroscopy, Hall effect measurements and photoelectrochemical tests. Results reveal that the thin films have kesterite structured Cu{sub 2}ZnSnS{sub 4} and the p-type conductivity with a carrier concentration in the order of 10{sup 18} cm{sup -3} and an optical band gap of 1.5 eV, which are suitable for applications in thin film solar cells.

  8. Molecular dynamics simulation of Cu/Au thin films under temperature gradient

    International Nuclear Information System (INIS)

    Li, Qibin; Peng, Xianghe; Peng, Tiefeng; Tang, Qizhong; Zhang, Xiaomin; Huang, Cheng

    2015-01-01

    Graphical abstract: Heat transportation in the thin films. - Highlights: • The coherent lattice interface is found at thin films after annealing. • The vacancies are observed clearly in the deposit thin films. • The defect and component will influence the energy transportation in the coatings. • The vacancies and lattice mismatch can enlarge the mobility of atoms. • The phonon transportation in thin films has no apparent rule. - Abstract: Three modulation period thin films, 1.8 nm Cu/3.6 nm Au, 2.7 nm Cu/2.7 nm Au and 3.6 nm Cu/1.8 nm Au, are obtained from deposition method and ideal modeling based on lattice constant, to examine their structures and thermophysical characteristics under temperature gradient. The coherent lattice interface is found both at deposit and ideal thin films after annealing. Also, the vacancies are observed clearly in the deposit thin films. The defect and component of thin films will influence the energy transportation in the coatings. The vacancies and lattice mismatch can enlarge the mobility of atoms and result in the failure of coating under the thermal stress. The power spectrum of atoms’ movement has no apparent rule for phonon transportation in thin films. The results are helpful to reveal the micro-mechanism and provide reasonable basis for the failure of metallic coatings.

  9. Molecular dynamics simulation of Cu/Au thin films under temperature gradient

    Energy Technology Data Exchange (ETDEWEB)

    Li, Qibin, E-mail: qibinli@cqu.edu.cn [College of Aerospace Engineering, Chongqing University, Chongqing 400030 (China); State Key Laboratory of Coal Mine Disaster Dynamics and Control, Chongqing University, Chongqing 400030 (China); Chongqing Key Laboratory of Heterogeneous Material Mechanics, Chongqing University, Chongqing 400030 (China); Peng, Xianghe [College of Aerospace Engineering, Chongqing University, Chongqing 400030 (China); State Key Laboratory of Coal Mine Disaster Dynamics and Control, Chongqing University, Chongqing 400030 (China); Peng, Tiefeng, E-mail: pengtiefeng@cqu.edu.cn [State Key Laboratory of Coal Mine Disaster Dynamics and Control, Chongqing University, Chongqing 400030 (China); Tang, Qizhong [College of Aerospace Engineering, Chongqing University, Chongqing 400030 (China); Zhang, Xiaomin [College of Aerospace Engineering, Chongqing University, Chongqing 400030 (China); Chongqing Key Laboratory of Heterogeneous Material Mechanics, Chongqing University, Chongqing 400030 (China); Huang, Cheng [College of Aerospace Engineering, Chongqing University, Chongqing 400030 (China)

    2015-12-01

    Graphical abstract: Heat transportation in the thin films. - Highlights: • The coherent lattice interface is found at thin films after annealing. • The vacancies are observed clearly in the deposit thin films. • The defect and component will influence the energy transportation in the coatings. • The vacancies and lattice mismatch can enlarge the mobility of atoms. • The phonon transportation in thin films has no apparent rule. - Abstract: Three modulation period thin films, 1.8 nm Cu/3.6 nm Au, 2.7 nm Cu/2.7 nm Au and 3.6 nm Cu/1.8 nm Au, are obtained from deposition method and ideal modeling based on lattice constant, to examine their structures and thermophysical characteristics under temperature gradient. The coherent lattice interface is found both at deposit and ideal thin films after annealing. Also, the vacancies are observed clearly in the deposit thin films. The defect and component of thin films will influence the energy transportation in the coatings. The vacancies and lattice mismatch can enlarge the mobility of atoms and result in the failure of coating under the thermal stress. The power spectrum of atoms’ movement has no apparent rule for phonon transportation in thin films. The results are helpful to reveal the micro-mechanism and provide reasonable basis for the failure of metallic coatings.

  10. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  11. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  12. Sputtered molybdenum thin films and the application in CIGS solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, D.; Zhu, H., E-mail: hongbing1982@hotmail.com; Liang, X.; Zhang, C.; Li, Z.; Xu, Y.; Chen, J.; Zhang, L.; Mai, Y., E-mail: yaohuamai@hbu.edu.cn

    2016-01-30

    Graphical abstract: - Highlights: • Mo thin films are prepared by magnetron sputtering. • The dynamic deposition rate increases with the increasing discharge power. • The surface structure of Mo films varies with discharge power and working pressure. • High efficiency CIGS thin film solar cell of 15.2% has been obtained. - Abstract: Molybdenum (Mo) thin films are prepared by magnetron sputtering with different discharge powers and working pressures for the application in Cu(In, Ga)Se{sub 2} (CIGS) thin film solar cells as back electrodes. Properties of these Mo thin films are systematically investigated. It is found that the dynamic deposition rate increases with the increasing discharge power while decreases with the increasing working pressure. The highest dynamic deposition rate of 15.1 nm m/min is achieved for the Mo thin film deposited at the discharge power of 1200 W and at the working pressure of 0.15 Pa. The achieved lowest resistivity of 3.7 × 10{sup −5} Ω cm is attributed to the large grains in the compact thin film. The discharge power and working pressure have great influence on the sputtered Mo thin films. High efficiency of 12.5% was achieved for the Cu(In, Ga)Se{sub 2} (CIGS) thin film solar cells with Mo electrodes prepared at 1200 W and low working pressures. By further optimizing material and device properties, the conversion efficiency has reached to 15.2%.

  13. Thin Film Approaches to the SRF Cavity Problem Fabrication and Characterization of Superconducting Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Beringer, Douglas [College of William and Mary, Williamsburg, VA (United States)

    2017-08-01

    Superconducting Radio Frequency (SRF) cavities are responsible for the acceleration of charged particles to relativistic velocities in most modern linear accelerators, such as those employed at high-energy research facilities like Thomas Jefferson National Laboratory’s CEBAF and the LHC at CERN. Recognizing SRF as primarily a surface phenomenon enables the possibility of applying thin films to the interior surface of SRF cavities, opening a formidable tool chest of opportunities by combining and designing materials that offer greater performance benefit. Thus, while improvements in radio frequency cavity design and refinements in cavity processing techniques have improved accelerator performance and efficiency – 1.5 GHz bulk niobium SRF cavities have achieved accelerating gradients in excess of 35 MV/m – there exist fundamental material bounds in bulk superconductors limiting the maximally sustained accelerating field gradient (≈ 45 MV/m for Nb) where inevitable thermodynamic breakdown occurs. With state of the art Nb based cavity design fast approaching these theoretical limits, novel material innovations must be sought in order to realize next generation SRF cavities. One proposed method to improve SRF performance is to utilize thin film superconducting-insulating-superconducting (SIS) multilayer structures to effectively magnetically screen a bulk superconducting layer such that it can operate at higher field gradients before suffering critically detrimental SRF losses. This dissertation focuses on the production and characterization of thin film superconductors for such SIS layers for radio frequency applications. Correlated studies on structure, surface morphology and superconducting properties of epitaxial Nb and MgB2 thin films are presented.

  14. The optical properties of plasma polymerized polyaniline thin films

    Energy Technology Data Exchange (ETDEWEB)

    Goktas, Hilal, E-mail: hilal_goktas@yahoo.com [Canakkale Onsekiz Mart University, Physics Department, 17020 Canakkale (Turkey); Demircioglu, Zahide; Sel, Kivanc [Canakkale Onsekiz Mart University, Physics Department, 17020 Canakkale (Turkey); Gunes, Taylan [Yalova University, Energy Systems Engineering Department, 77100 Yalova (Turkey); Kaya, Ismet [Canakkale Onsekiz Mart University, Chemistry Department, 17020 Canakkale (Turkey)

    2013-12-02

    We report herein the characterizations of polyaniline thin films synthesized using double discharge plasma system. Quartz glass substrates were coated at a pressure of 80 Pa, 19.0 kV pulsed and 1.5 kV dc potential. The substrates were located at different regions in the reactor to evaluate the influence of the position on the morphological and molecular structure of the obtained thin films. The molecular structure of the thin films was investigated by Fourier transform infrared (FTIR) and UV–visible photospectrometers (UV–vis), and the morphological studies were carried out by scanning electron microscope. The FTIR and UV–vis data revealed that the molecular structures of the synthesized thin films were in the form of leuocoemeraldine and exhibited similar structures with the films produced via chemical or electrochemical methods. The optical energy band gap values of the as-grown samples ranged from 2.5 to 3.1 eV, which indicated that these materials have potential applications in semiconductor devices. The refractive index in the transparent region (from 650 to 1000 nm) steadily decreased from 1.9 to 1.4 and the extinction coefficient was found to be on order of 10{sup −4}. The synthesized thin films showed various degrees of granular morphologies depending on the location of the substrate in the reactor. - Highlights: • Polyaniline thin films were synthesized for the first time via double discharge plasma system. • The films have similar structure to that of the chemically synthesized films. • The morphology of the films could be tuned by this technique. • These materials would have potential applications at semiconductor devices.

  15. Polymer surfaces, interfaces and thin films

    Energy Technology Data Exchange (ETDEWEB)

    Stamm, M [Max-Planck-Institut fuer Polymerforschung, Mainz (Germany)

    1996-11-01

    Neutron reflectometry can be used in various ways to investigate surfaces, interfaces and thin films of polymers. Its potential comes mostly from the possibilities offered by selective deuteration, where a particular component can be made visible with respect to its activity at the interface. In addition the depth resolution is much better than with most other direct techniques, and details of the profiles may be resolved. Several examples will be discussed including the segment diffusion at the interface between two polymer films, the determination of the narrow interfaces between incompatible polymer blends and the development of order in thin diblock copolymer films. (author) 10 figs., 2 tabs., 38 refs.

  16. Polymer surfaces, interfaces and thin films

    International Nuclear Information System (INIS)

    Stamm, M.

    1996-01-01

    Neutron reflectometry can be used in various ways to investigate surfaces, interfaces and thin films of polymers. Its potential comes mostly from the possibilities offered by selective deuteration, where a particular component can be made visible with respect to its activity at the interface. In addition the depth resolution is much better than with most other direct techniques, and details of the profiles may be resolved. Several examples will be discussed including the segment diffusion at the interface between two polymer films, the determination of the narrow interfaces between incompatible polymer blends and the development of order in thin diblock copolymer films. (author) 10 figs., 2 tabs., 38 refs

  17. Flush Mounting Of Thin-Film Sensors

    Science.gov (United States)

    Moore, Thomas C., Sr.

    1992-01-01

    Technique developed for mounting thin-film sensors flush with surfaces like aerodynamic surfaces of aircraft, which often have compound curvatures. Sensor mounted in recess by use of vacuum pad and materials selected for specific application. Technique involves use of materials tailored to thermal properties of substrate in which sensor mounted. Together with customized materials, enables flush mounting of thin-film sensors in most situations in which recesses for sensors provided. Useful in both aircraft and automotive industries.

  18. Solid surfaces, interfaces and thin films

    CERN Document Server

    Lüth, Hans

    2015-01-01

    This book emphasises both experimental and theoretical aspects of surface, interface and thin-film physics. As in previous editions the preparation of surfaces and thin films, their atomic and morphological structure, their vibronic and electronic properties as well as fundamentals of adsorption are treated. Because of their importance in modern information technology and nanostructure research, particular emphasis is paid to electronic surface and interface states, semiconductor space charge layers and heterostructures. A special chapter of the book is devoted to collective phenomena at interfaces and in thin films such as superconductivity and magnetism. The latter topic includes the meanwhile important issues giant magnetoresistance and spin-transfer torque mechanism, both effects being of high interest in information technology. In this new edition, for the first time, the effect of spin-orbit coupling on surface states is treated. In this context the class of the recently detected topological insulators,...

  19. Solid Surfaces, Interfaces and Thin Films

    CERN Document Server

    Lüth, Hans

    2010-01-01

    This book emphasises both experimental and theoretical aspects of surface, interface and thin film physics. As in previous editions the preparation of surfaces and thin films, their atomic and morphological, their vibronic and electronic properties as well as fundamentals of adsorption are treated. Because of their importance in modern information technology and nanostructure physics particular emphasis is paid to electronic surface and interface states, semiconductor space charge layers and heterostructures as well as to superconductor/semiconductor interfaces and magnetic thin films. The latter topic was significantly extended in this new edition by more details about the giant magnetoresistance and a section about the spin-transfer torque mechanism including one new problem as exercise. Two new panels about Kerr-effect and spin-polarized scanning tunnelling microscopy were added, too. Furthermore, the meanwhile important group III-nitride surfaces and high-k oxide/semiconductor interfaces are shortly discu...

  20. 12. International conference on thin films (ICTF 12). Book of Abstract

    International Nuclear Information System (INIS)

    Majkova, E.

    2002-09-01

    The publication has been set up as a proceedings of the conference dealing with thin films production and study of their properties. The conference was focused on the following topics: (1) Advanced deposition techniques; (2) Thin Film Growth; (3) Diagnostics, Structure - Properties Relationship; (4) Mechanical Properties and Stress; (5) Protective and Functional Coatings; (6) Micropatterning and Nanostructures; (7) EUV and Soft X-Ray Multilayers; (8) Magnetic Thin Films and Multilayers; (9) Organic Thin Films; (10) Thin Films for Electronics and Optics. In this proceedings totally 157 abstracts are published of which 126 are interest for INIS

  1. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  2. NbN thin films for superconducting radio frequency cavities

    Science.gov (United States)

    Roach, W. M.; Skuza, J. R.; Beringer, D. B.; Li, Z.; Clavero, C.; Lukaszew, R. A.

    2012-12-01

    NbN thin films have the potential to be incorporated into radio frequency cavities in a multilayer coating to overcome the fundamental field gradient limit of 50 MV m-1 for the bulk niobium based technology that is currently implemented in particle accelerators. In addition to having a larger critical field value than bulk niobium, NbN films develop smoother surfaces which are optimal for cavity performance and lead to fewer losses. Here, we present a study on the correlation of film deposition parameters, surface morphology, microstructure, transport properties and superconducting properties of NbN thin films. We have achieved films with bulk-like lattice parameters and superconducting transition temperatures. These NbN films have a lower surface roughness than similarly grown niobium films of comparable thickness. The potential application of NbN thin films in accelerator cavities is discussed.

  3. NbN thin films for superconducting radio frequency cavities

    International Nuclear Information System (INIS)

    Roach, W M; Clavero, C; Lukaszew, R A; Skuza, J R; Beringer, D B; Li, Z

    2012-01-01

    NbN thin films have the potential to be incorporated into radio frequency cavities in a multilayer coating to overcome the fundamental field gradient limit of 50 MV m −1 for the bulk niobium based technology that is currently implemented in particle accelerators. In addition to having a larger critical field value than bulk niobium, NbN films develop smoother surfaces which are optimal for cavity performance and lead to fewer losses. Here, we present a study on the correlation of film deposition parameters, surface morphology, microstructure, transport properties and superconducting properties of NbN thin films. We have achieved films with bulk-like lattice parameters and superconducting transition temperatures. These NbN films have a lower surface roughness than similarly grown niobium films of comparable thickness. The potential application of NbN thin films in accelerator cavities is discussed. (paper)

  4. Research Progress on Measurement Methods and Influence Factors of Thin-film Stress

    Directory of Open Access Journals (Sweden)

    MA Yibo

    2018-02-01

    Full Text Available With the size of thin-film electronic devices decreasing, the film stress became an important reason for the failure of thin film devices. Film stress not only affected the membrane structure, but also associated with film optics, electricity, mechanics and other properties, therefore film stress turned into one hot spot in the research field of thin-film materials. This paper reviewed the latest research progress of film stress, substrate curvature method, X-ray diffraction technique and Raman spectroscopy, several frequently used stress measuring techniques were compared and analyzed, and composition ratios of thin film, substrate types, magnetron sputtering process parameters (sputtering power, work pressure, substrate temperature and annealing etc. factors influencing thin film stress were summarized. It was found that substrate curvature method was suitable for measuring almost all kinds of thin film materials. X-ray diffraction and Raman spectroscopy were just fit for measuring materials with characteristic peaks. Nanoindentation method required extra stress-free samples as comparison experiments. During film fabrication and annealing process, film stress usually transited from compressive to tensile status, and several factors combined together could affect stress, so film stress could be reached the minimum value or even stress-free status through setting appropriate parameters. Finally, combined with film stress research status, accurate stress measurement methods for different materials as a thin-film stress research direction were introduced, and challenges in thin film detection range were pointed out.

  5. Magnetite thin films: A simulational approach

    International Nuclear Information System (INIS)

    Mazo-Zuluaga, J.; Restrepo, J.

    2006-01-01

    In the present work the study of the magnetic properties of magnetite thin films is addressed by means of the Monte Carlo method and the Ising model. We simulate LxLxd magnetite thin films (d being the film thickness and L the transversal linear dimension) with periodic boundary conditions along transversal directions and free boundary conditions along d direction. In our model, both the three-dimensional inverse spinel structure and the interactions scheme involving tetrahedral and octahedral sites have been considered in a realistic way. Results reveal a power-law dependence of the critical temperature with the film thickness accordingly by an exponent ν=0.81 and ruled out by finite-size scaling theory. Estimates for the critical exponents of the magnetization and the specific heat are finally presented and discussed

  6. TI--CR--AL--O thin film resistors

    Science.gov (United States)

    Jankowski, Alan F.; Schmid, Anthony P.

    2000-01-01

    Thin films of Ti--Cr--Al--O are used as a resistor material. The films are rf sputter deposited from ceramic targets using a reactive working gas mixture of Ar and O.sub.2. Resistivity values from 10.sup.4 to 10.sup.10 Ohm-cm have been measured for Ti--Cr--Al--O film Ti--Cr--Al--O as a thin film resistor has been found to be thermodynamically stable, unlike other metal-oxide films. The Ti--Cr--Al--O film can be used as a vertical or lateral resistor, for example, as a layer beneath a field emission cathode in a flat panel display; or used to control surface emissivity, for example, as a coating on an insulating material such as vertical wall supports in flat panel displays.

  7. Voltage transients in thin-film InSb Hall sensor

    Directory of Open Access Journals (Sweden)

    Alexey Bardin

    Full Text Available The work is reached to study temperature transients in thin-film Hall sensors. We experimentally study InSb thin-film Hall sensor. We find transients of voltage with amplitude about 10 μV on the sensor ports after current switching. We demonstrate by direct measurements that the transients is caused by thermo-e.m.f., and both non-stationarity and heterogeneity of temperature in the film. We find significant asymmetry of temperature field for different direction of the current, which is probably related to Peltier effect. The result can be useful for wide range of scientist who works with switching of high density currents in any thin semiconductor films. 2000 MSC: 41A05, 41A10, 65D05, 65D17, Keywords: Thin-films, Semiconductors, Hall sensor, InSb, thermo-e.m.f.

  8. Nanomechanical investigation of thin-film electroceramic/metal-organic framework multilayers

    Science.gov (United States)

    Best, James P.; Michler, Johann; Liu, Jianxi; Wang, Zhengbang; Tsotsalas, Manuel; Maeder, Xavier; Röse, Silvana; Oberst, Vanessa; Liu, Jinxuan; Walheim, Stefan; Gliemann, Hartmut; Weidler, Peter G.; Redel, Engelbert; Wöll, Christof

    2015-09-01

    Thin-film multilayer stacks of mechanically hard magnetron sputtered indium tin oxide (ITO) and mechanically soft highly porous surface anchored metal-organic framework (SURMOF) HKUST-1 were studied using nanoindentation. Crystalline, continuous, and monolithic surface anchored MOF thin films were fabricated using a liquid-phase epitaxial growth method. Control over respective fabrication processes allowed for tuning of the thickness of the thin film systems with a high degree of precision. It was found that the mechanical indentation of such thin films is significantly affected by the substrate properties; however, elastic parameters were able to be decoupled for constituent thin-film materials (EITO ≈ 96.7 GPa, EHKUST-1 ≈ 22.0 GPa). For indentation of multilayer stacks, it was found that as the layer thicknesses were increased, while holding the relative thickness of ITO and HKUST-1 constant, the resistance to deformation was significantly altered. Such an observation is likely due to small, albeit significant, changes in film texture, interfacial roughness, size effects, and controlling deformation mechanism as a result of increasing material deposition during processing. Such effects may have consequences regarding the rational mechanical design and utilization of MOF-based hybrid thin-film devices.

  9. Dynamic studies of nano-confined polymer thin films

    Science.gov (United States)

    Geng, Kun

    Polymer thin films with the film thickness (h0 ) below 100 nm often exhibit physical properties different from the bulk counterparts. In order to make the best use of polymer thin films in applications, it is important to understand the physical origins of these deviations. In this dissertation, I will investigate how different factors influence dynamic properties of polymer thin films upon nano-confinement, including glass transition temperature (Tg), effective viscosity (etaeff) and self-diffusion coefficient (D ). The first part of this dissertation concerns the impacts of the molecular weight (MW) and tacticity on the Tg's of nano-confined polymer films. Previous experiments showed that the Tg of polymer films could be depressed or increased as h0 decreases. While these observations are usually attributed to the effects of the interfaces, some experiments suggested that MW's and tacticities might also play a role. To understand the effects of these factors, the Tg's of silica-based poly(alpha-methyl styrene) (PalphaMS/SiOx) and poly(methyl methacrylate) (PMMA/SiOx) thin films were studied, and the results suggested that MW's and tacticities influence Tg in nontrivial ways. The second part concerns an effort to resolve the long-standing controversy about the correlation between different dynamics of polymer thin films upon nano-confinement. Firstly, I discuss the experimental results of Tg, D and etaeff of poly(isobutyl methacrylate) films supported by silica (PiBMA/SiOx). Both T g and D were found to be independent of h 0, but etaeff decreased with decreasing h 0. Since both D and etaeff describe transport phenomena known to depend on the local friction coefficient or equivalently the local viscosity, it is questionable why D and etaeff displayed seemingly inconsistent h 0 dependencies. We envisage the different h0 dependencies to be caused by Tg, D and etaeff being different functions of the local T g's (Tg,i) or viscosities (eta i). By assuming a three

  10. Mesoscale simulations of confined Nafion thin films

    Science.gov (United States)

    Vanya, P.; Sharman, J.; Elliott, J. A.

    2017-12-01

    The morphology and transport properties of thin films of the ionomer Nafion, with thicknesses on the order of the bulk cluster size, have been investigated as a model system to explain the anomalous behaviour of catalyst/electrode-polymer interfaces in membrane electrode assemblies. We have employed dissipative particle dynamics (DPD) to investigate the interaction of water and fluorocarbon chains, with carbon and quartz as confining materials, for a wide range of operational water contents and film thicknesses. We found confinement-induced clustering of water perpendicular to the thin film. Hydrophobic carbon forms a water depletion zone near the film interface, whereas hydrophilic quartz results in a zone with excess water. There are, on average, oscillating water-rich and fluorocarbon-rich regions, in agreement with experimental results from neutron reflectometry. Water diffusivity shows increasing directional anisotropy of up to 30% with decreasing film thickness, depending on the hydrophilicity of the confining material. A percolation analysis revealed significant differences in water clustering and connectivity with the confining material. These findings indicate the fundamentally different nature of ionomer thin films, compared to membranes, and suggest explanations for increased ionic resistances observed in the catalyst layer.

  11. Compositional ratio effect on the surface characteristics of CuZn thin films

    Science.gov (United States)

    Choi, Ahrom; Park, Juyun; Kang, Yujin; Lee, Seokhee; Kang, Yong-Cheol

    2018-05-01

    CuZn thin films were fabricated by RF co-sputtering method on p-type Si(100) wafer with various RF powers applied on metallic Cu and Zn targets. This paper aimed to determine the morphological, chemical, and electrical properties of the deposited CuZn thin films by utilizing a surface profiler, atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES), UV photoelectron spectroscopy (UPS), and a 4-point probe. The thickness of the thin films was fixed at 200 ± 8 nm and the roughness of the thin films containing Cu was smaller than pure Zn thin films. XRD studies confirmed that the preferred phase changed, and this tendency is dependent on the ratio of Cu to Zn. AES spectra indicate that the obtained thin films consisted of Cu and Zn. The high resolution XPS spectra indicate that as the content of Cu increased, the intensities of Zn2+ decreased. The work function of CuZn thin films increased from 4.87 to 5.36 eV. The conductivity of CuZn alloy thin films was higher than pure metallic thin films.

  12. Fabrication and Film Qualification of Sr Modified Pb(Ca) TiO3 Thin Films

    International Nuclear Information System (INIS)

    Naw Hla Myat San; Khin Aye Thwe; Than Than Win; Yin Maung Maung; Ko Ko Kyaw Soe

    2011-12-01

    Strontium and calcium - modified lead titanate (Pb0.7 Ca0.15 Sr0.15 ) TiO3 (PCST)thin films were prepared by using spin coating technique. Phase transition of PCST was interpreted by means of Er-T characteristics. Process temperature dependence on micro-structure of PCST film was studied. Charge conduction mechanism of PCST thin film was also investigated for film qualification.

  13. Thermal properties and stabilities of polymer thin films

    International Nuclear Information System (INIS)

    Kanaya, Toshiji; Kawashima, Kazuko; Inoue, Rintaro; Miyazaki, Tsukasa

    2009-01-01

    Recent extensive studies have revealed that polymer thin films showed very interesting but unusual thermal properties and stabilities. In the article we show that X-ray reflectivity and neutron reflectivity are very powerful tools to study the anomalous properties of polymer thin films. (author)

  14. Liquid crystals for organic thin-film transistors

    Science.gov (United States)

    Iino, Hiroaki; Usui, Takayuki; Hanna, Jun-Ichi

    2015-04-01

    Crystalline thin films of organic semiconductors are a good candidate for field effect transistor (FET) materials in printed electronics. However, there are currently two main problems, which are associated with inhomogeneity and poor thermal durability of these films. Here we report that liquid crystalline materials exhibiting a highly ordered liquid crystal phase of smectic E (SmE) can solve both these problems. We design a SmE liquid crystalline material, 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-10), for FETs and synthesize it. This material provides uniform and molecularly flat polycrystalline thin films reproducibly when SmE precursor thin films are crystallized, and also exhibits high durability of films up to 200 °C. In addition, the mobility of FETs is dramatically enhanced by about one order of magnitude (over 10 cm2 V-1 s-1) after thermal annealing at 120 °C in bottom-gate-bottom-contact FETs. We anticipate the use of SmE liquid crystals in solution-processed FETs may help overcome upcoming difficulties with novel technologies for printed electronics.

  15. Aluminosilicate glass thin films elaborated by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Carlier, Thibault [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Saitzek, Sébastien [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Méar, François O., E-mail: francois.mear@univ-lille1.fr [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Blach, Jean-François; Ferri, Anthony [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Huvé, Marielle; Montagne, Lionel [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France)

    2017-03-01

    Highlights: • Successfully deposition of a glassy thin film by PLD. • A good homogeneity and stoichiometry of the coating. • Influence of the deposition temperature on the glassy thin-film structure. - Abstract: In the present work, we report the elaboration of aluminosilicate glass thin films by Pulsed Laser Deposition at various temperatures deposition. The amorphous nature of glass thin films was highlighted by Grazing Incidence X-Ray Diffraction and no nanocristallites were observed in the glassy matrix. Chemical analysis, obtained with X-ray Photoelectron Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy, showed a good transfer and homogeneous elementary distribution with of chemical species from the target to the film a. Structural studies performed by Infrared Spectroscopy showed that the substrate temperature plays an important role on the bonding configuration of the layers. A slight shift of Si-O modes to larger wavenumber was observed with the synthesis temperature, assigned to a more strained sub-oxide network. Finally, optical properties of thins film measured by Spectroscopic Ellipsometry are similar to those of the bulk aluminosilicate glass, which indicate a good deposition of aluminosilicate bulk glass.

  16. Research progress of VO2 thin film as laser protecting material

    Science.gov (United States)

    Liu, Zhiwei; Lu, Yuan; Hou, Dianxin

    2018-03-01

    With the development of laser technology, the battlefield threat of directional laser weapons is becoming more and more serious. The blinding and destruction caused by laser weapons on the photoelectric equipment is an important part of the current photo-electronic warfare. The research on the defense technology of directional laser weapons based on the phase transition characteristics of VO2 thin films is an important subject. The researches of VO2 thin films are summarized based on review these points: the preparation methods of VO2 thin films, phase transition mechanism, phase transition temperature regulating, interaction between VO2 thin films and laser, and the application prospect of vo2 thin film as laser protecting material. This paper has some guiding significance for further research on the VO2 thin films in the field of defense directional laser weapons.

  17. Cellulose triacetate, thin film dielectric capacitor

    Science.gov (United States)

    Yen, Shiao-Ping S. (Inventor); Jow, T. Richard (Inventor)

    1995-01-01

    Very thin films of cellulose triacetate are cast from a solution containing a small amount of high boiling temperature, non-solvent which evaporates last and lifts the film from the casting surface. Stretched, oriented, crystallized films have high electrical breakdown properties. Metallized films less than about 2 microns in thickness form self-healing electrodes for high energy density, pulsed power capacitors. Thicker films can be utilized as a dielectric for a capacitor.

  18. Size effects in thin films

    CERN Document Server

    Tellier, CR; Siddall, G

    1982-01-01

    A complete and comprehensive study of transport phenomena in thin continuous metal films, this book reviews work carried out on external-surface and grain-boundary electron scattering and proposes new theoretical equations for transport properties of these films. It presents a complete theoretical view of the field, and considers imperfection and impurity effects.

  19. Magnetostrictive thin films prepared by RF sputtering

    International Nuclear Information System (INIS)

    Carabias, I.; Martinez, A.; Garcia, M.A.; Pina, E.; Gonzalez, J.M.; Hernando, A.; Crespo, P.

    2005-01-01

    Fe 80 B 20 thin films have been prepared by ion beam sputtering magnetron on room temperature. The films were fabricated on different substrates to compare the different magnetic and structural properties. In particular the growth of films on flexible substrates (PDMS, Kapton) has been studied to allow a simple integration of the system in miniaturized magnetostrictive devices. X-ray diffraction patterns indicate that films are mainly amorphous although the presence of some Fe nanoparticles cannot be ruled out. The coercive field of thin films ranges between 15 and 35 Oe, depending on substrate. Magnetostriction measurements indicate the strong dependence of the saturation magnetostriction with the substrate. Samples on flexible substrates exhibit a better performance than samples deposited onto glass substrates

  20. Film-thickness dependence of structure formation in ultra-thin polymer blend films

    CERN Document Server

    Gutmann, J S; Stamm, M

    2002-01-01

    We investigated the film-thickness dependence of structure formation in ultra-thin polymer blend films prepared from solution. As a model system we used binary blends of statistical poly(styrene-co-p-bromostyrene) copolymers of different degrees of bromination. Ultra-thin-film samples differing in miscibility and film thickness were prepared via spin coating of common toluene solutions onto silicon (100) substrates. The resulting morphologies were investigated with scanning force microscopy, reflectometry and grazing-incidence scattering techniques using both X-rays and neutrons in order to obtain a picture of the sample structure at and below the sample surface. (orig.)

  1. Visualizing Nanoscopic Topography and Patterns in Freely Standing Thin Films

    Science.gov (United States)

    Yilixiati, Subinuer; Zhang, Yiran; Pearsall, Collin; Sharma, Vivek

    Thin liquid films containing micelles, nanoparticles, polyelectrolyte-surfactant complexes and smectic liquid crystals undergo thinning in a discontinuous, step-wise fashion. The discontinuous jumps in thickness are often characterized by quantifying changes in the intensity of reflected monochromatic light, modulated by thin film interference from a region of interest. Stratifying thin films exhibit a mosaic pattern in reflected white light microscopy, attributed to the coexistence of domains with various thicknesses, separated by steps. Using Interferometry Digital Imaging Optical Microscopy (IDIOM) protocols developed in the course of this study, we spatially resolve for the first time, the landscape of stratifying freestanding thin films. In particular, for thin films containing micelles of sodium dodecyl sulfate (SDS), discontinuous, thickness transitions with concentration-dependent steps of 5-25 nm are visualized and analyzed using IDIOM protocols. We distinguish nanoscopic rims, mesas and craters and show that the non-flat features are sculpted by oscillatory, periodic, supramolecular structural forces that arise in confined fluids

  2. Polarized Neutron Reflectivity Simulation of Ferromagnet/ Antiferromagnet Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Ki Yeon; Lee, Jeong Soo

    2008-02-15

    This report investigates the current simulating and fitting programs capable of calculating the polarized neutron reflectivity of the exchange-biased ferromagnet/antiferromagnet magnetic thin films. The adequate programs are selected depending on whether nonspin flip and spin flip reflectivities of magnetic thin films and good user interface are available or not. The exchange-biased systems such as Fe/Cr, Co/CoO, CoFe/IrMn/Py thin films have been simulated successfully with selected programs.

  3. High-throughput characterization of film thickness in thin film materials libraries by digital holographic microscopy

    International Nuclear Information System (INIS)

    Lai Yiuwai; Hofmann, Martin R; Ludwig, Alfred; Krause, Michael; Savan, Alan; Thienhaus, Sigurd; Koukourakis, Nektarios

    2011-01-01

    A high-throughput characterization technique based on digital holography for mapping film thickness in thin-film materials libraries was developed. Digital holographic microscopy is used for fully automatic measurements of the thickness of patterned films with nanometer resolution. The method has several significant advantages over conventional stylus profilometry: it is contactless and fast, substrate bending is compensated, and the experimental setup is simple. Patterned films prepared by different combinatorial thin-film approaches were characterized to investigate and demonstrate this method. The results show that this technique is valuable for the quick, reliable and high-throughput determination of the film thickness distribution in combinatorial materials research. Importantly, it can also be applied to thin films that have been structured by shadow masking.

  4. High-throughput characterization of film thickness in thin film materials libraries by digital holographic microscopy.

    Science.gov (United States)

    Lai, Yiu Wai; Krause, Michael; Savan, Alan; Thienhaus, Sigurd; Koukourakis, Nektarios; Hofmann, Martin R; Ludwig, Alfred

    2011-10-01

    A high-throughput characterization technique based on digital holography for mapping film thickness in thin-film materials libraries was developed. Digital holographic microscopy is used for fully automatic measurements of the thickness of patterned films with nanometer resolution. The method has several significant advantages over conventional stylus profilometry: it is contactless and fast, substrate bending is compensated, and the experimental setup is simple. Patterned films prepared by different combinatorial thin-film approaches were characterized to investigate and demonstrate this method. The results show that this technique is valuable for the quick, reliable and high-throughput determination of the film thickness distribution in combinatorial materials research. Importantly, it can also be applied to thin films that have been structured by shadow masking.

  5. Ultra-thin zirconia films on Zr-alloys

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Joong Il Jake; Mayr-Schmoelzer, Wernfried; Mittendorfer, Florian; Redinger, Josef; Diebold, Ulrike; Schmid, Michael [Institute of Applied Physics, Vienna University of Technology (Austria); Li, Hao; Rupprechter, Guenther [Institute of Materials Chemistry, Vienna University of Technology (Austria)

    2014-07-01

    Zirconia ultra-thin films have been prepared by oxidation of Pt{sub 3}Zr(0001) and showed a structure equivalent to (111) of cubic zirconia. Following previous work, we have prepared ultra-thin zirconia by oxidation of a different alloy, Pd{sub 3}Zr(0001), which resulted in a similar structure with a slightly different lattice parameter, 351.2 ±0.4 pm. Unlike the oxide on Pt{sub 3}Zr, where Zr of the oxide binds to Pt in the substrate, here the oxide binds to substrate Zr via oxygen. This causes stronger distortion of the oxide structure, i.e. a stronger buckling of Zr in the oxide. After additional oxidation of ZrO{sub 2}/Pt{sub 3}Zr, a different ultra-thin zirconia phase is observed. A preliminary structure model for this film is based on (113)-oriented cubic zirconia. 3D oxide clusters are also present after growing ultra-thin zirconia films. They occur at the step edges, and the density is higher on Pd{sub 3}Zr. These clusters also appear on terraces after additional oxidation. XPS reveals different core level shifts of the oxide films, bulk, and oxide clusters.

  6. Highly coercive thin-film nanostructures

    International Nuclear Information System (INIS)

    Zhou, J.; Skomski, R.; Kashyap, A.; Sorge, K.D.; Sui, Y.; Daniil, M.; Gao, L.; Yan, M.L.; Liou, S.-H.; Kirby, R.D.; Sellmyer, D.J.

    2005-01-01

    The processing, structure, and magnetism of highly coercive Sm-Co and FePt thin-film nanostructures are investigated. The structures include 1:5 based Sm-Co-Cu-Ti magnets, particulate FePt:C thin films, and FePt nanotubes. As in other systems, the coercivity depends on texture and imperfections, but there are some additional features. A specific coercivity mechanism in particulate media is a discrete pinning mode intermediate between Stoner-Wohlfarth rotation and ordinary domain-wall pinning. This mechanism yields a coercivity maximum for intermediate intergranular exchange and explains the occurrence of coercivities of 5 T in particulate Sm-Co-Cu-Ti magnets

  7. Structural characterization of vacuum evaporated ZnSe thin films

    Indian Academy of Sciences (India)

    The lattice parameter, grain size, average internal stress, microstrain, dislocation density and degree of pre- ferred orientation in the film are calculated and correlated with Ts. Keywords. ZnSe thin films; X-ray diffraction; average internal stress; microstrain; dislocation density. 1. Introduction. Thin films of ZnSe has attracted ...

  8. Investigation of ferromagnetism in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Krockenberger, Yoshiharu; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Suter, Andreas [PSI, Villingen (Switzerland); Wilhelm, Fabrice; Rogalev, Andrei [ESRF, Grenoble (France)

    2008-07-01

    Oxygen deficient thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. RF-activated oxygen was used for the in situ oxidation of hafnium oxide thin films. Oxidation conditions were varied substantially in order to create oxygen deficiency in hafnium oxide films intentionally. The films were characterized by X-ray and magnetic measurements. X-ray diffraction studies show an increase in lattice parameter with increasing oxygen deficiency. Oxygen deficient hafnium oxide thin films also showed a decreasing bandgap with increase in oxygen deficiency. The magnetisation studies carried out with SQUID did not show any sign of ferromagnetism in the whole oxygen deficiency range. X-ray magnetic circular dichroism measurements also confirmed the absence of ferromagnetism in oxygen deficient hafnium oxide thin films.

  9. Porous Zinc Oxide Thin Films: Synthesis Approaches and Applications

    Directory of Open Access Journals (Sweden)

    Marco Laurenti

    2018-02-01

    Full Text Available Zinc oxide (ZnO thin films have been widely investigated due to their multifunctional properties, i.e., catalytic, semiconducting and optical. They have found practical use in a wide number of application fields. However, the presence of a compact micro/nanostructure has often limited the resulting material properties. Moreover, with the advent of low-dimensional ZnO nanostructures featuring unique physical and chemical properties, the interest in studying ZnO thin films diminished more and more. Therefore, the possibility to combine at the same time the advantages of thin-film based synthesis technologies together with a high surface area and a porous structure might represent a powerful solution to prepare ZnO thin films with unprecedented physical and chemical characteristics that may find use in novel application fields. Within this scope, this review offers an overview on the most successful synthesis methods that are able to produce ZnO thin films with both framework and textural porosities. Moreover, we discuss the related applications, mainly focused on photocatalytic degradation of dyes, gas sensor fabrication and photoanodes for dye-sensitized solar cells.

  10. The Characterization of Thin Film Nickel Titanium Shape Memory Alloys

    Science.gov (United States)

    Harris Odum, Nicole Latrice

    Shape memory alloys (SMA) are able to recover their original shape through the appropriate heat or stress exposure after enduring mechanical deformation at a low temperature. Numerous alloy systems have been discovered which produce this unique feature like TiNb, AgCd, NiAl, NiTi, and CuZnAl. Since their discovery, bulk scale SMAs have undergone extensive material property investigations and are employed in real world applications. However, its thin film counterparts have been modestly investigated and applied. Researchers have introduced numerous theoretical microelectromechanical system (MEMS) devices; yet, the research community's overall unfamiliarity with the thin film properties has delayed growth in this area. In addition, it has been difficult to outline efficient thin film processing techniques. In this dissertation, NiTi thin film processing and characterization techniques will be outlined and discussed. NiTi thin films---1 mum thick---were produced using sputter deposition techniques. Substrate bound thin films were deposited to analysis the surface using Scanning Electron Microscopy; the film composition was obtained using Energy Dispersive Spectroscopy; the phases were identified using X-ray diffraction; and the transformation temperatures acquired using resistivity testing. Microfabrication processing and sputter deposition were employed to develop tensile membranes for membrane deflection experimentation to gain insight on the mechanical properties of the thin films. The incorporation of these findings will aid in the movement of SMA microactuation devices from theory to fruition and greatly benefit industries such as medicinal and aeronautical.

  11. Evaluation of residual stress in sputtered tantalum thin-film

    Energy Technology Data Exchange (ETDEWEB)

    Al-masha’al, Asa’ad, E-mail: asaad.al@ed.ac.uk; Bunting, Andrew; Cheung, Rebecca

    2016-05-15

    Highlights: • Tantalum thin-films have been deposited by DC magnetron sputtering system. • Thin-film stress is observed to be strongly influenced by sputtering pressure. • Transition towards the compressive stress is ascribed to the annealing at 300 °C. • Expose thin-film to air ambient or ion bombardment lead to a noticeable change in the residual stress. - Abstract: The influence of deposition conditions on the residual stress of sputtered tantalum thin-film has been evaluated in the present study. Films have been deposited by DC magnetron sputtering and curvature measurement method has been employed to calculate the residual stress of the films. Transitions of tantalum film stress from compressive to tensile state have been observed as the sputtering pressure increases. Also, the effect of annealing process at temperature range of 90–300 °C in oxygen ambient on the residual stress of the films has been studied. The results demonstrate that the residual stress of the films that have been deposited at lower sputtering pressure has become more compressive when annealed at 300 °C. Furthermore, the impact of exposure to atmospheric ambient on the tantalum film stress has been investigated by monitoring the variation of the residual stress of both annealed and unannealed films over time. The as-deposited films have been exposed to pure Argon energy bombardment and as result, a high compressive stress has been developed in the films.

  12. Optical and electrical properties of chemical bath deposited cobalt sulphide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Govindasamy, Geetha [R& D Centre, Bharathiar University, Coimbatore (India); Murugasen, Priya, E-mail: priyamurugasen15@gmail.com [Department of Physics, Saveetha Engineering, Chennai, Tamil Nadu (India); Sagadevan, Suresh [Department of Physics, AMET University, Chennai, Tamil Nadu (India)

    2017-01-15

    Cobalt sulphide (CoS) thin films were synthesized using the Chemical Bath Deposition (CBD) technique. X-ray diffraction (XRD) analysis was used to study the structure and the crystallite size of CoS thin film. Scanning Electron Microscope (SEM) studies reveal the surface morphology of these films. The optical properties of the CoS thin films were determined using UV-Visible absorption spectrum. The optical band gap of the thin films was found to be 1.6 eV. Optical constants such as the refractive index, the extinction coefficient and the electric susceptibility were determined. The dielectric studies were carried out at different frequencies and at different temperatures for the prepared CoS thin films. In addition, the plasma energy of the valence electron, Penn gap or average energy gap, the Fermi energy and electronic polarizability of the thin films were determined. The AC electrical conductivity measurement was also carried out for the thin films. The activation energy was determined by using DC electrical conductivity measurement. (author)

  13. Electrochemical Deposition of Lanthanum Telluride Thin Films and Nanowires

    Science.gov (United States)

    Chi, Su (Ike); Farias, Stephen; Cammarata, Robert

    2013-03-01

    Tellurium alloys are characterized by their high performance thermoelectric properties and recent research has shown nanostructured tellurium alloys display even greater performance than bulk equivalents. Increased thermoelectric efficiency of nanostructured materials have led to significant interests in developing thin film and nanowire structures. Here, we report on the first successful electrodeposition of lanthanum telluride thin films and nanowires. The electrodeposition of lanthanum telluride thin films is performed in ionic liquids at room temperature. The synthesis of nanowires involves electrodepositing lanthanum telluride arrays into anodic aluminum oxide (AAO) nanoporous membranes. These novel procedures can serve as an alternative means of simple, inexpensive and laboratory-environment friendly methods to synthesize nanostructured thermoelectric materials. The thermoelectric properties of thin films and nanowires will be presented to compare to current state-of-the-art thermoelectric materials. The morphologies and chemical compositions of the deposited films and nanowires are characterized using SEM and EDAX analysis.

  14. Processing of thin SU-8 films

    International Nuclear Information System (INIS)

    Keller, Stephan; Blagoi, Gabriela; Lillemose, Michael; Haefliger, Daniel; Boisen, Anja

    2008-01-01

    This paper summarizes the results of the process optimization for SU-8 films with thicknesses ≤5 µm. The influence of soft-bake conditions, exposure dose and post-exposure-bake parameters on residual film stress, structural stability and lithographic resolution was investigated. Conventionally, the SU-8 is soft-baked after spin coating to remove the solvent. After the exposure, a post-exposure bake at a high temperature T PEB ≥ 90 °C is required to cross-link the resist. However, for thin SU-8 films this often results in cracking or delamination due to residual film stress. The approach of the process optimization is to keep a considerable amount of the solvent in the SU-8 before exposure to facilitate photo-acid diffusion and to increase the mobility of the monomers. The experiments demonstrate that a replacement of the soft-bake by a short solvent evaporation time at ambient temperature allows cross-linking of the thin SU-8 films even at a low T PEB = 50 °C. Fourier-transform infrared spectroscopy is used to confirm the increased cross-linking density. The low thermal stress due to the reduced T PEB and the improved structural stability result in crack-free structures and solve the issue of delamination. The knowledge of the influence of different processing parameters on the responses allows the design of optimized processes for thin SU-8 films depending on the specific application

  15. Altering properties of cerium oxide thin films by Rh doping

    International Nuclear Information System (INIS)

    Ševčíková, Klára; Nehasil, Václav; Vorokhta, Mykhailo; Haviar, Stanislav; Matolín, Vladimír

    2015-01-01

    Highlights: • Thin films of ceria doped by rhodium deposited by RF magnetron sputtering. • Concentration of rhodium has great impact on properties of Rh–CeO x thin films. • Intensive oxygen migration in films with low concentration of rhodium. • Oxygen migration suppressed in films with high amount of Rh dopants. - Abstract: Ceria containing highly dispersed ions of rhodium is a promising material for catalytic applications. The Rh–CeO x thin films with different concentrations of rhodium were deposited by RF magnetron sputtering and were studied by soft and hard X-ray photoelectron spectroscopies, Temperature programmed reaction and X-ray powder diffraction techniques. The sputtered films consist of rhodium–cerium mixed oxide where cerium exhibits a mixed valency of Ce 4+ and Ce 3+ and rhodium occurs in two oxidation states, Rh 3+ and Rh n+ . We show that the concentration of rhodium has a great influence on the chemical composition, structure and reducibility of the Rh–CeO x thin films. The films with low concentrations of rhodium are polycrystalline, while the films with higher amount of Rh dopants are amorphous. The morphology of the films strongly influences the mobility of oxygen in the material. Therefore, varying the concentration of rhodium in Rh–CeO x thin films leads to preparing materials with different properties

  16. Magnon dispersion in thin magnetic films

    International Nuclear Information System (INIS)

    Balashov, T; Wulfhekel, W; Buczek, P; Sandratskii, L; Ernst, A

    2014-01-01

    Although the dispersion of magnons has been measured in many bulk materials, few studies deal with the changes in the dispersion when the material is in the form of a thin film, a system that is of interest for applications. Here we review inelastic tunneling spectroscopy studies of magnon dispersion in Mn/Cu 3 Au(1 0 0) and present new studies on Co and Ni thin films on Cu(1 0 0). The dispersion in Mn and Co films closely follows the dispersion of bulk samples with negligible dependence on thickness. The lifetime of magnons depends slightly on film thickness, and decreases considerably as the magnon energy increases. In Ni/Cu(1 0 0) films the thickness dependence of dispersion is much more pronounced. The measurements indicate a considerable mode softening for thinner films. Magnon lifetimes decrease dramatically near the edge of the Brillouin zone due to a close proximity of the Stoner continuum. The experimental study is supported by first-principles calculations. (paper)

  17. Magnon dispersion in thin magnetic films.

    Science.gov (United States)

    Balashov, T; Buczek, P; Sandratskii, L; Ernst, A; Wulfhekel, W

    2014-10-01

    Although the dispersion of magnons has been measured in many bulk materials, few studies deal with the changes in the dispersion when the material is in the form of a thin film, a system that is of interest for applications. Here we review inelastic tunneling spectroscopy studies of magnon dispersion in Mn/Cu3Au(1 0 0) and present new studies on Co and Ni thin films on Cu(1 0 0). The dispersion in Mn and Co films closely follows the dispersion of bulk samples with negligible dependence on thickness. The lifetime of magnons depends slightly on film thickness, and decreases considerably as the magnon energy increases. In Ni/Cu(1 0 0) films the thickness dependence of dispersion is much more pronounced. The measurements indicate a considerable mode softening for thinner films. Magnon lifetimes decrease dramatically near the edge of the Brillouin zone due to a close proximity of the Stoner continuum. The experimental study is supported by first-principles calculations.

  18. Thin film description by wavelet coefficients statistics

    Czech Academy of Sciences Publication Activity Database

    Boldyš, Jiří; Hrach, R.

    2005-01-01

    Roč. 55, č. 1 (2005), s. 55-64 ISSN 0011-4626 Grant - others:GA UK(CZ) 173/2003 Institutional research plan: CEZ:AV0Z10750506 Keywords : thin films * wavelet transform * descriptors * histogram model Subject RIV: BD - Theory of Information Impact factor: 0.360, year: 2005 http://library.utia.cas.cz/separaty/2009/ZOI/boldys-thin film description by wavelet coefficients statistics .pdf

  19. In and Ga Codoped ZnO Film as a Front Electrode for Thin Film Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Duy Phong Pham

    2014-01-01

    Full Text Available Doped ZnO thin films have attracted much attention in the research community as front-contact transparent conducting electrodes in thin film silicon solar cells. The prerequisite in both low resistivity and high transmittance in visible and near-infrared region for hydrogenated microcrystalline or amorphous/microcrystalline tandem thin film silicon solar cells has promoted further improvements of this material. In this work, we propose the combination of major Ga and minor In impurities codoped in ZnO film (IGZO to improve the film optoelectronic properties. A wide range of Ga and In contents in sputtering targets was explored to find optimum optical and electrical properties of deposited films. The results show that an appropriate combination of In and Ga atoms in ZnO material, followed by in-air thermal annealing process, can enhance the crystallization, conductivity, and transmittance of IGZO thin films, which can be well used as front-contact electrodes in thin film silicon solar cells.

  20. Large Area Thin Film Silicon: Synergy between Displays and Solar Cells

    NARCIS (Netherlands)

    Schropp, R.E.I.

    2012-01-01

    Thin-film silicon technology has changed our society, owing to the rapid advance of its two major application fields in communication (thin-film displays) and sustainable energy (thin-film solar cells). Throughout its development, advances in these application fields have always benefitted each

  1. Cell adhesion to cathodic arc plasma deposited CrAlSiN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun Kyu, E-mail: skim@ulsan.ac.kr [School of Materials Science and Engineering, University of Ulsan, Ulsan 680-749 (Korea, Republic of); Pham, Vuong-Hung [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Kim, Chong-Hyun [Department of Food Science, Cornell University, Ithaca, NY 14853 (United States)

    2012-07-01

    Osteoblast cell response (cell adhesion, actin cytoskeleton and focal contact adhesion as well as cell proliferation) to CrN, CrAlSiN and Ti thin films was evaluated in vitro. Cell adhesion and actin stress fibers organization depended on the film composition significantly. Immunofluorescent staining of vinculin in osteoblast cells showed good focal contact adhesion on the CrAlSiN and Ti thin films but not on the CrN thin films. Cell proliferation was significantly greater on the CrAlSiN thin films as well as on Ti thin films than on the CrN thin films.

  2. Photovoltaics: tests of thin-film technologies. 6 thin-film technologies in 3 different BIPV modes compared in a real outdoor performance test; PV-ThinFilmTest. 6 thin-film technologies in 3 different BIPV modes compared in a real outdoor performance test

    Energy Technology Data Exchange (ETDEWEB)

    Frei, R.; Meier, Ch.

    2005-07-01

    This final report for the Swiss Federal Office of Energy (SFOE) presents the results of a comparison made between six types of thin-film, building-integrated photovoltaic (BIPV) technologies used in three different modes of building-integration. More than 450 thin-film modules including amorphous silicon and CIS technologies were monitored. Each type of module was installed in three different modes: inclined (20{sup o}), flat with free back air flow, and flat with thermal back insulation. The performance of these commercially available thin-film BIPV systems was monitored using an extensive monitoring program. Additionally, three mono-crystalline PV arrays allowed direct comparison of the technologies. The results of the monitoring work are presented and further work to be done is discussed, including the monitoring of possible long-term degradation.

  3. Polymer thin film as coating layer to prevent corrosion of metal/metal oxide film

    Science.gov (United States)

    Sarkar, Suman; Kundu, Sarathi

    2018-04-01

    Thin film of polymer is used as coating layer and the corrosion of metal/metal oxide layer is studied with the variation of the thickness of the coating layer. The thin layer of polystyrene is fabricated using spin coating method on copper oxide (CuO) film which is deposited on glass substrate using DC magnetron sputtering technique. Thickness of the polystyrene and the CuO layers are determined using X-ray reflectivity (XRR) technique. CuO thin films coated with the polystyrene layer are exposed to acetic acid (2.5 v/v% aqueous CH3COOH solution) environments and are subsequently analyzed using UV-Vis spectroscopy and atomic force microscopy (AFM). Surface morphology of the film before and after interaction with the acidic environment is determined using AFM. Results obtained from the XRR and UV-Vis spectroscopy confirm that the thin film of polystyrene acts as an anticorrosion coating layer and the strength of the coating depends upon the polymer layer thickness at a constant acid concentration.

  4. Flexible thin film magnetoimpedance sensors

    International Nuclear Information System (INIS)

    Kurlyandskaya, G.V.; Fernández, E.; Svalov, A.; Burgoa Beitia, A.; García-Arribas, A.; Larrañaga, A.

    2016-01-01

    Magnetically soft thin film deposited onto polymer substrates is an attractive option for flexible electronics including magnetoimpedance (MI) applications. MI FeNi/Ti based thin film sensitive elements were designed and prepared using the sputtering technique by deposition onto rigid and flexible substrates at different deposition rates. Their structure, magnetic properties and MI were comparatively analyzed. The main structural features were sufficiently accurately reproduced in the case of deposition onto cyclo olefine polymer substrates compared to glass substrates for the same conditions. Although for the best condition (28 nm/min rate) of the deposition onto polymer a significant reduction of the MI field sensitivity was found satisfactory for sensor applications sensitivity: 45%/Oe was obtained for a frequency of 60 MHz. - Highlights: • [FeNi/Ti] 3 /Cu/[FeNi/Ti] 3 films were prepared by sputtering at different deposition rates. • Polymer substrates insure sufficiently accurate reproducibility of the film structure. • High deposition rate of 28 nm/min insures the highest values of the magnetoimpedance sensitivity. • Deposition onto polymer results in the satisfactory magnetoimpedance sensitivity of 45%/Oe.

  5. Flexible thin film magnetoimpedance sensors

    Energy Technology Data Exchange (ETDEWEB)

    Kurlyandskaya, G.V., E-mail: galina@we.lc.ehu.es [Universidad del País Vasco, UPV/EHU, Departamento de Electricidad y Electrónica, P.O. Box 644, Bilbao 48080 (Spain); Ural Federal University, Laboratory of Magnetic sensoric, Lenin Ave. 51, 620083 Ekaterinburg (Russian Federation); Fernández, E. [BCMaterials UPV-EHU, Vizcaya Science and Technology Park, 48160 Derio (Spain); Svalov, A. [Universidad del País Vasco, UPV/EHU, Departamento de Electricidad y Electrónica, P.O. Box 644, Bilbao 48080 (Spain); Ural Federal University, Laboratory of Magnetic sensoric, Lenin Ave. 51, 620083 Ekaterinburg (Russian Federation); Burgoa Beitia, A. [Universidad del País Vasco, UPV/EHU, Departamento de Electricidad y Electrónica, P.O. Box 644, Bilbao 48080 (Spain); García-Arribas, A. [Universidad del País Vasco, UPV/EHU, Departamento de Electricidad y Electrónica, P.O. Box 644, Bilbao 48080 (Spain); BCMaterials UPV-EHU, Vizcaya Science and Technology Park, 48160 Derio (Spain); Larrañaga, A. [SGIker, Servicios Generales de Investigación, Universidad del País Vasco (UPV/EHU), 48080 Bilbao (Spain)

    2016-10-01

    Magnetically soft thin film deposited onto polymer substrates is an attractive option for flexible electronics including magnetoimpedance (MI) applications. MI FeNi/Ti based thin film sensitive elements were designed and prepared using the sputtering technique by deposition onto rigid and flexible substrates at different deposition rates. Their structure, magnetic properties and MI were comparatively analyzed. The main structural features were sufficiently accurately reproduced in the case of deposition onto cyclo olefine polymer substrates compared to glass substrates for the same conditions. Although for the best condition (28 nm/min rate) of the deposition onto polymer a significant reduction of the MI field sensitivity was found satisfactory for sensor applications sensitivity: 45%/Oe was obtained for a frequency of 60 MHz. - Highlights: • [FeNi/Ti]{sub 3}/Cu/[FeNi/Ti]{sub 3} films were prepared by sputtering at different deposition rates. • Polymer substrates insure sufficiently accurate reproducibility of the film structure. • High deposition rate of 28 nm/min insures the highest values of the magnetoimpedance sensitivity. • Deposition onto polymer results in the satisfactory magnetoimpedance sensitivity of 45%/Oe.

  6. Optimized grid design for thin film solar panels

    NARCIS (Netherlands)

    Deelen, J. van; Klerk, L.; Barink, M.

    2014-01-01

    There is a gap in efficiency between record thin film cells and mass produced thin film solar panels. In this paper we quantify the effect of monolithic integration on power output for various configurations by modeling and present metallization as a way to improve efficiency of solar panels. Grid

  7. Thermal stability of gold-PS nanocomposites thin films

    Indian Academy of Sciences (India)

    Low-temperature transmission electron microscopy (TEM) studies were performed on polystyrene (PS, w = 234 K) – Au nanoparticle composite thin films that were annealed up to 350°C under reduced pressure conditions. The composite thin films were prepared by wet chemical approach and the samples were then ...

  8. Quantitative analysis of tear film fluorescence and discomfort during tear film instability and thinning.

    Science.gov (United States)

    Begley, Carolyn; Simpson, Trefford; Liu, Haixia; Salvo, Eliza; Wu, Ziwei; Bradley, Arthur; Situ, Ping

    2013-04-12

    The purpose of this study was to test the association between tear film fluorescence changes during tear break-up (TBU) or thinning and the concurrent ocular sensory response. Sixteen subjects kept one eye open as long as possible (MBI), indicated their discomfort level continuously, and rated ocular sensations of irritation, stinging, burning, pricking, and cooling using visual analog scales (VAS). Fluorescence of the tear film was quantified by a pixel-based analysis of the median pixel intensity (PI), TBU, and percentage of dark pixels (DarkPix) over time. A cutoff of 5% TBU was used to divide subjects into either break-up (BU) or minimal break-up (BUmin) groups. Tear film fluorescence decreased (median PI) and the percentage of TBU and DarkPix increased in all trials, with the rate significantly greater in the BU than the BUmin group (Mann-Whitney U test, P film thinning best explains decreasing tear film fluorescence during trials. This was highly correlated with increasing ocular discomfort, suggesting that both tear film thinning and TBU stimulate underlying corneal nerves, although TBU produced more rapid stimulation. Slow increases in tear film hyperosmolarity may cause the gradual increase in discomfort during slow tear film thinning, whereas the sharp increases in discomfort during TBU suggest a more complex stimulus.

  9. Thin films for the manipulation of light

    International Nuclear Information System (INIS)

    Piegari, Angela; Sytchkova, Anna

    2015-01-01

    The manipulation of light is typically accomplished by a series of optical surfaces on which the incident beam is reflected, or through which the beam is transmitted. Thin film coatings help to modify the behavior of such surfaces for obtaining the desired result: antireflection coatings to reduce reflection losses, high-reflectance mirrors, filters to divide or combine beams of different wavelengths, and many other types. The amount of light that is transmitted or reflected depends on the optical parameters of the materials and on interference phenomena in thin-film structures. Dedicated software is available to design the proper coating for each requirement. There are several applications of optical thin films, many of them are useful in the everyday life, many others are dedicated to scientific purposes, as will be described in this paper [it

  10. Unidirectional oxide hetero-interface thin-film diode

    International Nuclear Information System (INIS)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee; Kim, Youn Sang

    2015-01-01

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10 5 at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10 2  Hz < f < 10 6  Hz, providing a high feasibility for practical applications

  11. Unidirectional oxide hetero-interface thin-film diode

    Energy Technology Data Exchange (ETDEWEB)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Youn Sang, E-mail: younskim@snu.ac.kr [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Advanced Institute of Convergence Technology, Gyeonggi-do 443-270 (Korea, Republic of)

    2015-10-05

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10{sup 5} at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10{sup 2} Hz < f < 10{sup 6} Hz, providing a high feasibility for practical applications.

  12. Nanomechanical investigation of thin-film electroceramic/metal-organic framework multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Best, James P., E-mail: james.best@empa.ch, E-mail: engelbert.redel@kit.edu, E-mail: christof.woell@kit.edu; Michler, Johann; Maeder, Xavier [Empa, Swiss Federal Laboratories for Materials Science and Technology, Laboratory for Mechanics of Materials and Nanostructures, Feuerwerkerstrasse 39, CH-3602 Thun (Switzerland); Liu, Jianxi; Wang, Zhengbang; Tsotsalas, Manuel; Liu, Jinxuan; Gliemann, Hartmut; Weidler, Peter G.; Redel, Engelbert, E-mail: james.best@empa.ch, E-mail: engelbert.redel@kit.edu, E-mail: christof.woell@kit.edu; Wöll, Christof, E-mail: james.best@empa.ch, E-mail: engelbert.redel@kit.edu, E-mail: christof.woell@kit.edu [Institute of Functional Interfaces (IFG), Karlsruhe Institute of Technology (KIT), Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Röse, Silvana [Preparative Macromolecular Chemistry, Institute for Chemical Technology and Polymer Chemistry (ICTP), Karlsruhe Institute of Technology (KIT), Engesserstrasse 18, 76128 Karlsruhe (Germany); Institute for Biological Interfaces (IBG), Karlsruhe Institute of Technology (KIT), Herrmann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Oberst, Vanessa [Institute of Applied Materials (IAM), Karlsruhe Institute of Technology (KIT), Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Walheim, Stefan [Institute of Nanotechnology (INT), Karlsruhe Institute of Technology (KIT), Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany)

    2015-09-07

    Thin-film multilayer stacks of mechanically hard magnetron sputtered indium tin oxide (ITO) and mechanically soft highly porous surface anchored metal-organic framework (SURMOF) HKUST-1 were studied using nanoindentation. Crystalline, continuous, and monolithic surface anchored MOF thin films were fabricated using a liquid-phase epitaxial growth method. Control over respective fabrication processes allowed for tuning of the thickness of the thin film systems with a high degree of precision. It was found that the mechanical indentation of such thin films is significantly affected by the substrate properties; however, elastic parameters were able to be decoupled for constituent thin-film materials (E{sub ITO} ≈ 96.7 GPa, E{sub HKUST−1} ≈ 22.0 GPa). For indentation of multilayer stacks, it was found that as the layer thicknesses were increased, while holding the relative thickness of ITO and HKUST-1 constant, the resistance to deformation was significantly altered. Such an observation is likely due to small, albeit significant, changes in film texture, interfacial roughness, size effects, and controlling deformation mechanism as a result of increasing material deposition during processing. Such effects may have consequences regarding the rational mechanical design and utilization of MOF-based hybrid thin-film devices.

  13. Nanomechanical investigation of thin-film electroceramic/metal-organic framework multilayers

    International Nuclear Information System (INIS)

    Best, James P.; Michler, Johann; Maeder, Xavier; Liu, Jianxi; Wang, Zhengbang; Tsotsalas, Manuel; Liu, Jinxuan; Gliemann, Hartmut; Weidler, Peter G.; Redel, Engelbert; Wöll, Christof; Röse, Silvana; Oberst, Vanessa; Walheim, Stefan

    2015-01-01

    Thin-film multilayer stacks of mechanically hard magnetron sputtered indium tin oxide (ITO) and mechanically soft highly porous surface anchored metal-organic framework (SURMOF) HKUST-1 were studied using nanoindentation. Crystalline, continuous, and monolithic surface anchored MOF thin films were fabricated using a liquid-phase epitaxial growth method. Control over respective fabrication processes allowed for tuning of the thickness of the thin film systems with a high degree of precision. It was found that the mechanical indentation of such thin films is significantly affected by the substrate properties; however, elastic parameters were able to be decoupled for constituent thin-film materials (E ITO  ≈ 96.7 GPa, E HKUST−1  ≈ 22.0 GPa). For indentation of multilayer stacks, it was found that as the layer thicknesses were increased, while holding the relative thickness of ITO and HKUST-1 constant, the resistance to deformation was significantly altered. Such an observation is likely due to small, albeit significant, changes in film texture, interfacial roughness, size effects, and controlling deformation mechanism as a result of increasing material deposition during processing. Such effects may have consequences regarding the rational mechanical design and utilization of MOF-based hybrid thin-film devices

  14. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    International Nuclear Information System (INIS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-01-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ∝ 4.1 Aa), and low electrical resistivity (4.2 x 10 -4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained ''on/off'' current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 x 10 7 , 0.43 V/decade, 0.7 V, and 2.1 cm 2 /V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs. (orig.)

  15. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    International Nuclear Information System (INIS)

    Chen Huawei; Tieu, A. Kiet; Liu Qiang; Hagiwara, Ichiro; Lu Cheng

    2007-01-01

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters

  16. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen Huawei [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China) and Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)]. E-mail: chen_hua_wei@yahoo.com; Tieu, A. Kiet [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia); Liu Qiang [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China); Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo (Japan); Lu Cheng [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)

    2007-07-15

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters.

  17. PZT Thin-Film Micro Probe Device with Dual Top Electrodes

    Science.gov (United States)

    Luo, Chuan

    Lead zirconate titanate (PZT) thin-film actuators have been studied intensively for years because of their potential applications in many fields. In this dissertation, a PZT thin-film micro probe device is designed, fabricated, studied, and proven to be acceptable as an intracochlear acoustic actuator. The micro probe device takes the form of a cantilever with a PZT thin-film diaphragm at the tip of the probe. The tip portion of the probe will be implanted in cochlea later in animal tests to prove its feasibility in hearing rehabilitation. The contribution of the dissertation is three-fold. First, a dual top electrodes design, consisting of a center electrode and an outer electrode, is developed to improve actuation displacement of the PZT thin-film diaphragm. The improvement by the dual top electrodes design is studied via a finite element model. When the dimensions of the dual electrodes are optimized, the displacement of the PZT thin-film diaphragm increases about 30%. A PZT thin-film diaphragm with dual top electrodes is fabricated to prove the concept, and experimental results confirm the predictions from the finite element analyses. Moreover, the dual electrode design can accommodate presence of significant residual stresses in the PZT thin-film diaphragm by changing the phase difference between the two electrodes. Second, a PZT thin-film micro probe device is fabricated and tested. The fabrication process consists of PZT thin-film deposition and deep reactive ion etching (DRIE). The uniqueness of the fabrication process is an automatic dicing mechanism that allows a large number of probes to be released easily from the wafer. Moreover, the fabrication is very efficient, because the DRIE process will form the PZT thin-film diaphragm and the special dicing mechanism simultaneously. After the probes are fabricated, they are tested with various possible implantation depths (i.e., boundary conditions). Experimental results show that future implantation depths

  18. Soft Magnetic Multilayered Thin Films for HF Applications

    Science.gov (United States)

    Loizos, George; Giannopoulos, George; Serletis, Christos; Maity, Tuhin; Roy, Saibal; Lupu, Nicoleta; Kijima, Hanae; Yamaguchi, Masahiro; Niarchos, Dimitris

    Multilayered thin films from various soft magnetic materials were successfully prepared by magnetron sputtering in Ar atmosphere. The magnetic properties and microstructure were investigated. It is found that the films show good soft magnetic properties: magnetic coercivity of 1-10 Oe and saturation magnetization higher than 1T. The initial permeability of the films is greater than 300 and flattens up to 600 MHz. The multilayer thin film properties in combination with their easy, fast and reproducible fabrication indicate that they are potential candidates for high frequency applications.

  19. Nanocrystalline magnetite thin films grown by dual ion-beam sputtering

    International Nuclear Information System (INIS)

    Prieto, Pilar; Ruiz, Patricia; Ferrer, Isabel J.; Figuera, Juan de la; Marco, José F.

    2015-01-01

    Highlights: • We have grown tensile and compressive strained nanocrystalline magnetite thin films by dual ion beam sputtering. • The magnetic and thermoelectric properties can be controlled by the deposition conditions. • The magnetic anisotropy depends on the crystalline grain size. • The thermoelectric properties depend on the type of strain induced in the films. • In plane uniaxial magnetic anisotropy develops in magnetite thin films with grain sizes ⩽20 nm. - Abstract: We have explored the influence of an ion-assisted beam in the thermoelectric and magnetic properties of nanocrystalline magnetite thin films grown by ion-beam sputtering. The microstructure has been investigated by XRD. Tensile and compressive strained thin films have been obtained as a function of the parameters of the ion-assisted beam. The evolution of the in-plane magnetic anisotropy was attributed to crystalline grain size. In some films, magneto-optical Kerr effect measurements reveal the existence of uniaxial magnetic anisotropy induced by the deposition process related with a small grain size (⩽20 nm). Isotropic magnetic properties have observed in nanocrystalline magnetite thin film having larger grain sizes. The largest power factor of all the films prepared (0.47 μW/K 2 cm), obtained from a Seebeck coefficient of −80 μV/K and an electrical resistivity of 13 mΩ cm, is obtained in a nanocrystalline magnetite thin film with an expanded out-of-plane lattice and with a grain size ≈30 nm

  20. Methods for producing thin film charge selective transport layers

    Science.gov (United States)

    Hammond, Scott Ryan; Olson, Dana C.; van Hest, Marinus Franciscus Antonius Maria

    2018-01-02

    Methods for producing thin film charge selective transport layers are provided. In one embodiment, a method for forming a thin film charge selective transport layer comprises: providing a precursor solution comprising a metal containing reactive precursor material dissolved into a complexing solvent; depositing the precursor solution onto a surface of a substrate to form a film; and forming a charge selective transport layer on the substrate by annealing the film.

  1. Direct current magnetron sputter-deposited ZnO thin films

    International Nuclear Information System (INIS)

    Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar

    2011-01-01

    Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.

  2. Nanomechanical Behavior of High Gas Barrier Multilayer Thin Films.

    Science.gov (United States)

    Humood, Mohammad; Chowdhury, Shahla; Song, Yixuan; Tzeng, Ping; Grunlan, Jaime C; Polycarpou, Andreas A

    2016-05-04

    Nanoindentation and nanoscratch experiments were performed on thin multilayer films manufactured using the layer-by-layer (LbL) assembly technique. These films are known to exhibit high gas barrier, but little is known about their durability, which is an important feature for various packaging applications (e.g., food and electronics). Films were prepared from bilayer and quadlayer sequences, with varying thickness and composition. In an effort to evaluate multilayer thin film surface and mechanical properties, and their resistance to failure and wear, a comprehensive range of experiments were conducted: low and high load indentation, low and high load scratch. Some of the thin films were found to have exceptional mechanical behavior and exhibit excellent scratch resistance. Specifically, nanobrick wall structures, comprising montmorillonite (MMT) clay and polyethylenimine (PEI) bilayers, are the most durable coatings. PEI/MMT films exhibit high hardness, large elastic modulus, high elastic recovery, low friction, low scratch depth, and a smooth surface. When combined with the low oxygen permeability and high optical transmission of these thin films, these excellent mechanical properties make them good candidates for hard coating surface-sensitive substrates, where polymers are required to sustain long-term surface aesthetics and quality.

  3. Fluorine doped vanadium dioxide thin films for smart windows

    International Nuclear Information System (INIS)

    Kiri, Pragna; Warwick, Michael E.A.; Ridley, Ian; Binions, Russell

    2011-01-01

    Thermochromic fluorine doped thin films of vanadium dioxide were deposited from the aerosol assisted chemical vapour deposition reaction of vanadyl acetylacetonate, ethanol and trifluoroacetic acid on glass substrates. The films were characterised with scanning electron microscopy, variable temperature Raman spectroscopy and variable temperature UV/Vis spectroscopy. The incorporation of fluorine in the films led to an increase in the visible transmittance of the films whilst retaining the thermochromic properties. This approach shows promise for improving the aesthetic properties of vanadium dioxide thin films.

  4. Thin Film Magnetless Faraday Rotators for Compact Heterogeneous Integrated Optical Isolators (Postprint)

    Science.gov (United States)

    2017-06-15

    AFRL-RX-WP-JA-2017-0348 THIN-FILM MAGNETLESS FARADAY ROTATORS FOR COMPACT HETEROGENEOUS INTEGRATED OPTICAL ISOLATORS (POSTPRINT) Dolendra Karki...Interim 9 May 2016 – 1 December 2016 4. TITLE AND SUBTITLE THIN-FILM MAGNETLESS FARADAY ROTATORS FOR COMPACT HETEROGENEOUS INTEGRATED OPTICAL...transfer of ultra-compact thin-film magnetless Faraday rotators to silicon photonic substrates. Thin films of magnetization latching bismuth

  5. Microstructure and thermochromic properties of VOX-WOX-VOX ceramic thin films

    International Nuclear Information System (INIS)

    Khamseh, S.; Ghahari, M.; Araghi, H.; Faghihi Sani, M.A.

    2016-01-01

    W-doped VO 2 films have been synthesized via oxygen annealing of V-W-V (vanadium-tungsten-vanadium) multilayered films. The effects of middle layer's thickness of V-W-V multilayered film on structure and properties of VO X -WO X -VO X ceramic thin films were investigated. The as-deposited V-W-V multilayered film showed amorphous-like structure when mixed structure of VO 2 (M) and VO 2 (B) was formed in VO X -WO X -VO X ceramic thin films. Tungsten content of VO X -WO X -VO X ceramic thin films increased with increasing middle layer's thickness. With increasing middle layer's thickness, room temperature square resistance (R sq ) of VO X -WO X -VO X ceramic thin films increased from 65 to 86 kΩ/sq. The VO X -WO X -VO X ceramic thin film with the thinnest middle layer showed significant SMT (semiconductor-metal transition) when SMT became negligible on increasing middle layer's thickness. (orig.)

  6. Voltage transients in thin-film InSb Hall sensor

    Science.gov (United States)

    Bardin, Alexey; Ignatjev, Vyacheslav; Orlov, Andrey; Perchenko, Sergey

    The work is reached to study temperature transients in thin-film Hall sensors. We experimentally study InSb thin-film Hall sensor. We find transients of voltage with amplitude about 10 μ V on the sensor ports after current switching. We demonstrate by direct measurements that the transients is caused by thermo-e.m.f., and both non-stationarity and heterogeneity of temperature in the film. We find significant asymmetry of temperature field for different direction of the current, which is probably related to Peltier effect. The result can be useful for wide range of scientist who works with switching of high density currents in any thin semiconductor films.

  7. A „Hybrid“ Thin-Film pH Sensor with Integrated Thick-Film Reference

    OpenAIRE

    Simonis, Anette; Krings, Thomas; Lüth, Hans; Wang, Joseph; Schöning, Michael J.

    2001-01-01

    A reference electrode fabricated by means of thick-film technique is deposited onto a silicon substrate and combined with a thin-film pH sensor to a “hybrid†chip system. To evaluate the suitability of this combination, first investigations were carried out. The characteristics of the thin-film pH sensor were studied towards the thick-film Ag/AgCl reference electrode. Measurements were performed in the capacitance/voltage (C/V) and constant capacitance (Concap) mode for different pH ...

  8. Electrical and optical properties of spray - deposited CdSe thin films

    International Nuclear Information System (INIS)

    Bedir, M.; Oeztas, M.; Bakkaloglu, O. F.

    2002-01-01

    The CdSe thin films were developed by using spray-deposition technique at different substrate temperatures of 380C, 400C and, 420C on the glass substrate. All spraying processes involved CdCI 2 (0.05 moles/liter) and SeO 2 (0.05 moles/liter ) and were carried out in atmospheric condition. The CdSe thin film samples were characterized using x-ray diffractometer and optical absorption measurements. The electrical properties of the thin film samples were investigated via Wander Pauw method. XRD patterns indicated that the CdSe thin film samples have a hexagonal structure. The direct band gap of the CdSe thin film samples were determined from optical absorption and spectral response measurements of 1.76 eV. The resistivity of the CdSe thin film samples were found to vary in the range from 5.8x10''5 to 7.32x10''5 Ωcm depending to the substrate temperature

  9. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  10. Determination of magnetic properties of multilayer metallic thin films

    International Nuclear Information System (INIS)

    Birlikseven, C.

    2000-01-01

    In recent year, Giant Magnetoresistance Effect has been attracting an increasingly high interest. High sensitivity magnetic field detectors and high sensitivity read heads of magnetic media can be named as important applications of these films. In this work, magnetic and electrical properties of single layer and thin films were investigated. Multilayer thin films were supplied by Prof. Dr. A. Riza Koeymen from Texas University. Multilayer magnetic thin films are used especially for magnetic reading and magnetic writing. storing of large amount of information into small areas become possible with this technology. Single layer films were prepared using the electron beam evaporation technique. For the exact determination of film thicknesses, a careful calibration of the thicknesses was made. Magnetic properties of the multilayer films were studied using the magnetization, magnetoresistance measurements and ferromagnetic resonance technique. Besides, by fitting the experimental results to the theoretical models, effective magnetization and angles between the ferromagnetic layers were calculated. The correspondence between magnetization and magnetoresistance was evaluated. To see the effect of anisotropic magnetoresistance in the magnetoresistance measurements, a new experimental set-up was build and measurements were taken in this set-up. A series of soft permalloy thin films were made, and temperature dependent resistivity, magnetoresistance, anisotropic magnetoresistance and magnetization measurements were taken

  11. Electrochromic properties of nanocrystalline MoO3 thin films

    International Nuclear Information System (INIS)

    Hsu, C.-S.; Chan, C.-C.; Huang, H.-T.; Peng, C.-H.; Hsu, W.-C.

    2008-01-01

    Electrochromic MoO 3 thin films were prepared by a sol-gel spin-coating technique. The spin-coated films were initially amorphous; they were calcined, producing nanocrystalline MoO 3 thin films. The effects of annealing temperatures ranging from 100 o C to 500 o C were investigated. The electrochemical and electrochromic properties of the films were measured by cyclic voltammetry and by in-situ optical transmittance techniques in 1 M LiClO 4 /propylene carbonate electrolyte. Experimental results showed that the transmittance of MoO 3 thin films heat-treated at 350 o C varied from 80% to 35% at λ = 550 nm (ΔT = ∼ 45%) and from 86% to 21% at λ ≥ 700 nm (ΔT = ∼ 65%) after coloration. Films heat-treated at 350 deg. C exhibited the best electrochromic properties in the present study

  12. Electrical properties of epitaxially grown VOx thin films

    NARCIS (Netherlands)

    Rata, A.D.; Chezan, A.R; Presura, C.N.; Hibma, T

    2003-01-01

    High quality VOx thin films on MgO(100) substrates were prepared and studied from the structural and electronic point of view. Epitaxial growth was confirmed by RHEED and XRD techniques. The oxygen content of VOx thin films as a function of oxygen flux was determined using RBS. The upper and lower

  13. Thin film preparation of semiconducting iron pyrite

    Science.gov (United States)

    Smestad, Greg P.; Ennaoui, Ahmed; Fiechter, Sebastian; Hofmann, Wolfgang; Tributsch, Helmut; Kautek, Wolfgang

    1990-08-01

    Pyrite (Fe52) has been investigated as a promising new absorber material for thin film solar cell applications because of its high optical absorption coefficient of 1OL cm1, and its bandgap of 0.9 to 1.0 eV. Thin layers have been prepared by Metal Organic Chemical Vapor Deposition, MOCVD, Chemical Spray Pyrolysis, CSP, Chemical Vapor Transport, CVT, and Sulfurization of Iron Oxide films, 510. It is postulated that for the material FeS2, if x is not zero, a high point defect concentration results from replacing 2 dipoles by single S atoms. This causes the observed photovoltages and solar conversion efficiencies to be lower than expected. Using the Fe-O-S ternary phase diagram and the related activity plots, a thermodynamic understanding is formulated for the resulting composition of each of these types of films. It is found that by operating in the oxide portion of the phase diagram, the resulting oxidation state favors pyrite formation over FeS. By proper orientation of the grains relative to the film surface, and by control of pinholes and stoichiometry, an efficient thin film photovolatic solar cell material could be achieved.

  14. Synthesis of nanocrystalline TiO2 thin films by liquid phase ...

    Indian Academy of Sciences (India)

    WINTEC

    goes degradation efficiently in presence of TiO2 thin films by exposing its aqueous solution to .... Figure 6. Photodegradation of IGOR organic dye by a. bare TiO2 thin film and b. ... Meng L-J and Dos Santos M P 1993 Thin Solid Films 226 22.

  15. Review of thin film superconductivity

    International Nuclear Information System (INIS)

    Kihlstrom, K.E.

    1989-01-01

    Advances in thin film superconductivity are critical to the success of many proposed applications. The authors review several of the prominent techniques currently used to produce thin films of the high temperature superconductors including electron beam co-deposition, sputtering (both multiple and composite source configurations) and laser ablation. The authors look at the relevant parameters for each and evaluate the advantages and disadvantages of each technique. In addition, promising work on in situ oxidation is discussed. Also addressed are efforts to find optimum substrate materials and substrate buffer layers for various applications. The current state of the art for T c , J c and H c2 is presented for the yttrium, bismuth, and thallium compounds

  16. Structural and Electrochemical Properties of Lithium Nickel Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Gyu-bong Cho

    2014-01-01

    Full Text Available LiNiO2 thin films were fabricated by RF magnetron sputtering. The microstructure of the films was determined by X-ray diffraction and field-emission scanning electron microscopy. The electrochemical properties were investigated with a battery cycler using coin-type half-cells. The LiNiO2 thin films annealed below 500°C had the surface carbonate. The results suggest that surface carbonate interrupted the Li intercalation and deintercalation during charge/discharge. Although the annealing process enhanced the crystallization of LiNiO2, the capacity did not increase. When the annealing temperature was increased to 600°C, the FeCrNiO4 oxide phase was generated and the discharge capacity decreased due to an oxygen deficiency in the LiNiO2 thin film. The ZrO2-coated LiNiO2 thin film provided an improved discharge capacity compared to bare LiNiO2 thin film suggesting that the improved electrochemical characteristic may be attributed to the inhibition of surface carbonate by ZrO2 coating layer.

  17. Proceedings of the international conference on thin films and applications: book of abstracts

    International Nuclear Information System (INIS)

    2013-01-01

    In the era of miniaturization, the role of thin films is highly significant to achieve smaller devices with higher speed especially in new generation of integrated circuits, sensors, flat panel displays, Micro-Electro-Mechanical Systems (MEMS), biomedical devices, optical instruments and microwave communications. Thin films as a nano-scale dimensional system have great importance to many challenging applications. Biological coatings, clean energy, ferroelectric and piezoelectric thin films, ion beam thin films, magnetic thin films, nanostructured and nano composite coatings, NEMS, sensors, thin film preparation and characterization are the topics covered in this symposium. Papers relevant to INIS are indexed separately

  18. Synthesis of Cu2ZnSnS4 thin films by a precursor solution paste for thin film solar cell applications.

    Science.gov (United States)

    Cho, Jin Woo; Ismail, Agus; Park, Se Jin; Kim, Woong; Yoon, Sungho; Min, Byoung Koun

    2013-05-22

    Cu2ZnSnS4 (CZTS) is a very promising semiconductor material when used for the absorber layer of thin film solar cells because it consists of only abundant and inexpensive elements. In addition, a low-cost solution process is applicable to the preparation of CZTS absorber films, which reduces the cost when this film is used for the production of thin film solar cells. To fabricate solution-processed CZTS thin film using an easily scalable and relatively safe method, we suggest a precursor solution paste coating method with a two-step heating process (oxidation and sulfurization). The synthesized CZTS film was observed to be composed of grains of a size of ~300 nm, showing an overall densely packed morphology with some pores and voids. A solar cell device with this film as an absorber layer showed the highest efficiency of 3.02% with an open circuit voltage of 556 mV, a short current density of 13.5 mA/cm(2), and a fill factor of 40.3%. We also noted the existence of Cd moieties and an inhomogeneous Zn distribution in the CZTS film, which may have been triggered by the presence of pores and voids in the CZTS film.

  19. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  20. Synthesis and characterization of spin-coated ZnS thin films

    Science.gov (United States)

    Zaman, M. Burhanuz; Chandel, Tarun; Dehury, Kshetramohan; Rajaram, P.

    2018-05-01

    In this paper, we report synthesis of ZnS thin films using a sol-gel method. A unique aprotic solvent, dimethlysulphoxide (DMSO) has been used to obtain a homogeneous ZnS gel. Zinc acetate and thiourea were used as the precursor sources for Zn and S, respectively, to deposit nanocrystalline ZnS thin films. Optical, structural and morphological properties of the films were studied. Optical studies reveal high transmittance of the samples over the entire visible region. The energy band gap (Eg) for the ZnS thin films is found to be about 3.6 eV which matches with that of bulk ZnS. The interference fringes in transmissions spectrum show the high quality of synthesized samples. Strong photoluminescence peak in the UV region makes the films suitable for optoelectronic applications. X-ray diffraction studies reveal that sol-gel derived ZnS thin films are polycrystalline in nature with hexagonal structure. SEM studies confirmed that the ZnS films show smooth and uniform grains morphology having size in 20-25 nm range. The EDAX studies confirmed that the films are nearly stoichiometric.

  1. Critical phenomena in Ising-type thin films by Monte Carlo study

    International Nuclear Information System (INIS)

    Masrour, R.; Jabar, A.; Benyoussef, A.; Hamedoun, M.

    2016-01-01

    The magnetic properties of ferrimagnetic spin-2 and 3/2 Ising-typed thin films are studied by Monte Carlo simulation. The critical temperature is obtained for different values of thickness of the thin film and for different exchange interactions. The total magnetization has been determined for different values of exchange interactions in surface and in bulk and different temperatures. The magnetic hysteresis cycle is obtained for different values of exchange interactions ferro and antiferromagnetic in the surface and in the bulk and for different values of temperatures for a fixed size of the film thickness. The coercive field increase with increasing the film thickness. - Highlights: • The magnetic properties of thin films are studied by Monte Carlo simulation. • The critical temperature is obtained for different values of thickness of thin film. • The magnetic hysteresis cycle is obtained in the surface and in the bulk. • The coercive field increase with increasing the thin film thickness.

  2. Critical phenomena in Ising-type thin films by Monte Carlo study

    Energy Technology Data Exchange (ETDEWEB)

    Masrour, R., E-mail: rachidmasrour@hotmail.com [Laboratory of Materials, Processes, Environment and Quality, Cady Ayyed University, National School of Applied Sciences, 63, 46000 Safi (Morocco); Laboratoire de Magnétisme et Physique des Hautes Energies L.M.P.H.E.URAC 12, Université Mohammed V, Faculté des Sciences, B.P. 1014, Rabat (Morocco); Jabar, A. [Laboratoire de Magnétisme et Physique des Hautes Energies L.M.P.H.E.URAC 12, Université Mohammed V, Faculté des Sciences, B.P. 1014, Rabat (Morocco); Benyoussef, A. [Laboratoire de Magnétisme et Physique des Hautes Energies L.M.P.H.E.URAC 12, Université Mohammed V, Faculté des Sciences, B.P. 1014, Rabat (Morocco); Institute of Nanomaterials and Nanotechnologies, MAScIR, Rabat (Morocco); Hassan II Academy of Science and Technology, Rabat (Morocco); Hamedoun, M. [Institute of Nanomaterials and Nanotechnologies, MAScIR, Rabat (Morocco)

    2016-04-01

    The magnetic properties of ferrimagnetic spin-2 and 3/2 Ising-typed thin films are studied by Monte Carlo simulation. The critical temperature is obtained for different values of thickness of the thin film and for different exchange interactions. The total magnetization has been determined for different values of exchange interactions in surface and in bulk and different temperatures. The magnetic hysteresis cycle is obtained for different values of exchange interactions ferro and antiferromagnetic in the surface and in the bulk and for different values of temperatures for a fixed size of the film thickness. The coercive field increase with increasing the film thickness. - Highlights: • The magnetic properties of thin films are studied by Monte Carlo simulation. • The critical temperature is obtained for different values of thickness of thin film. • The magnetic hysteresis cycle is obtained in the surface and in the bulk. • The coercive field increase with increasing the thin film thickness.

  3. Improvement of physical properties of ZnO thin films by tellurium doping

    Energy Technology Data Exchange (ETDEWEB)

    Sönmezoğlu, Savaş, E-mail: svssonmezoglu@kmu.edu.tr; Akman, Erdi

    2014-11-01

    Highlights: • We report the synthesis of tellurium-doped zinc oxide (Te–ZnO) thin films using sol–gel method. • Highly c-axis oriented Te-doped ZnO thin films were grown on FTO glasses as substrate. • 1.5% Te-doping ratio could improve the physical properties of ZnO thin films. - Abstract: This investigation addressed the structural, optical and morphological properties of tellurium incorporated zinc oxide (Te–ZnO) thin films. The obtained results indicated that Te-doped ZnO thin films exhibit an enhancement of band gap energy and crystallinity compared with non-doped films. The optical transmission spectra revealed a shift in the absorption edge toward lower wavelengths. X-ray diffraction measurement demonstrated that the film was crystallized in the hexagonal (wurtzite) phase and presented a preferential orientation along the c-axis. The XRD obtained patterns indicate that the crystallite size of the thin films, ranging from 23.9 to 49.1 nm, changed with the Te doping level. The scanning electron microscopy and atomic force microscopy results demonstrated that the grain size and surface roughness of the thin films increased as the Te concentration increased. Most significantly, we demonstrate that it is possible to control the structural, optical and morphological properties of ZnO thin films with the isoelectronic Te-incorporation level.

  4. Study on the Preparation and Properties of Colored Iron Oxide Thin Films

    International Nuclear Information System (INIS)

    Zhao Xianhui; Li Changhong; Liu Qiuping; He Junjing; Wang Hai; Liang Song; Duan Yandong; Liu Su

    2013-01-01

    Colored iron oxide thin films were prepared using Sol-gel technique. The raw materials were tetraethyl orthosilicate (TEOS), etoh ehanol (EtOH), iron nitrate, and de-ionized water. Various properties were measured and analysed, including the colour of thin films, surface topography, UV-Visible spectra, corrosion resistance and hydrophobicity. To understand how these properties influenced the structural and optical properties of Fe 2 O 3 thin films, Scanning Electron Microscope (SEM), UV Spectrophotometer and other facilities were employed. Many parameters influence the performance of thin films, such as film layers, added H 2 O content, and the amount of polydimethylsiloxane (PDMS). When the volume ratio of TEOS, EtOH and H 2 O was 15: 13: 1, the quality of Fe(NO 3 ) 3 ·9H 2 O was 6g, and pH value was 3, reddish and uniform Fe 2 O 3 thin films with excellent properties were produced. Obtained thin films possessed corrosion resistance in hydrochloric acid with pH=l and the absorption edge wavelength was ∼350.2nm. Different H 2 O contents could result in different morphologies of Fe 2 O 3 nanoparticles. When 1.5 ml PDMS was added into the Sol, thin films possessed hydrophobiliry without dropping. Coating with different layers, thin films appeared different morphologies. Meanwhile, with the increment of film layers, the absorbance increased gradually.

  5. Co-sputtered ZnO:Si thin films as transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Faure, C. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Clatot, J. [LRCS, 33 Rue St Leu, F-80039 Amiens (France); Teule-Gay, L.; Campet, G. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Labrugere, C. [CeCaMA, Universite de Bordeaux, ICMCB, 87 avenue du Dr. A. Schweitzer, Pessac, F-33608 (France); Nistor, M. [National Institute for Lasers, Plasmas and Radiation Physics, L22, PO Box MG-36, 77125 Bucharest-Magurele (Romania); Rougier, A., E-mail: rougier@icmcb-bordeaux.cnrs.fr [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France)

    2012-12-01

    Silicon doped Zinc Oxide thin films, so-called SZO, were deposited at room temperature on glass and plastic substrates by co-sputtering of ZnO and SiO{sub 2} targets. The influence of the SiO{sub 2} target power supply (from 30 to 75 W) on the SZO thin film composition and crystallinity is discussed. Si/Zn atomic ratio, determined by X-ray microprobe, increases from 1.2 to 8.2 at.%. For Si/Zn ratio equal and lower than 3.9%, SZO (S{sub 3.9}ZO) thin films exhibit the Wurzite structure with the (0 0 2) preferred orientation. Larger Si content leads to a decrease in crystallinity. With Si addition, the resistivity decreases down to 3.5 Multiplication-Sign 10{sup -3} Ohm-Sign {center_dot}cm for SZO thin film containing 3.9 at.% of Si prior to an increase. The mean transmittance of S{sub 3.9}ZO thin film on glass substrate approaches 80% (it is about 90% for the film itself) in the visible range (from 400 to 750 nm). Co-sputtered SZO thin films are suitable candidates for large area transparent conductive oxides. - Highlights: Black-Right-Pointing-Pointer Si doped ZnO thin films by co-sputtering of ZnO and SiO{sub 2} targets. Black-Right-Pointing-Pointer Minimum of resistivity for Si doped ZnO thin films containing 3.9% of Si. Black-Right-Pointing-Pointer Si and O environments by X-ray Photoelectron Spectroscopy.

  6. Improvement of physical properties of IGZO thin films prepared by excimer laser annealing of sol–gel derived precursor films

    International Nuclear Information System (INIS)

    Tsay, Chien-Yie; Huang, Tzu-Teng

    2013-01-01

    Indium gallium zinc oxide (IGZO) transparent semiconductor thin films were prepared by KrF excimer laser annealing of sol–gel derived precursor films. Each as-coated film was dried at 150 °C in air and then annealed using excimer laser irradiation. The influence of laser irradiation energy density on surface conditions, optical transmittances, and electrical properties of laser annealed IGZO thin films were investigated, and the physical properties of the excimer laser annealed (ELA) and the thermally annealed (TA) thin films were compared. Experimental results showed that two kinds of surface morphology resulted from excimer laser annealing. Irradiation with a lower energy density (≤250 mJ cm −2 ) produced wavy and irregular surfaces, while irradiation with a higher energy density (≥350 mJ cm −2 ) produced flat and dense surfaces consisting of uniform nano-sized amorphous particles. The explanation for the differences in surface features and film quality is that using laser irradiation energy to form IGZO thin films improves the film density and removes organic constituents. The dried IGZO sol–gel films irradiated with a laser energy density of 350 mJ/cm 2 had the best physical properties of all the ELA IGZO thin films. The mean resistivity of the ELA 350 thin films (4.48 × 10 3 Ω cm) was lower than that of TA thin films (1.39 × 10 4 Ω cm), and the average optical transmittance in the visible range (90.2%) of the ELA 350 thin films was slightly higher than that of TA thin films (89.7%). - Highlights: • IGZO semiconductor films were prepared by laser annealing of sol–gel derived films. • Surface roughness and resistivity of ELA samples were affected by energy density. • The ELA 350 IGZO film exhibited the best properties among all of ELA IGZO films. • Transmittance and resistivity of ELA 350 films are greater than those of TA films

  7. Improvement of physical properties of IGZO thin films prepared by excimer laser annealing of sol–gel derived precursor films

    Energy Technology Data Exchange (ETDEWEB)

    Tsay, Chien-Yie, E-mail: cytsay@fcu.edu.tw; Huang, Tzu-Teng

    2013-06-15

    Indium gallium zinc oxide (IGZO) transparent semiconductor thin films were prepared by KrF excimer laser annealing of sol–gel derived precursor films. Each as-coated film was dried at 150 °C in air and then annealed using excimer laser irradiation. The influence of laser irradiation energy density on surface conditions, optical transmittances, and electrical properties of laser annealed IGZO thin films were investigated, and the physical properties of the excimer laser annealed (ELA) and the thermally annealed (TA) thin films were compared. Experimental results showed that two kinds of surface morphology resulted from excimer laser annealing. Irradiation with a lower energy density (≤250 mJ cm{sup −2}) produced wavy and irregular surfaces, while irradiation with a higher energy density (≥350 mJ cm{sup −2}) produced flat and dense surfaces consisting of uniform nano-sized amorphous particles. The explanation for the differences in surface features and film quality is that using laser irradiation energy to form IGZO thin films improves the film density and removes organic constituents. The dried IGZO sol–gel films irradiated with a laser energy density of 350 mJ/cm{sup 2} had the best physical properties of all the ELA IGZO thin films. The mean resistivity of the ELA 350 thin films (4.48 × 10{sup 3} Ω cm) was lower than that of TA thin films (1.39 × 10{sup 4} Ω cm), and the average optical transmittance in the visible range (90.2%) of the ELA 350 thin films was slightly higher than that of TA thin films (89.7%). - Highlights: • IGZO semiconductor films were prepared by laser annealing of sol–gel derived films. • Surface roughness and resistivity of ELA samples were affected by energy density. • The ELA 350 IGZO film exhibited the best properties among all of ELA IGZO films. • Transmittance and resistivity of ELA 350 films are greater than those of TA films.

  8. Infrared analysis of thin films amorphous, hydrogenated carbon on silicon

    CERN Document Server

    Jacob, W; Schwarz-Selinger, T

    2000-01-01

    The infrared analysis of thin films on a thick substrate is discussed using the example of plasma-deposited, amorphous, hydrogenated carbon layers (a-C:H) on silicon substrates. The framework for the optical analysis of thin films is presented. The main characteristic of thin film optics is the occurrence of interference effects due to the coherent superposition of light multiply reflected at the various internal and external interfaces of the optical system. These interference effects lead to a sinusoidal variation of the transmitted and reflected intensity. As a consequence, the Lambert-Beer law is not applicable for the determination of the absorption coefficient of thin films. Furthermore, observable changes of the transmission and reflection spectra occur in the vicinity of strong absorption bands due to the Kramers-Kronig relation. For a sound data evaluation these effects have to be included in the analysis. To be able to extract the full information contained in a measured optical thin film spectrum, ...

  9. Vibration welding system with thin film sensor

    Science.gov (United States)

    Cai, Wayne W; Abell, Jeffrey A; Li, Xiaochun; Choi, Hongseok; Zhao, Jingzhou

    2014-03-18

    A vibration welding system includes an anvil, a welding horn, a thin film sensor, and a process controller. The anvil and horn include working surfaces that contact a work piece during the welding process. The sensor measures a control value at the working surface. The measured control value is transmitted to the controller, which controls the system in part using the measured control value. The thin film sensor may include a plurality of thermopiles and thermocouples which collectively measure temperature and heat flux at the working surface. A method includes providing a welder device with a slot adjacent to a working surface of the welder device, inserting the thin film sensor into the slot, and using the sensor to measure a control value at the working surface. A process controller then controls the vibration welding system in part using the measured control value.

  10. Glass transition and thermal expansivity of polystyrene thin films

    International Nuclear Information System (INIS)

    Inoue, R.; Kanaya, T.; Miyazaki, T.; Nishida, K.; Tsukushi, I.; Shibata, K.

    2006-01-01

    We have studied glass transition temperature and thermal expansivity of polystyrene thin films supported on silicon substrate using X-ray reflectivity and inelastic neutron scattering techniques. In annealing experiments, we have found that the reported apparent negative expansivity of polymer thin films is caused by unrelaxed structure due to insufficient annealing. Using well-annealed films, we have evaluated glass transition temperature T g and thermal expansivity as a function of film thickness. The glass transition temperature decreases with film thickness and is constant below about 10 nm, suggesting the surface glass transition temperature of 355 K, which is lower than that in bulk. We have also found that the thermal expansivity in the glassy state decreases with film thickness even after annealing. The decrease has been attributed to hardening of harmonic force constant arising from chain confinement in a thin film. This idea has been confirmed in the inelastic neutron scattering measurements

  11. Glass transition and thermal expansivity of polystyrene thin films

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, R. [Institute for Chemical Research, Kyoto University, Uji, Kyoto-fu 611-0011 (Japan); Kanaya, T. [Institute for Chemical Research, Kyoto University, Uji, Kyoto-fu 611-0011 (Japan)]. E-mail: kanaya@scl.kyoto-u.ac.jp; Miyazaki, T. [Nitto Denko Corporation, 1-1-2 Shimohozumi, Ibaraki, Osaka-fu 567-8680 (Japan); Nishida, K. [Institute for Chemical Research, Kyoto University, Uji, Kyoto-fu 611-0011 (Japan); Tsukushi, I. [Chiba Institute of Technology, Narashino, Chiba-ken 275-0023 (Japan); Shibata, K. [Japan Atomic Energy Research Institute, Tokai, Ibaraki-ken 319-1195 (Japan)

    2006-12-20

    We have studied glass transition temperature and thermal expansivity of polystyrene thin films supported on silicon substrate using X-ray reflectivity and inelastic neutron scattering techniques. In annealing experiments, we have found that the reported apparent negative expansivity of polymer thin films is caused by unrelaxed structure due to insufficient annealing. Using well-annealed films, we have evaluated glass transition temperature T {sub g} and thermal expansivity as a function of film thickness. The glass transition temperature decreases with film thickness and is constant below about 10 nm, suggesting the surface glass transition temperature of 355 K, which is lower than that in bulk. We have also found that the thermal expansivity in the glassy state decreases with film thickness even after annealing. The decrease has been attributed to hardening of harmonic force constant arising from chain confinement in a thin film. This idea has been confirmed in the inelastic neutron scattering measurements.

  12. Optical modeling and simulation of thin-film photovoltaic devices

    CERN Document Server

    Krc, Janez

    2013-01-01

    In wafer-based and thin-film photovoltaic (PV) devices, the management of light is a crucial aspect of optimization since trapping sunlight in active parts of PV devices is essential for efficient energy conversions. Optical modeling and simulation enable efficient analysis and optimization of the optical situation in optoelectronic and PV devices. Optical Modeling and Simulation of Thin-Film Photovoltaic Devices provides readers with a thorough guide to performing optical modeling and simulations of thin-film solar cells and PV modules. It offers insight on examples of existing optical models

  13. Investigation of phase transformation for ferrite–austenite structure in stainless steel thin films

    Energy Technology Data Exchange (ETDEWEB)

    Merakeb, Noureddine [Laboratory of Physical Metallurgy and Property of Materials (LM2PM), Metallurgy and Materials Engineering Department, Badji Mokhtar University, P.O. Box 12, Annaba 23000 (Algeria); Messai, Amel [Laboratoire d' Ingénierie et Sciences des Matériaux Avancés (ISMA), Institut des Sciences et Technologie, Abbès Laghrour University, Khenchela 40000 (Algeria); Ayesh, Ahmad I., E-mail: ayesh@qu.edu.qa [Department of Mathematics, Statistics and Physics, Qatar University, Doha (Qatar)

    2016-05-01

    In this work we report on phase transformation of 304 stainless steel thin films due to heat treatment. Ex-situ annealing was applied for evaporated 304 stainless steel thin films inside an ultra-high vacuum chamber with a pressure of 3 × 10{sup −7} Pa at temperatures of 500 °C and 600 °C. The structure of thin films was studied by X-ray diffraction (XRD) and conversion electron Mössbauer spectroscopy (CEMS) techniques. The results revealed a transformation from α-phase that exhibits a body-centered cubic structure (BCC) to γ-phase that exhibits a face-centered cubic (FCC) due to annealing. In addition, the percentage of γ-phase structure increased with the increase of annealing temperature. Annealing thin films increased the crystal size of both phases (α and γ), however, the increase was nonlinear. The results also showed that phase transformation was produced by recrystallization of α and γ crystals with a temporal evolution at each annealing temperature. The texture degree of thin films was investigated by XRD rocking curve method, while residual stress was evaluated using curvature method. - Highlights: • Stainless steel thin films were fabricated by thermal evaporation on quartz. • Alpha to gamma phase transformation of thin films was investigated. • Annealing of thin films reduces disruption in crystal lattice. • The stress of as-grown thin films was independent on the thin film thickness. • The stress of the thin films was reduced due to annealing.

  14. Impact of X-ray irradiation on PMMA thin films

    International Nuclear Information System (INIS)

    Iqbal, Saman; Rafique, Muhammad Shahid; Anjum, Safia; Hayat, Asma; Iqbal, Nida

    2012-01-01

    Highlights: ► PMMA thin films were deposited at 300 °C and 500 °C using PLD technique. ► These films were irradiated with different fluence of laser produced X-rays. ► Irradiation affects the ordered packing as well as surface morphology of film. ► Hardness of film decreases up to certain value of X-ray fluence. ► Absorption in UV–visible range exhibits a non linear behavior. - Abstract: The objective of this project is to explore the effect of X-ray irradiation of thin polymeric films deposited at various substrate temperatures. pulsed laser deposition (PLD) technique is used for the deposition of PMMA thin films on glass substrate at 300 °C and 500 °C. These films have been irradiated with various X-rays fluences ranging from 2.56 to 5.76 mJ cm −2 . Characterization of the films (before and after the irradiation) is done with help of X-ray Diffractrometer, Optical Microscope, Vickers hardness tester and UV–vis spectroscopy techniques. From XRD data, it is revealed that ordered packing has been improved for the films deposited at 300 °C. However after irradiation the films exhibited the amorphous behavior regardless of the X-ray fluence. Film deposited at 500 °C shows amorphous structure before and after irradiation. Hardness and particle size of thin film have also increased with the increasing substrate temperature. However, the irradiation has reverse effect i.e. the particle size as well as the hardness has reduced. Irradiation has also enhanced the absorption in the UV–visible region.

  15. Flexible magnetic thin films and devices

    Science.gov (United States)

    Sheng, Ping; Wang, Baomin; Li, Runwei

    2018-01-01

    Flexible electronic devices are highly attractive for a variety of applications such as flexible circuit boards, solar cells, paper-like displays, and sensitive skin, due to their stretchable, biocompatible, light-weight, portable, and low cost properties. Due to magnetic devices being important parts of electronic devices, it is essential to study the magnetic properties of magnetic thin films and devices fabricated on flexible substrates. In this review, we mainly introduce the recent progress in flexible magnetic thin films and devices, including the study on the stress-dependent magnetic properties of magnetic thin films and devices, and controlling the properties of flexible magnetic films by stress-related multi-fields, and the design and fabrication of flexible magnetic devices. Project supported by the National Key R&D Program of China (No. 2016YFA0201102), the National Natural Science Foundation of China (Nos. 51571208, 51301191, 51525103, 11274321, 11474295, 51401230), the Youth Innovation Promotion Association of the Chinese Academy of Sciences (No. 2016270), the Key Research Program of the Chinese Academy of Sciences (No. KJZD-EW-M05), the Ningbo Major Project for Science and Technology (No. 2014B11011), the Ningbo Science and Technology Innovation Team (No. 2015B11001), and the Ningbo Natural Science Foundation (No. 2015A610110).

  16. Thin-film Rechargeable Lithium Batteries for Implantable Devices

    Science.gov (United States)

    Bates, J. B.; Dudney, N. J.

    1997-05-01

    Thin films of LiCoO{sub 2} have been synthesized in which the strongest x ray reflection is either weak or missing, indicating a high degree of preferred orientation. Thin film solid state batteries with these textured cathode films can deliver practical capacities at high current densities. For example, for one of the cells 70% of the maximum capacity between 4.2 V and 3 V ({approximately}0.2 mAh/cm{sup 2}) was delivered at a current of 2 mA/cm{sup 2}. When cycled at rates of 0.1 mA/cm{sup 2}, the capacity loss was 0.001%/cycle or less. The reliability and performance of Li LiCoO{sub 2} thin film batteries make them attractive for application in implantable devices such as neural stimulators, pacemakers, and defibrillators.

  17. ZnO-Based Transparent Conductive Thin Films: Doping, Performance, and Processing

    International Nuclear Information System (INIS)

    Liu, Y.; Li, Y.; Zeng, H.

    2013-01-01

    ZnO-based transparent conductive thin films have attracted much attention as a promising substitute material to the currently used indium-tin-oxide thin films in transparent electrode applications. However, the detailed function of the dopants, acting on the electrical and optical properties of ZnO-based transparent conductive thin films, is not clear yet, which has limited the development and practical applications of ZnO transparent conductive thin films. Growth conditions such as substrate type, growth temperature, and ambient atmosphere all play important roles in structural, electrical, and optical properties of films. This paper takes a panoramic view on properties of ZnO thin films and reviews the very recent works on new, efficient, low-temperature, and high-speed deposition technologies. In addition, we highlighted the methods of producing ZnO-based transparent conductive film on flexible substrate, one of the most promising and rapidly emerging research areas. As optimum-processing-parameter conditions are being obtained and their influencing mechanism is becoming clear, we can see that there will be a promising future for ZnO-based transparent conductive films.

  18. Critical behavior of ferromagnetic Ising thin films

    International Nuclear Information System (INIS)

    Cossio, P.; Mazo-Zuluaga, J.; Restrepo, J.

    2006-01-01

    In the present work, we study the magnetic properties and critical behavior of simple cubic ferromagnetic thin films. We simulate LxLxd films with semifree boundary conditions on the basis of the Monte Carlo method and the Ising model with nearest neighbor interactions. A Metropolis dynamics was implemented to carry out the energy minimization process. For different film thickness, in the nanometer range, we compute the temperature dependence of the magnetization, the magnetic susceptibility and the fourth order Binder's cumulant. Bulk and surface contributions of these quantities are computed in a differentiated fashion. Additionally, according to finite size scaling theory, we estimate the critical exponents for the correlation length, magnetic susceptibility, and magnetization. Results reveal a strong dependence of critical temperature and critical exponents on the film thickness. The obtained critical exponents are finally compared to those reported in literature for thin films

  19. Superconducting oxypnictide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Reisner, Andreas; Kidszun, Martin; Reich, Elke; Holzapfel, Bernhard; Schultz, Ludwig; Haindl, Silvia [IFW Dresden, Institute of Metallic Materials (Germany); Thersleff, Thomas [Uppsala University, Angstrom Laboratory (Sweden)

    2012-07-01

    We present an overview on the oxypnictide thin film preparation. So far, only LaAlO{sub 3} (001) single crystalline substrates provided a successful growth using pulsed laser deposition in combination with a post annealing process. Further experiments on the in-situ deposition will be reported. The structure of the films was investigated by X-ray diffractometry and transmission electron microscopy. Transport properties were measured with different applied fields to obtain a magnetic phase diagram for this new type of superconductor.

  20. Thin Film Solar Cells and their Optical Properties

    Directory of Open Access Journals (Sweden)

    Stanislav Jurecka

    2006-01-01

    Full Text Available In this work we report on the optical parameters of the semiconductor thin film for solar cell applications determination. The method is based on the dynamical modeling of the spectral reflectance function combined with the stochastic optimization of the initial reflectance model estimation. The spectral dependency of the thin film optical parameters computations is based on the optical transitions modeling. The combination of the dynamical modeling and the stochastic optimization of the initial theoretical model estimation enable comfortable analysis of the spectral dependencies of the optical parameters and incorporation of the microstructure effects on the solar cell properties. The results of the optical parameters ofthe i-a-Si thin film determination are presented.

  1. Microwave Josephson generation in thin film superconducting bridges

    International Nuclear Information System (INIS)

    Gubankov, V.N.; Koshelets, V.P.; Ovsyannikov, G.A.

    1975-01-01

    Thin-film bridges have some advantage over other types of superconducting weak links: good definition of electromagnetic parameters and of weak region geometry. Up to now Josephson properties of bridges have been investigated by using indirect methods (the effect of magnetic field on the critical current I 0 , the bridge behavior in a microwave field, etc.). Direct experimental observation of Josephson radiation from autonomous thin film bridges is reported. Microwave radiation in tin bridges of 'variable' thickness has been investigated where the thickness of the film forming the bridge is far less than the thickness of the bank films. (Auth.)

  2. Optical constants and structural properties of thin gold films

    DEFF Research Database (Denmark)

    Yakubovsky, Dmitry I.; Arsenin, Aleksey V.; Stebunov, Yury V.

    2017-01-01

    We report a comprehensive experimental study of optical and electrical properties of thin polycrystalline gold films in a wide range of film thicknesses (from 20 to 200 nm). Our experimental results are supported by theoretical calculations based on the measured morphology of the fabricated gold...... rules for thin-film plasmonic and nanophotonic devices....... films. We demonstrate that the dielectric function of the metal is determined by its structural morphology. Although the fabrication process can be absolutely the same for different films, the dielectric function can strongly depend on the film thickness. Our studies show that the imaginary part...

  3. Raman spectroscopy of optical properties in CdS thin films

    Directory of Open Access Journals (Sweden)

    Trajić J.

    2015-01-01

    Full Text Available Properties of CdS thin films were investigated applying atomic force microscopy (AFM and Raman spectroscopy. CdS thin films were prepared by using thermal evaporation technique under base pressure 2 x 10-5 torr. The quality of these films was investigated by AFM spectroscopy. We apply Raman scattering to investigate optical properties of CdS thin films, and reveal existence of surface optical phonon (SOP mode at 297 cm-1. Effective permittivity of mixture were modeled by Maxwell - Garnet approximation. [Projekat Ministarstva nauke Republike Srbije, br. 45003

  4. Room temperature deposition of magnetite thin films on organic substrate

    International Nuclear Information System (INIS)

    Arisi, E.; Bergenti, I.; Cavallini, M.; Murgia, M.; Riminucci, A.; Ruani, G.; Dediu, V.

    2007-01-01

    We report on the growth of magnetite films directly on thin layers of organic semiconductors by means of an electron beam ablation method. The deposition was performed at room temperature in a reactive plasma atmosphere. Thin films show ferromagnetic (FM) hysteresis loops and coercive fields of hundreds of Oersted. Micro Raman analysis indicates no presence of spurious phases. The morphology of the magnetite film is strongly influenced by the morphology of the underlayer of the organic semiconductor. These results open the way for the application of magnetite thin films in the field of organic spintronics

  5. Rapid thermal annealing of Ti-rich TiNi thin films: A new approach to fabricate patterned shape memory thin films

    International Nuclear Information System (INIS)

    Motemani, Y.; Tan, M.J.; White, T.J.; Huang, W.M.

    2011-01-01

    This paper reports the rapid thermal annealing (RTA) of Ti-rich TiNi thin films, synthesized by the co-sputtering of TiNi and Ti targets. Long-range order of aperiodic alloy could be achieved in a few seconds with the optimum temperature of 773 K. Longer annealing (773 K/240 s), transformed the film to a poorly ordered vitreous phase, suggesting a novel method for solid state amorphization. Reitveld refinement analyses showed significant differences in structural parameters of the films crystallized by rapid and conventional thermal annealing. Dependence of the elastic modulus on the valence electron density (VED) of the crystallized films was studied. It is suggested that RTA provides a new approach to fabricate patterned shape memory thin films.

  6. Optical properties of CdS thin films by (SILAR) method

    International Nuclear Information System (INIS)

    Ates, A.; Gurbulak, B.; Yildirim, M.

    2004-01-01

    Full text: CdS thin film was grown by Successive ionic layer adsorption and reaction (SILAR) technique on quartz substrate. The film homogeneous of film is good and the film colour obtained as orange. Optical properties of CdS thin film has been investigated as a function of temperature in the temperature range 10-320 K with 10 K steps. The band gap energy decreased with increasing temperature

  7. Transition metal carbide nanocomposite and amorphous thin films

    OpenAIRE

    Tengstrand, Olof

    2014-01-01

    This thesis explores thin films of binary and ternary transition metal carbides, in the Nb-C, Ti-Si-C, Nb-Si-C, Zr-Si-C, and Nb-Ge-C systems. The electrical and mechanical properties of these systems are affected by their structure and here both nanocomposite and amorphous thin films are thus investigated. By appropriate choice of transition metal and composition the films can be designed to be multifunctional with a combination of properties, such as low electric resistivity, low contact res...

  8. Characterization of electron beam deposited thin films of HfO2 and binary thin films of (HfO2:SiO2) by XRD and EXAFS measurements

    International Nuclear Information System (INIS)

    Das, N.C.; Sahoo, N.K.; Bhattacharyya, D.; Thakur, S.; Kamble, N.M.; Nanda, D.; Hazra, S.; Bal, J.K.; Lee, J.F.; Tai, Y.L.; Hsieh, C.A.

    2009-10-01

    In this report, we have discussed the microstructure and the local structure of composite thin films having varying hafnia and silica compositions and prepared by reactive electron beam evaporation. XRD and EXAFS studies have confirmed that the pure hafnium oxide thin film has crystalline microstructure whereas the films with finite hafnia and silica composition are amorphous. The result of EXAFS analysis has shown that the bond lengths as well as coordination numbers around hafnium atom change with the variation of hafnia and silica compositions in the thin film. Finally, change of bond lengths has been correlated with change of refractive index and band gap of the composite thin films. (author)

  9. Sensing of volatile organic compounds by copper phthalocyanine thin films

    Science.gov (United States)

    Ridhi, R.; Saini, G. S. S.; Tripathi, S. K.

    2017-02-01

    Thin films of copper phthalocyanine have been deposited by thermal evaporation technique. We have subsequently exposed these films to the vapours of methanol, ethanol and propanol. Optical absorption, infrared spectra and electrical conductivities of these films before and after exposure to chemical vapours have been recorded in order to study their sensing mechanisms towards organic vapours. These films exhibit maximum sensing response to methanol while low sensitivities of the films towards ethanol and propanol have been observed. The changes in sensitivities have been correlated with presence of carbon groups in the chemical vapours. The effect of different types of electrodes on response-recovery times of the thin film with organic vapours has been studied and compared. The electrodes gap distance affects the sensitivity as well as response-recovery time values of the thin films.

  10. Characteristics of Iron-Palladium alloy thin films deposited by magnetron sputtering

    Science.gov (United States)

    Chiu, Y.-J.; Shen, C.-Y.; Chang, H.-W.; Jian, S.-R.

    2018-06-01

    The microstructural features, magnetic, nanomechanical properties and wettability behaviors of Iron-Palladium (FePd) alloy thin films are investigated by using X-ray diffraction (XRD), atomic force microscopy (AFM), vibrating sample magnetometer (VSM), nanoindentation and water contact angle (CA) techniques, respectively. The FePd alloy thin films were deposited on glass substrates using a magnetron sputtering system. The post-annealing processes of FePd alloy thin films were carried out at 400 °C and 750 °C and resulted in a significant increase of both the average grain size and surface roughness. The XRD analysis showed that FePd alloy thin films exhibited a predominant (1 1 1) orientation. The magnetic field dependence of magnetization of all FePd thin films are measured at room temperature showed the ferromagnetic characteristics. The nanoindentation with continuous stiffness measurement (CSM) is used to measure the hardness and Young's modulus of present films. The contact angle (θCA) increased with increasing surface roughness. The maximum θCA of 75° was achieved for the FePd alloy thin film after annealing at 750 °C and a surface roughness of 4.2 nm.

  11. Film-thickness and composition dependence of epitaxial thin-film PZT-based

    NARCIS (Netherlands)

    Nguyen, Duc Minh; Dekkers, Jan M.; Vu, Hung Ngoc; Rijnders, Augustinus J.H.M.

    2013-01-01

    The transverse piezoelectric coefficient e31,f and mass-sensitivity were measured on piezoelectric cantilevers based on epitaxial PZT thin-films with film-thicknesses ranging from 100 to 2000 nm. The highest values of e31,f and mass-sensitivity were observed at a film thickness of 500–750 nm, while

  12. Selective inorganic thin films

    Energy Technology Data Exchange (ETDEWEB)

    Phillips, M.L.F.; Weisenbach, L.A.; Anderson, M.T. [Sandia National Laboratories, Albuquerque, NM (United States)] [and others

    1995-05-01

    This project is developing inorganic thin films as membranes for gas separation applications, and as discriminating coatings for liquid-phase chemical sensors. Our goal is to synthesize these coatings with tailored porosity and surface chemistry on porous substrates and on acoustic and optical sensors. Molecular sieve films offer the possibility of performing separations involving hydrogen, air, and natural gas constituents at elevated temperatures with very high separation factors. We are focusing on improving permeability and molecular sieve properties of crystalline zeolitic membranes made by hydrothermally reacting layered multicomponent sol-gel films deposited on mesoporous substrates. We also used acoustic plate mode (APM) oscillator and surface plasmon resonance (SPR) sensor elements as substrates for sol-gel films, and have both used these modified sensors to determine physical properties of the films and have determined the sensitivity and selectivity of these sensors to aqueous chemical species.

  13. Magnetic hysteresis measurements of thin films under isotropic stress.

    Science.gov (United States)

    Holland, Patrick; Dubey, Archana; Geerts, Wilhelmus

    2000-10-01

    Nowadays, ferromagnetic thin films are widely applied in devices for information technology (credit cards, video recorder tapes, floppies, hard disks) and sensors (air bags, anti-breaking systems, navigation systems). Thus, with the increase in the use of magnetic media continued investigation of magnetic properties of materials is necessary to help in determining the useful properties of materials for new or improved applications. We are currently interested in studying the effect of applied external stress on Kerr hysteresis curves of thin magnetic films. The Ni and NiFe films were grown using DC magnetron sputtering with Ar as the sputter gas (pAr=4 mTorr; Tsub=55-190 C). Seed and cap layers of Ti were used on all films for adhesion and oxidation protection, respectively. A brass membrane pressure cell was designed to apply in-plane isotropic stress to thin films. In this pressure cell, gas pressure is used to deform a flexible substrate onto which a thin magnetic film has been sputtered. The curvature of the samples could be controlled by changing the gas pressure to the cell. Magneto-Optical in-plane hysteresis curves at different values of strain were measured. The results obtained show that the stress sensitivity is dependent on the film thickness. For the 500nm NiFe films, the coercivity strongly decreased as a function of the applied stress.

  14. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  15. Investigation on synthesis of Bi-based thin films on flat sputter-deposited Ag film by melting process

    International Nuclear Information System (INIS)

    Su Yanjing; Satoh, Yoshimasa; Arisawa, Shunichi; Awane, Toru; Fukuyo, Akihiro; Takano, Yoshihiko; Ishii, Akira; Hatano, Takeshi; Togano, Kazumasa

    2003-01-01

    We report on the fabrication of ribbon-like thin films on flat sputter-deposited Ag films whose surface smoothness remained within the order of tens of nm. It was found that the addition of Pb to the starting material improves the wettability of molten phase and facilitates the growth of Bi-2212 ribbon-like thin films on a flat Ag substrate, and that the increase of Ca and Cu in starting material suppresses the intergrowth of the Bi-2201 phase in ribbon-like thin films. By using (Bi,Pb)-2246 powders, with nominal composition of Bi 1.6 Pb 0.4 Sr 1.6 Ca 3.2 Cu 4.8 O y , as the starting material, the superconducting Bi-2212 ribbon-like thin films with an onset T c at 74 K on a very flat Ag substrate were successfully synthesized. Additionally, the growth mechanism of ribbon-like thin films on flat Ag substrate was investigated by in situ high temperature microscope observation

  16. Picosecond and subpicosecond pulsed laser deposition of Pb thin films

    Directory of Open Access Journals (Sweden)

    F. Gontad

    2013-09-01

    Full Text Available Pb thin films were deposited on Nb substrates by means of pulsed laser deposition (PLD with UV radiation (248 nm, in two different ablation regimes: picosecond (5 ps and subpicosecond (0.5 ps. Granular films with grain size on the micron scale have been obtained, with no evidence of large droplet formation. All films presented a polycrystalline character with preferential orientation along the (111 crystalline planes. A maximum quantum efficiency (QE of 7.3×10^{-5} (at 266 nm and 7 ns pulse duration was measured, after laser cleaning, demonstrating good photoemission performance for Pb thin films deposited by ultrashort PLD. Moreover, Pb thin film photocathodes have maintained their QE for days, providing excellent chemical stability and durability. These results suggest that Pb thin films deposited on Nb by ultrashort PLD are a noteworthy alternative for the fabrication of photocathodes for superconductive radio-frequency electron guns. Finally, a comparison with the characteristics of Pb films prepared by ns PLD is illustrated and discussed.

  17. Thin films of molecular materials synthesized from fisher's carbene ferrocenyl: Film formation and electrical properties

    International Nuclear Information System (INIS)

    Sanchez-Vergara, M.E.; Ortiz, A.; Alvarez-Toledano, C.; Moreno, A.; Alvarez, J.R.

    2008-01-01

    The synthesis of materials from Fisher's carbene ferrocenyl of the elements chromium, molybdenum and tungsten was carried out. The Fisher's compounds that were synthesized included the following combinations of two different metallic atoms: iron with chromium, iron with molybdenum and iron with tungsten. The molecular solids' preparation was done in electro-synthesis cells with platinum electrodes. Thin films were prepared by vacuum thermal evaporation on quartz substrates and crystalline silicon wafers. Pellets and thin films from these compounds were characterized by Fourier transform infrared spectroscopy, scanning electron microscopy, energy-dispersive spectroscopy, atomic force microscopy and ellipsometry. The powder and thin films synthesized from these materials show the same intra-molecular bonds shown by infrared spectroscopy results, suggesting that thermal evaporation does not alter these bonds in spite of the thin films being amorphous, in contrast with other bimetallic complexes where material decomposition occurs. The differences in the conductivity values of the prepared films are very small, so they may be attributed to the different metallic ions employed in each case. The tungsten complex exhibits a higher conductivity than the molybdenum and chromium complexes at room temperature. Electrical conductivity values found for thin films are higher than for pellets made of the same molecular materials

  18. Gamma Radiation Dosimetry Using Tellurium Dioxide Thin Film Structures

    Directory of Open Access Journals (Sweden)

    Olga Korostynska

    2002-08-01

    Full Text Available Thin films of Tellurium dioxide (TeO2 were investigated for γ-radiation dosimetry purposes. Samples were fabricated using thin film vapour deposition technique. Thin films of TeO2 were exposed to a 60Co γ-radiation source at a dose rate of 6 Gy/min at room temperature. Absorption spectra for TeO2 films were recorded and the values of the optical band gap and energies of the localized states for as-deposited and γ-irradiated samples were calculated. It was found that the optical band gap values were decreased as the radiation dose was increased. Samples with electrical contacts having a planar structure showed a linear increase in current values with the increase in radiation dose up to a certain dose level. The observed changes in both the optical and the electrical properties suggest that TeO2 thin film may be considered as an effective material for room temperature real time γ-radiation dosimetry.

  19. Electrochemical fabrication of nanoporous polypyrrole thin films

    Energy Technology Data Exchange (ETDEWEB)

    Li Mei [Key Laboratory of Organic Optoelectronics and Molecular Engineering (Ministry of Education), Department of Chemistry, Tsinghua University, Beijing, 100084 (China); Yuan Jinying [Key Laboratory of Organic Optoelectronics and Molecular Engineering (Ministry of Education), Department of Chemistry, Tsinghua University, Beijing, 100084 (China)], E-mail: yuanjy@mail.tsinghua.edu.cn; Shi Gaoquan [Key Laboratory of Organic Optoelectronics and Molecular Engineering (Ministry of Education), Department of Chemistry, Tsinghua University, Beijing, 100084 (China)], E-mail: gshi@mail.tsinghua.edu.cn

    2008-04-30

    Polypyrrole thin films with pores in nanometer scale were synthesized by direct electrochemical oxidation of pyrrole in a mixed electrolyte of isopropyl alcohol, boron trifluoride diethyl etherate, sodium dodecylsulfonate and poly(ethylene glycol) using well-aligned ZnO nanowires arrays as templates. The thin films exhibit high conductivity of ca. {sigma}{sub rt} {approx} 20.5 s/cm and can be driven to bend during redox processes in 1.0 M lithium perchlorate aqueous solution. The movement rate of an actuator based on this nanoporous film was measured to be over 90{sup o}/s at a driving potential of 0.8 V (vs. Ag/AgCl)

  20. Electrochemical fabrication of nanoporous polypyrrole thin films

    International Nuclear Information System (INIS)

    Li Mei; Yuan Jinying; Shi Gaoquan

    2008-01-01

    Polypyrrole thin films with pores in nanometer scale were synthesized by direct electrochemical oxidation of pyrrole in a mixed electrolyte of isopropyl alcohol, boron trifluoride diethyl etherate, sodium dodecylsulfonate and poly(ethylene glycol) using well-aligned ZnO nanowires arrays as templates. The thin films exhibit high conductivity of ca. σ rt ∼ 20.5 s/cm and can be driven to bend during redox processes in 1.0 M lithium perchlorate aqueous solution. The movement rate of an actuator based on this nanoporous film was measured to be over 90 o /s at a driving potential of 0.8 V (vs. Ag/AgCl)

  1. Domains in Ferroic Crystals and Thin Films

    CERN Document Server

    Tagantsev, Alexander K; Fousek, Jan

    2010-01-01

    Domains in Ferroic Crystals and Thin Films presents experimental findings and theoretical understanding of ferroic (non-magnetic) domains developed during the past 60 years. It addresses the situation by looking specifically at bulk crystals and thin films, with a particular focus on recently-developed microelectronic applications and methods for observation of domains with techniques such as scanning force microscopy, polarized light microscopy, scanning optical microscopy, electron microscopy, and surface decorating techniques. Domains in Ferroic Crystals and Thin Films covers a large area of material properties and effects connected with static and dynamic properties of domains, which are extremely relevant to materials referred to as ferroics. In most solid state physics books, one large group of ferroics is customarily covered: those in which magnetic properties play a dominant role. Numerous books are specifically devoted to magnetic ferroics and cover a wide spectrum of magnetic domain phenomena. In co...

  2. Pulsed laser deposited Al-doped ZnO thin films for optical applications

    Directory of Open Access Journals (Sweden)

    Gurpreet Kaur

    2015-02-01

    Full Text Available Highly transparent and conducting Al-doped ZnO (Al:ZnO thin films were grown on glass substrates using pulsed laser deposition technique. The profound effect of film thickness on the structural, optical and electrical properties of Al:ZnO thin films was observed. The X-ray diffraction depicts c-axis, plane (002 oriented thin films with hexagonal wurtzite crystal structure. Al-doping in ZnO introduces a compressive stress in the films which increase with the film thickness. AFM images reveal the columnar grain formation with low surface roughness. The versatile optical properties of Al:ZnO thin films are important for applications such as transparent electromagnetic interference (EMI shielding materials and solar cells. The obtained optical band gap (3.2–3.08 eV was found to be less than pure ZnO (3.37 eV films. The lowering in the band gap in Al:ZnO thin films could be attributed to band edge bending phenomena. The photoluminescence spectra gives sharp visible emission peaks, enables Al:ZnO thin films for light emitting devices (LEDs applications. The current–voltage (I–V measurements show the ohmic behavior of the films with resistivity (ρ~10−3 Ω cm.

  3. Nanostructured ZnO thin films prepared by sol–gel spin-coating

    Energy Technology Data Exchange (ETDEWEB)

    Heredia, E., E-mail: heredia.edu@gmail.com [UNIDEF (CONICET-MINDEF), J.B. de La Salle 4397, 1603 Villa Martelli, Pcia. de Buenos Aires (Argentina); Bojorge, C.; Casanova, J.; Cánepa, H. [UNIDEF (CONICET-MINDEF), J.B. de La Salle 4397, 1603 Villa Martelli, Pcia. de Buenos Aires (Argentina); Craievich, A. [Instituto de Física, Universidade de São Paulo, Cidade Universitária, 66318 São Paulo, SP (Brazil); Kellermann, G. [Universidade Federal do Paraná, 19044 Paraná (Brazil)

    2014-10-30

    Highlights: • ZnO films synthesized by sol–gel were deposited by spin-coating on flat substrates. • Structural features of ZnO films with several thicknesses were characterized by means of different techniques. • The thicknesses of different ZnO thin films were determined by means of FESEM and AFM. • The nanoporous structures of ZnO thin films were characterized by GISAXS using IsGISAXS software. • The average densities of ZnO thin films were derived from (i) the critical angle in 1D XR patterns, (ii) the angle of Yoneda peak in 2D GISAXS images, (iii) minimization of chi2 using IsGISAXS best fitting procedure. - Abstract: ZnO thin films deposited on silica flat plates were prepared by spin-coating and studied by applying several techniques for structural characterization. The films were prepared by depositing different numbers of layers, each deposition being followed by a thermal treatment at 200 °C to dry and consolidate the successive layers. After depositing all layers, a final thermal treatment at 450 °C during 3 h was also applied in order to eliminate organic components and to promote the crystallization of the thin films. The total thickness of the multilayered films – ranging from 40 nm up to 150 nm – was determined by AFM and FESEM. The analysis by GIXD showed that the thin films are composed of ZnO crystallites with an average diameter of 25 nm circa. XR results demonstrated that the thin films also exhibit a large volume fraction of nanoporosity, typically 30–40 vol.% in thin films having thicknesses larger than ∼70 nm. GISAXS measurements showed that the experimental scattering intensity is well described by a structural model composed of nanopores with shape of oblate spheroids, height/diameter aspect ratio within the 0.8–0.9 range and average diameter along the sample surface plane in the 5–7 nm range.

  4. Structural and electrical properties of CZTS thin films by electrodeposition

    Science.gov (United States)

    Rao, M. C.; Basha, Sk. Shahenoor

    2018-06-01

    CZTS (Cu2ZnSnS4) thin films were coated on ITO glass substrates by single bath electrodeposition technique. The prepared films were subsequently characterized by XRD, SEM, FTIR, UV-visible spectroscopy and Raman studies. The thickness of the thin films was measured by wedge method. X-ray diffraction studies revealed the formation of polycrystalline phase. The morphological surface of the prepared thin films was examined by SEM and AFM and showed the presence of microcrystals on the surface of the samples. The elemental analysis and their compositional ratios present in the samples were confirmed by the energy dispersive X-ray analysis. Functional groups and the position of band structure involved in the materials were confirmed by FTIR. Optical absorption studies were performed on the prepared thin films in the wavelength ranging from 300 to 1000 nm and the energy bandgap values were found to be in the range from 1.39 to 1.60 eV. Raman spectral peak which was observed at 360 cm-1 correspond to kesterite phase, was formed due to the vibration of the molecules. Electrical measurements confirmed the nature of the thin film depending on the charge concentration present in the samples.

  5. Mechanics of Thin Films

    Science.gov (United States)

    1992-02-06

    and the second geometry was that of squat cylinders (diameter 6.4 mm, height 6.4 mm). These two geometries were tested in thermal shock tests, and a...milder [13]. More recently, Lau, Rahman and stressa nce ntrati, tha n films of lmalla rat ve spc Delale calculated the free edge singularity for stress...thickness of 3 mm); the second geometry was that As an example of the shielding effect of thin films, we of squat cylinders (diameter 6.4 mm, height 6.4

  6. Impact of X-ray irradiation on PMMA thin films

    Energy Technology Data Exchange (ETDEWEB)

    Iqbal, Saman, E-mail: saman.khan343@gmail.com [Physics Department, University of Engineering and Technology, Lahore (Pakistan); Rafique, Muhammad Shahid [Physics Department, University of Engineering and Technology, Lahore (Pakistan); Anjum, Safia [Physics Department, Lahore College for Woman University, Lahore (Pakistan); Hayat, Asma [Physics Department, University of Engineering and Technology, Lahore (Pakistan); Iqbal, Nida [Faculty of Biomedical Engineering and Health Science, Universiti Teknologi Malaysia (UTM) (Malaysia)

    2012-10-15

    Highlights: Black-Right-Pointing-Pointer PMMA thin films were deposited at 300 Degree-Sign C and 500 Degree-Sign C using PLD technique. Black-Right-Pointing-Pointer These films were irradiated with different fluence of laser produced X-rays. Black-Right-Pointing-Pointer Irradiation affects the ordered packing as well as surface morphology of film. Black-Right-Pointing-Pointer Hardness of film decreases up to certain value of X-ray fluence. Black-Right-Pointing-Pointer Absorption in UV-visible range exhibits a non linear behavior. - Abstract: The objective of this project is to explore the effect of X-ray irradiation of thin polymeric films deposited at various substrate temperatures. pulsed laser deposition (PLD) technique is used for the deposition of PMMA thin films on glass substrate at 300 Degree-Sign C and 500 Degree-Sign C. These films have been irradiated with various X-rays fluences ranging from 2.56 to 5.76 mJ cm{sup -2}. Characterization of the films (before and after the irradiation) is done with help of X-ray Diffractrometer, Optical Microscope, Vickers hardness tester and UV-vis spectroscopy techniques. From XRD data, it is revealed that ordered packing has been improved for the films deposited at 300 Degree-Sign C. However after irradiation the films exhibited the amorphous behavior regardless of the X-ray fluence. Film deposited at 500 Degree-Sign C shows amorphous structure before and after irradiation. Hardness and particle size of thin film have also increased with the increasing substrate temperature. However, the irradiation has reverse effect i.e. the particle size as well as the hardness has reduced. Irradiation has also enhanced the absorption in the UV-visible region.

  7. Magnetic and magneto-optical properties of FeRh thin films

    International Nuclear Information System (INIS)

    Inoue, Sho; Nam, Nguyen T.; Phuoc, Nguyen N.; Cao Jiangwei; Yu Ko, Hnin Yu; Suzuki, Takao

    2008-01-01

    The magnetic and magneto-optical properties of FeRh thin films epitaxially deposited onto MgO(1 0 0) substrates by RF sputter-deposition system have been investigated in conjunction with the structure. An intriguing virgin effect has been found in the M-T curves of the as-deposited FeRh thin films, which is presumably interpreted in term of a change in structural phase when heating. Also, a (negative) maximum peak of Kerr rotation at around 3.8 eV has been observed when FeRh thin films are in ferromagnetic state. The polar Kerr rotation angle is found to increase at temperatures above 100 deg. C, which corresponds to the antiferromagnet (AF)-ferromagnet (FM) transition of FeRh thin films

  8. Polycaprolactone thin films for retinal tissue engineering and drug delivery

    Science.gov (United States)

    Steedman, Mark Rory

    This dissertation focuses on the development of polycaprolactone thin films for retinal tissue engineering and drug delivery. We combined these thin films with techniques such as micro and nanofabrication to develop treatments for age-related macular degeneration (AMD), a disease that leads to the death of rod and cone photoreceptors. Current treatments are only able to slow or limit the progression of the disease, and photoreceptors cannot be regenerated or replaced by the body once lost. The first experiments presented focus on a potential treatment for AMD after photoreceptor death has occurred. We developed a polymer thin film scaffold technology to deliver retinal progenitor cells (RPCs) to the affected area of the eye. Earlier research showed that RPCs destined to become photoreceptors are capable of incorporating into a degenerated retina. In our experiments, we showed that RPC attachment to a micro-welled polycaprolactone (PCL) thin film surface enhanced the differentiation of these cells toward a photoreceptor fate. We then used our PCL thin films to develop a drug delivery device capable of sustained therapeutic release over a multi-month period that would maintain an effective concentration of the drug in the eye and eliminate the need for repeated intraocular injections. We first investigated the biocompatibility of PCL in the rabbit eye. We injected PCL thin films into the anterior chamber or vitreous cavity of rabbit eyes and monitored the animals for up to 6 months. We found that PCL thin films were well tolerated in the rabbit eye, showing no signs of chronic inflammation due to the implant. We then developed a multilayered thin film device containing a microporous membrane. We loaded these devices with lyophilized proteins and quantified drug elution for 10 weeks, finding that both bovine serum albumin and immunoglobulin G elute from these devices with zero order release kinetics. These experiments demonstrate that PCL is an extremely useful

  9. Plastic response of thin films due to thermal cycling

    NARCIS (Netherlands)

    Nicola, L.; van der Giessen, E.; Needleman, A.; Ahzi, S; Cherkaoui, M; Khaleel, MA; Zbib, HM; Zikry, MA; Lamatina, B

    2004-01-01

    Discrete dislocation simulations of thin films on semi-infinite substrates under cyclic thermal loading are presented. The thin film is modelled as a two-dimensional single crystal under plane strain conditions. Dislocations of edge character can be generated from initially present sources and glide

  10. Nucleation of fcc Ta when heating thin films

    International Nuclear Information System (INIS)

    Janish, Matthew T.; Mook, William M.; Carter, C. Barry

    2015-01-01

    Thin tantalum films have been studied during in situ heating in a transmission electron microscope. Diffraction patterns from the as-deposited films were typical of amorphous materials. Crystalline grains were observed to form when the specimen was annealed in situ at 450 °C. Particular attention was addressed to the formation and growth of grains with the face-centered cubic (fcc) crystal structure. These observations are discussed in relation to prior work on the formation of fcc Ta by deformation and during thin film deposition

  11. Synthesis of thin films by the pyrosol process

    Directory of Open Access Journals (Sweden)

    Tucić Aleksandar

    2002-01-01

    Full Text Available Among many aerosol routes, the Pyrosol process, due to its simplicity, low cost and quality of obtained films, represents a promising technique for the synthesis of thin films. The pyrosol process is based on the transport and pyrolysls of an aerosol of processor solution, generated in an ultrasonic atomizer, on a heated substrate. The theoretical principles of the pyrosol process are presented in this paper, as well as the influence of some synthesis parameters on the deposition of SnO2 thin films.

  12. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  13. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  14. The state of the art of thin-film photovoltaics

    International Nuclear Information System (INIS)

    Surek, T.

    1993-10-01

    Thin-film photovoltaic technologies, based on materials such as amorphous or polycrystalline silicon, copper indium diselenide, cadmium telluride, and gallium arsenide, offer the potential for significantly reducing the cost of electricity generated by photovoltaics. The significant progress in the technologies, from the laboratory to the marketplace, is reviewed. The common concerns and questions raised about thin films are addressed. Based on the progress to date and the potential of these technologies, along with continuing investments by the private sector to commercialize the technologies, one can conclude that thin-film PV will provide a competitive alternative for large-scale power generation in the future

  15. Copper zinc tin sulfide-based thin film solar cells

    CERN Document Server

    Ito, Kentaro

    2014-01-01

    Beginning with an overview and historical background of Copper Zinc Tin Sulphide (CZTS) technology, subsequent chapters cover properties of CZTS thin films, different preparation methods of CZTS thin films, a comparative study of CZTS and CIGS solar cell, computational approach, and future applications of CZTS thin film solar modules to both ground-mount and rooftop installation. The semiconducting compound (CZTS) is made up earth-abundant, low-cost and non-toxic elements, which make it an ideal candidate to replace Cu(In,Ga)Se2 (CIGS) and CdTe solar cells which face material scarcity and tox

  16. Photocatalytic properties of porous TiO2/Ag thin films

    International Nuclear Information System (INIS)

    Chang, C.-C.; Chen, J.-Y.; Hsu, T.-L.; Lin, C.-K.; Chan, C.-C.

    2008-01-01

    In this study, nanocrystalline TiO 2 /Ag composite thin films were prepared by a sol-gel spin-coating technique. By introducing polystyrene (PS) spheres into the precursor solution, porous TiO 2 /Ag thin films were prepared after calcination at a temperature of 500 deg. C for 4 h. Three different sizes (50, 200, and 400 nm) of PS spheres were used to prepare porous TiO 2 films. The as-prepared TiO 2 and TiO 2 /Ag thin films were characterized by X-ray diffractometry (XRD) and by scanning electron microscopy to reveal structural and morphological differences. In addition, the photocatalytic properties of these films were investigated by degrading methylene blue under UV irradiation. When PS spheres of different sizes were introduced after calcination, the as-prepared TiO 2 films exhibited different porous structures. XRD results showed that all TiO 2 /Ag films exhibited a major anatase phase. The photodegradation of porous TiO 2 thin films prepared with 200 nm PS spheres and doped with 1 mol% Ag exhibited the best photocatalytic efficiency where ∼ 100% methylene blue was decomposed within 8 h under UV exposure

  17. Thermal conductivity of nanoscale thin nickel films

    Institute of Scientific and Technical Information of China (English)

    YUAN Shiping; JIANG Peixue

    2005-01-01

    The inhomogeneous non-equilibrium molecular dynamics (NEMD) scheme is applied to model phonon heat conduction in thin nickel films. The electronic contribution to the thermal conductivity of the film is deduced from the electrical conductivity through the use of the Wiedemann-Franz law. At the average temperature of T = 300 K, which is lower than the Debye temperature ()D = 450 K,the results show that in a film thickness range of about 1-11 nm, the calculated cross-plane thermal conductivity decreases almost linearly with the decreasing film thickness, exhibiting a remarkable reduction compared with the bulk value. The electrical and thermal conductivities are anisotropic in thin nickel films for the thickness under about 10 nm. The phonon mean free path is estimated and the size effect on the thermal conductivity is attributed to the reduction of the phonon mean free path according to the kinetic theory.

  18. Anomalous precipitation hardening in Al-(1 wt%)Cu thin films

    NARCIS (Netherlands)

    Bergers, L. J. C.; De Hosson, J. Th. M.; Geers, M. G. D.; Hoefnagels, J. P. M.

    2018-01-01

    This paper concentrates on the precipitation hardening of Al-(1 wt%)Cu thin films. It is shown that in contrast to bulk, the well-known approach of precipitation hardening in confined systems like thin layers and thin films does not operate in the conventional way. This work analyses and discusses

  19. Thinning and rupture of a thin liquid film on a heated surface

    Energy Technology Data Exchange (ETDEWEB)

    Bankoff, S.G.; Davis, S.H.

    1992-08-05

    Results on the dynamics and stability of thin films are summarized on the following topics: forced dryout, film instabilities on a horizontal plane and on inclined planes, instrumentation, coating flows, and droplet spreading. (DLC)

  20. Surface proton transport of fully protonated poly(aspartic acid) thin films on quartz substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nagao, Yuki, E-mail: ynagao@jaist.ac.jp; Kubo, Takahiro

    2014-12-30

    Graphical abstract: - Highlights: • Proton transport of fully protonated poly(aspartic acid) thin film was investigated. • The thin film structure differed greatly from the partially protonated one. • Proton transport occurs on the surface, not inside of the thin film. • This result contributes to biological transport systems such as bacteriorhodopsin. - Abstract: Thin film structure and the proton transport property of fully protonated poly(aspartic acid) (P-Asp100) have been investigated. An earlier study assessed partially protonated poly(aspartic acid), highly oriented thin film structure and enhancement of the internal proton transport. In this study of P-Asp100, IR p-polarized multiple-angle incidence resolution (P-MAIR) spectra were measured to investigate the thin film structure. The obtained thin films, with thicknesses of 120–670 nm, had no oriented structure. Relative humidity dependence of the resistance, proton conductivity, and normalized resistance were examined to ascertain the proton transport property of P-Asp100 thin films. The obtained data showed that the proton transport of P-Asp100 thin films might occur on the surface, not inside of the thin film. This phenomenon might be related with the proton transport of the biological system.

  1. Surface proton transport of fully protonated poly(aspartic acid) thin films on quartz substrates

    International Nuclear Information System (INIS)

    Nagao, Yuki; Kubo, Takahiro

    2014-01-01

    Graphical abstract: - Highlights: • Proton transport of fully protonated poly(aspartic acid) thin film was investigated. • The thin film structure differed greatly from the partially protonated one. • Proton transport occurs on the surface, not inside of the thin film. • This result contributes to biological transport systems such as bacteriorhodopsin. - Abstract: Thin film structure and the proton transport property of fully protonated poly(aspartic acid) (P-Asp100) have been investigated. An earlier study assessed partially protonated poly(aspartic acid), highly oriented thin film structure and enhancement of the internal proton transport. In this study of P-Asp100, IR p-polarized multiple-angle incidence resolution (P-MAIR) spectra were measured to investigate the thin film structure. The obtained thin films, with thicknesses of 120–670 nm, had no oriented structure. Relative humidity dependence of the resistance, proton conductivity, and normalized resistance were examined to ascertain the proton transport property of P-Asp100 thin films. The obtained data showed that the proton transport of P-Asp100 thin films might occur on the surface, not inside of the thin film. This phenomenon might be related with the proton transport of the biological system

  2. Recent progress of obliquely deposited thin films for industrial applications

    Science.gov (United States)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  3. Nanoporous cerium oxide thin film for glucose biosensor.

    Science.gov (United States)

    Saha, Shibu; Arya, Sunil K; Singh, S P; Sreenivas, K; Malhotra, B D; Gupta, Vinay

    2009-03-15

    Nanoporous cerium oxide (CeO(2)) thin film deposited onto platinum (Pt) coated glass plate using pulsed laser deposition (PLD) has been utilized for immobilization of glucose oxidase (GOx). Atomic force microscopy studies reveal the formation of nanoporous surface morphology of CeO(2) thin film. Response studies carried out using differential pulsed voltammetry (DPV) and optical measurements show that the GOx/CeO(2)/Pt bio-electrode shows linearity in the range of 25-300 mg/dl of glucose concentration. The low value of Michaelis-Menten constant (1.01 mM) indicates enhanced enzyme affinity of GOx to glucose. The observed results show promising application of the nanoporous CeO(2) thin film for glucose sensing application without any surface functionalization or mediator.

  4. Thin film microelectrodes for electrochemical detection of neurotransmitters

    DEFF Research Database (Denmark)

    Larsen, Simon Tylsgaard

    An important signaling process in the nervous system is the release of chemical messengers called neurotransmitters from neurons. In this thesis alternative thin film electrode materials for applications targeting electrochemical detection of neurotransmitters in chip devices were evaluated...... and conductive polymer microelectrodes made of Pedot:Pss were also fabricated and used successfully to measure transmitter release from cells. The use of different thin film electrodes for low-noise amperometric measurements of single events of transmitter release from neuronal cells was studied....... For this application a very low current noise is needed together with a large temporal resolution. It was shown, that resistive and capacitive properties of thin film electrode materials are determining their usefulness in low-noise amperometric measurements. An analytical expression for the noise was derived...

  5. THz spectroscopy on superconducting NbN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Daschke, Lena; Pracht, Uwe S.; Dressel, Martin; Scheffler, Marc [1. Physikalisches Institut, Universitaet Stuttgart (Germany); Ilin, Konstantin S.; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme, Karlsruher Institut fuer Technologie (Germany)

    2015-07-01

    Epitaxial thin-film niobium nitride (NbN) is a conventional BCS superconductor. In presence of strong disorder, however, electronic inhomogeneities appear, which is not fully understood yet. To obtain a better insight into the physics of such disordered materials, studies on model systems such as structurally tailored films might be useful. Furthermore, disordered NbN films are used for single-photon detection devices, whose proper performance depends on a profound understanding of the superconducting properties. The studied NbN films have a T{sub c} ranging from 10 to 15 K and the superconducting energy gap is easily accessible with THz spectroscopy (0.4 - 5.6 meV). We investigate thin films of NbN sputtered on a sapphire substrate. With a Mach-Zehnder interferometer we measure the amplitude and phase shift of radiation transmitted through the thin-film sample. From there we can determine the real and imaginary parts of the optical conductivity. These results give information about the energy gap, Cooper pair density, and quasiparticle dynamics, including the temperature evolution of these quantities. We found that a film with 10 nm thickness roughly follows the BCS behavior, as expected. We will present results of our measurements on several different NbN samples.

  6. The influence of thin film grain size on the size of nanoparticles generated during UV femtosecond laser ablation of thin gold films

    International Nuclear Information System (INIS)

    Haustrup, N.; O’Connor, G.M.

    2013-01-01

    The upsurge in the number of thin film products has encouraged studies into every aspect of their fabrication and application. An additional source of industrial interest is the laser ablation of thin films to generate nanoparticles. This technique offers advantages over other fabrication methods, as no chemical pre-cursers are required, thereby giving rise to a pure product. The main disadvantage lies in the difficulty with controlling the size of the nanoparticles. This study aims to clarify the influence of the microstructure of a thin film on its optical properties and also to establish the size relationship between the film grain and the nanoparticles generated during laser ablation. A comprehensive sample set of Gold (Au) films with different grain sizes was achieved using different deposition rates, temperatures, film thicknesses (<100 nm) and substrates: Silica, Quartz and Sapphire. The microstructure of each film was analyzed using Atomic Force Microscopy (AFM). Single femtosecond laser pulses, above the ablation threshold fluence of each film, were applied to generate nanoparticles. Scanning Electron Microscopy (SEM) was used to image the re-deposited nanoparticles, from which the nanoparticle size distribution was established. Results confirm that the film microstructure is directly linked to the nanoparticles generated during laser ablation.

  7. The influence of thin film grain size on the size of nanoparticles generated during UV femtosecond laser ablation of thin gold films

    Energy Technology Data Exchange (ETDEWEB)

    Haustrup, N., E-mail: natalie.haustrup@nuigalway.ie [National Centre for Laser Applications, School of Physics, National University of Ireland, Galway (Ireland); O’Connor, G.M. [National Centre for Laser Applications, School of Physics, National University of Ireland, Galway (Ireland)

    2013-08-01

    The upsurge in the number of thin film products has encouraged studies into every aspect of their fabrication and application. An additional source of industrial interest is the laser ablation of thin films to generate nanoparticles. This technique offers advantages over other fabrication methods, as no chemical pre-cursers are required, thereby giving rise to a pure product. The main disadvantage lies in the difficulty with controlling the size of the nanoparticles. This study aims to clarify the influence of the microstructure of a thin film on its optical properties and also to establish the size relationship between the film grain and the nanoparticles generated during laser ablation. A comprehensive sample set of Gold (Au) films with different grain sizes was achieved using different deposition rates, temperatures, film thicknesses (<100 nm) and substrates: Silica, Quartz and Sapphire. The microstructure of each film was analyzed using Atomic Force Microscopy (AFM). Single femtosecond laser pulses, above the ablation threshold fluence of each film, were applied to generate nanoparticles. Scanning Electron Microscopy (SEM) was used to image the re-deposited nanoparticles, from which the nanoparticle size distribution was established. Results confirm that the film microstructure is directly linked to the nanoparticles generated during laser ablation.

  8. Antibacterial Properties of Titanate Nano fiber Thin Films Formed on a Titanium Plate

    International Nuclear Information System (INIS)

    Yada, M.; Inoue, Y.; Morita, T.; Torikai, T.; Watari, T.; Noda, I.; Hotokebuchi, T.

    2013-01-01

    A sodium titanate nano fiber thin film and a silver nanoparticle/silver titanate nano fiber thin film formed on the surface of a titanium plate exhibited strong antibacterial activities against methicillin-resistant Staphylococcus aureus, which is one of the major bacteria causing in-hospital infections. Exposure of the sodium titanate nano fiber thin film to ultraviolet rays generated a high antibacterial activity due to photo catalysis and the sodium titanate nano fiber thin film immediately after its synthesis possessed a high antibacterial activity even without exposure to ultraviolet rays. Elution of silver from the silver nanoparticle/silver titanate nano fiber thin film caused by the silver ion exchange reaction was considered to contribute substantially to the strong antibacterial activity. The titanate nano fiber thin films adhered firmly to titanium. Therefore, these titanate nano fiber thin film/titanium composites will be extremely useful as implant materials that have excellent antibacterial activities.

  9. Preparation of thin vyns films

    International Nuclear Information System (INIS)

    Blanc, R.; Chedin, P.; Gizon, A.

    1965-01-01

    The fabrication of thin films of VYNS resin (copolymer of chloride and vinyl acetate) of superficial density from 3 to 50 μg/cm 2 with solutions in cyclohexanone is presented. Study and discussion of some properties compared with formvar film (polyvinyl formals). It appears that both can be used as source supports but formvar films are prepared more easily and more quickly, in addition they withstand higher temperatures. The main quality of VYNS is that they can be easily separated even several days after their preparation [fr

  10. Repulsive effects of hydrophobic diamond thin films on biomolecule detection

    Energy Technology Data Exchange (ETDEWEB)

    Ruslinda, A. Rahim, E-mail: ruslindarahim@gmail.com [Institute of Nano Electronic Engineering, Universiti Malaysia Perlis, Jln Kgr-Alor Setar, Seriab, 01000 Kangar, Perlis (Malaysia); Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan); Ishiyama, Y. [Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan); Penmatsa, V. [Department of Mechanical and Materials Engineering, Florida International University, 10555 West Flagler Street, Miami, FL 33174 (United States); Ibori, S.; Kawarada, H. [Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan)

    2015-02-15

    Highlights: • We report the effect of fluorine plasma treatment on diamond thin film to resist the nonspecific adsorption of biomolecules. • The diamond thin film were highly hydrophobic with a surface energy value of ∼25 mN/m. • The repulsive effect shows excellent binding efficiency for both DNA and HIV-1 Tat protein. - Abstract: The repulsive effect of hydrophobic diamond thin film on biomolecule detection, such as single-nucleotide polymorphisms and human immunodeficiency virus type 1 trans-activator of transcription peptide protein detection, was investigated using a mixture of a fluorine-, amine-, and hydrogen-terminated diamond surfaces. These chemical modifications lead to the formation of a surface that effectively resists the nonspecific adsorption of proteins and other biomolecules. The effect of fluorine plasma treatment on elemental composition was also investigated via X-ray photoelectron spectroscopy (XPS). XPS results revealed a fluorocarbon layer on the diamond thin films. The contact angle measurement results indicated that the fluorine-treated diamond thin films were highly hydrophobic with a surface energy value of ∼25 mN/m.

  11. Repulsive effects of hydrophobic diamond thin films on biomolecule detection

    International Nuclear Information System (INIS)

    Ruslinda, A. Rahim; Ishiyama, Y.; Penmatsa, V.; Ibori, S.; Kawarada, H.

    2015-01-01

    Highlights: • We report the effect of fluorine plasma treatment on diamond thin film to resist the nonspecific adsorption of biomolecules. • The diamond thin film were highly hydrophobic with a surface energy value of ∼25 mN/m. • The repulsive effect shows excellent binding efficiency for both DNA and HIV-1 Tat protein. - Abstract: The repulsive effect of hydrophobic diamond thin film on biomolecule detection, such as single-nucleotide polymorphisms and human immunodeficiency virus type 1 trans-activator of transcription peptide protein detection, was investigated using a mixture of a fluorine-, amine-, and hydrogen-terminated diamond surfaces. These chemical modifications lead to the formation of a surface that effectively resists the nonspecific adsorption of proteins and other biomolecules. The effect of fluorine plasma treatment on elemental composition was also investigated via X-ray photoelectron spectroscopy (XPS). XPS results revealed a fluorocarbon layer on the diamond thin films. The contact angle measurement results indicated that the fluorine-treated diamond thin films were highly hydrophobic with a surface energy value of ∼25 mN/m

  12. Thin films of metal-organic compounds and metal nanoparticle

    Indian Academy of Sciences (India)

    Thin films of metal-organic compounds and metal nanoparticle-embedded polymers for nonlinear optical applications. S Philip Anthony Shatabdi Porel D ... Thin films based on two very different metal-organic systems are developed and some nonlinear optical applications are explored. A family of zinc complexes which ...

  13. A computational study of the piezoelectric response due to the material effect in periodic, single island thin films and the geometric effect in periodic, bi-island thin films

    International Nuclear Information System (INIS)

    Liu, B.; Bhattacharyya, A.

    2010-01-01

    The electromechanical response of a square-periodic array of circular piezoelectric (PE) thin films alternating with non-piezoelectric (NPE) films is studied in this paper. The material effects are studied for four film/substrate combinations in absence of NPE films for which it is found that if d zxx zzz (z-axis being normal to the interfacial plane between the film and the substrate), it results in reduced substrate bending leading to reduced degradation in the electromechanical response of the thin film. The bi-island structure is studied for zinc oxide on strontium titanate, and, in general, it is seen that the NPE films not only reduce degradation of the electromechanical response of the PE films but also increase their internal stresses; the effect on the former is less than the latter. These effects are most prominent when the circular NPE thin films fill the space between the PE thin films and are elastically very stiff compared to the substrate.

  14. Materials science in microelectronics I the relationships between thin film processing and structure

    CERN Document Server

    Machlin, Eugene

    2005-01-01

    Thin films play a key role in the material science of microelectronics, and the subject matter of thin-films divides naturally into two headings: processing / structure relationship, and structure / properties relationship.The first volume of Materials Science in Microelectronics focuses on the first relationship - that between processing and the structure of the thin-film. The state of the thin film's surface during the period that one monolayer exists - before being buried in the next layer - determines the ultimate structure of the thin film, and thus its properties. This

  15. Chalcogenide phase-change thin films used as grayscale photolithography materials.

    Science.gov (United States)

    Wang, Rui; Wei, Jingsong; Fan, Yongtao

    2014-03-10

    Chalcogenide phase-change thin films are used in many fields, such as optical information storage and solid-state memory. In this work, we present another application of chalcogenide phase-change thin films, i.e., as grayscale photolithgraphy materials. The grayscale patterns can be directly inscribed on the chalcogenide phase-change thin films by a single process through direct laser writing method. In grayscale photolithography, the laser pulse can induce the formation of bump structure, and the bump height and size can be precisely controlled by changing laser energy. Bumps with different height and size present different optical reflection and transmission spectra, leading to the different gray levels. For example, the continuous-tone grayscale images of lifelike bird and cat are successfully inscribed onto Sb(2)Te(3) chalcogenide phase-change thin films using a home-built laser direct writer, where the expression and appearance of the lifelike bird and cat are fully presented. This work provides a way to fabricate complicated grayscale patterns using laser-induced bump structures onto chalcogenide phase-change thin films, different from current techniques such as photolithography, electron beam lithography, and focused ion beam lithography. The ability to form grayscale patterns of chalcogenide phase-change thin films reveals many potential applications in high-resolution optical images for micro/nano image storage, microartworks, and grayscale photomasks.

  16. Magnetic neutron diffraction of MnO thin films

    International Nuclear Information System (INIS)

    Neubeck, W.; Vettier, C.; Mannix, D.; Bernhoeft, N.; Hiess, A.; Ranno, L.; Givord, D.

    1999-01-01

    We report on magnetic neutron diffraction carried out on various epitaxial MnO(III) thin films grown on sapphire and MgO substrates. In all samples, of masses between 5 and 50 μg, magnetic Bragg peaks have been observed. The films exhibit what appears to be continuous phase-transitions in contrast to the strongly discontinuous transition exhibited by bulk samples. In addition, the Neel temperature of films prepared on sapphire substrates is strongly enhanced above that of the bulk whilst that of the film grown on MgO is depressed. The possibility to measure magnetic excitations in such thin film systems is discussed in the light of promising test results obtained from an inelastic magnetic neutron scattering experiment on the IN8 spectrometer. (authors)

  17. Pulsed laser deposition and characterisation of thin superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Morone, A [CNR, zona industriale di Tito Scalo, Potenza (Italy). Istituto per i Materiali Speciali

    1996-09-01

    Same concepts on pulsed laser deposition of thin films will be discussed and same examples of high transition temperature (HTc) BiSrCaCuO (BISCO) and low transition temperature NbN/MgO/NbN multilayers will be presented. X-ray and others characterizations of these films will be reported and discussed. Electrical properties of superconducting thin films will be realized as a function of structural and morphological aspect.

  18. Thin-film voltammetry and its analytical applications: A review

    International Nuclear Information System (INIS)

    Tian, Huihui; Li, Yunchao; Shao, Huibo; Yu, Hua-Zhong

    2015-01-01

    Highlights: • Electrochemistry at immiscible liquid–liquid interfaces is fundamentally important. • Methods for studying redox processes at liquid–liquid interfaces are reviewed. • Thin-film voltammetry is simple in experimental operation and kinetic data analysis. • Thin-film voltammetry’s analytical applications are prevailing and comprehensive. - Abstract: Electrochemical reactions at the interfaces of immiscible electrolyte solutions (ITIES) are of fundamental importance in the fields of chemical, biological and pharmaceutical sciences. Four-electrode cell setup, scanning electrochemical microscopy (SECM) and thin-film voltammetry are the three most frequently used methods for studying the electrochemical processes at these interfaces. The principle, experimental design, advantages and challenges of the three methods are described and compared. The thin-film voltammetry is highlighted for its simplicity in experimental operation and kinetic data analysis. Its versatile analytical applications are discussed in detail, including the study of redox properties of hydrophobic compounds, evaluation of interfacial electron transfer kinetics, synthesis of nanoparticles/nanostructures, and illustration of cross-membrane ion transport phenomena

  19. Numerical simulations of electrohydrodynamic evolution of thin polymer films

    Science.gov (United States)

    Borglum, Joshua Christopher

    Recently developed needleless electrospinning and electrolithography are two successful techniques that have been utilized extensively for low-cost, scalable, and continuous nano-fabrication. Rational understanding of the electrohydrodynamic principles underneath these nano-manufacturing methods is crucial to fabrication of continuous nanofibers and patterned thin films. This research project is to formulate robust, high-efficiency finite-difference Fourier spectral methods to simulate the electrohydrodynamic evolution of thin polymer films. Two thin-film models were considered and refined. The first was based on reduced lubrication theory; the second further took into account the effect of solvent drying and dewetting of the substrate. Fast Fourier Transform (FFT) based spectral method was integrated into the finite-difference algorithms for fast, accurately solving the governing nonlinear partial differential equations. The present methods have been used to examine the dependencies of the evolving surface features of the thin films upon the model parameters. The present study can be used for fast, controllable nanofabrication.

  20. Analyzing the LiF thin films deposited at different substrate temperatures using multifractal technique

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, R.P. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); Dwivedi, S., E-mail: suneetdwivedi@gmail.com [K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Mittal, A.K. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Kumar, Manvendra [Nanotechnology Application Centre, University of Allahabad, Allahabad, UP 211002 (India); Pandey, A.C. [K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Nanotechnology Application Centre, University of Allahabad, Allahabad, UP 211002 (India)

    2014-07-01

    The Atomic Force Microscopy technique is used to characterize the surface morphology of LiF thin films deposited at substrate temperatures 77 K, 300 K and 500 K, respectively. It is found that the surface roughness of thin film increases with substrate temperature. The multifractal nature of the LiF thin film at each substrate temperature is investigated using the backward two-dimensional multifractal detrended moving average analysis. The strength of multifractility and the non-uniformity of the height probabilities of the thin films increase as the substrate temperature increases. Both the width of the multifractal spectrum and the difference of fractal dimensions of the thin films increase sharply as the temperature reaches 500 K, indicating that the multifractility of the thin films becomes more pronounced at the higher substrate temperatures with greater cluster size. - Highlights: • Analyzing LiF thin films using multifractal detrended moving average technique • Surface roughness of LiF thin film increases with substrate temperature. • LiF thin films at each substrate temperature exhibit multifractality. • Multifractility becomes more pronounced at the higher substrate temperatures.

  1. Effects of neutral particle beam on nano-crystalline silicon thin films, with application to thin film transistor backplane for flexible active matrix organic light emitting diodes

    International Nuclear Information System (INIS)

    Jang, Jin Nyoung; Song, Byoung Chul; Lee, Dong Hyeok; Yoo, Suk Jae; Lee, Bonju; Hong, MunPyo

    2011-01-01

    A novel deposition process for nano-crystalline silicon (nc-Si) thin films was developed using neutral beam assisted chemical vapor deposition (NBaCVD) technology for the application of the thin film transistor (TFT) backplane of flexible active matrix organic light emitting diode (AMOLED). During the formation of a nc-Si thin film, the energetic particles enhance nano-sized crystalline rather microcrystalline Si in thin films. Neutral Particle Beam (NPB) affects the crystallinity in two ways: (1) NPB energy enhances nano-crystallinity through kinetic energy transfer and chemical annealing, and (2) heavier NPB (such as Ar) induces damage and amorphization through energetic particle impinging. Nc-Si thin film properties effectively can be changed by the reflector bias. As increase of NPB energy limits growing the crystalline, the performance of TFT supports this NPB behavior. The results of nc-Si TFT by NBaCVD demonstrate the technical potentials of neutral beam based processes for achieving high stability and reduced leakage in TFT backplanes for AMOLEDs.

  2. Biocompatibility of GaSb thin films grown by RF magnetron sputtering

    Science.gov (United States)

    Nishimoto, Naoki; Fujihara, Junko; Yoshino, Katsumi

    2017-07-01

    GaSb may be suitable for biological applications, such as cellular sensors and bio-medical instrumentation because of its low toxicity compared with As (III) compounds and its band gap energy. Therefore, the biocompatibility and the film properties under physiological conditions were investigated for GaSb thin films with or without a surface coating. GaSb thin films were grown on quartz substrates by RF magnetron sputtering, and then coated with (3-mercaptopropyl) trimethoxysilane (MPT). The electrical properties, surface morphology, and crystal structure of the GaSb thin film were unaffected by the MPT coating. The cell viability assay suggested that MPT-coated GaSb thin films are biocompatible. Bare GaSb was particularly unstable in pH9 buffer. Ga elution was prevented by the MPT coating, although the Ga concentration in the pH 9 buffer was higher than that in the other solutions. The surface morphology and crystal structure were not changed by exposure to the solutions, except for the pH 9 buffer, and the thin film properties of MPT-coated GaSb exposed to distilled water and H2O2 in saline were maintained. These results indicate that MPT-coated GaSb thin films are biocompatible and could be used for temporary biomedical devices.

  3. FABRICATION OF ZNS THIN FILM FOR INORGANIC EL BY THE VACCUUM EVAPORATION

    OpenAIRE

    龍見, 雅美; 島谷, 圭市; 小西, 信行; 元木, 健作

    2008-01-01

    "Zinc sulfide is a typical material for inorganic electroluminescent(EL) device. Recently very high luminance and life time e has been reported on an inorganic EL device based on thin film zinc sulfide material. The present study tries to realize high quality zinc sulfide thin film for EL device. The thin film was grown by the vacuum evaporation method. In order to obtain stoichiometric thin film, the vacuum evaporation was carried out in a quasi-closed vessel under a condition of sulfur atmo...

  4. Extending the 3ω method: thermal conductivity characterization of thin films.

    Science.gov (United States)

    Bodenschatz, Nico; Liemert, André; Schnurr, Sebastian; Wiedwald, Ulf; Ziemann, Paul

    2013-08-01

    A lock-in technique for measurement of thermal conductivity and volumetric heat capacity of thin films is presented. The technique is based on the 3ω approach using electrical generation and detection of oscillatory heat along a thin metal strip. Thin films are deposited onto the backside of commercial silicon nitride membranes, forming a bilayer geometry with distinct thermal parameters. Stepwise comparison to an adapted heat diffusion model delivers these parameters for both layers. Highest sensitivity is found for metallic thin films.

  5. Effects of bacteria on CdS thin films used in technological devices

    Science.gov (United States)

    Alpdoğan, S.; Adıgüzel, A. O.; Sahan, B.; Tunçer, M.; Metin Gubur, H.

    2017-04-01

    Cadmium sulfide (CdS) thin films were fabricated on glass substrates by the chemical bath deposition method at 70 {}^\\circ \\text{C} considering deposition times ranging from 2 h to 5 h. The optical band gaps of CdS thin films were found to be in the 2.42-2.37 eV range. CdS thin films had uniform spherical nano-size grains which had polycrystalline, hexagonal and cubic phases. The films had a characteristic electrical resistivity of the order of {{10}5} Ω \\text{cm} and n-type conductivity at room condition. CdS thin films were incubated in cultures of B.domonas aeruginosa and Staphylococcus aureus, which exist abundantly in the environment, and form biofilms. SEM images showed that S. aureus and K. pneumonia were detected significantly on the film surfaces with a few of P. aeruginosa and B. subtilis cells attached. CdS thin film surface exhibits relatively good resistance to the colonization of P. aeruginosa and B. subtilis. Optical results showed that the band gap of CdS thin films which interacted with the bacteria is 2.42 \\text{eV} . The crystal structure and electrical properties of CdS thin films were not affected by bacterial adhesion. The antimicrobial effect of CdS nanoparticles was different for different bacterial strains.

  6. Topography evolution of germanium thin films synthesized by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    P. Schumacher

    2017-04-01

    Full Text Available Germanium thin films were deposited by Pulsed Laser Deposition (PLD onto single crystal Ge (100 and Si (100 substrates with a native oxide film on the surface. The topography of the surface was investigated by Atomic Force Microscopy (AFM to evaluate the scaling behavior of the surface roughness of amorphous and polycrystalline Ge films grown on substrates with different roughnesses. Roughness evolution was interpreted within the framework of stochastic rate equations for thin film growth. Here the Kardar-Parisi-Zhang equation was used to describe the smoothening process. Additionally, a roughening regime was observed in which 3-dimensional growth occurred. Diffusion of the deposited Ge adatoms controlled the growth of the amorphous Ge thin films. The growth of polycrystalline thin Ge films was dominated by diffusion processes only in the initial stage of the growth.

  7. Passivation Effects in Copper Thin Films

    International Nuclear Information System (INIS)

    Wiederhirn, G.; Nucci, J.; Richter, G.; Arzt, E.; Balk, T. J.; Dehm, G.

    2006-01-01

    We studied the influence of a 10 nm AlxOy passivation on the stress-temperature behavior of 100 nm and 1 μm thick Cu films. At low temperatures, the passivation induces a large tensile stress increase in the 100 nm film; however, its effect on the 1 μm film is negligible. At high temperatures, the opposite behavior is observed; while the passivation does not change the 100 nm film behavior, it strengthens the 1 μm film by driving it deeper into compression. These observations are explained in light of a combination of constrained diffusional creep and dislocation dynamics unique to ultra-thin films

  8. Cadmium sulphide thin film for application in gamma radiation ...

    African Journals Online (AJOL)

    Cadmium Sulphide (CdS) thin film was prepared using pyrolytic spraying technique and then irradiated at varied gamma dosage. The CdS thin film absorption before gamma irradiation was 0.6497. Absorbed doses were computed using standard equation established for an integrating dosimeter. The plot of absorbed dose ...

  9. Aluminum nitride and nanodiamond thin film microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Knoebber, Fabian; Bludau, Oliver; Roehlig, Claus-Christian; Williams, Oliver; Sah, Ram Ekwal; Kirste, Lutz; Cimalla, Volker; Lebedev, Vadim; Nebel, Christoph; Ambacher, Oliver [Fraunhofer-Institute for Applied Solid State Physics, Freiburg (Germany)

    2010-07-01

    In this work, aluminum nitride (AlN) and nanocrystalline diamond (NCD) thin film microstructures have been developed. Freestanding NCD membranes were coated with a piezoelectrical AlN layer in order to build tunable micro-lens arrays. For the evaluation of the single material quality, AlN and NCD thin films on silicon substrates were fabricated using RF magnetron sputtering and microwave chemical vapor deposition techniques, respectively. The crystal quality of AlN was investigated by X-ray diffraction. The piezoelectric constant d{sub 33} was determined by scanning laser vibrometry. The NCD thin films were optimized with respect to surface roughness, mechanical stability, intrinsic stress and transparency. To determine the mechanical properties of the materials, both, micromechanical resonator and membrane structures were fabricated and measured by magnetomotive resonant frequency spectroscopy and bulging experiments, respectively. Finally, the behavior of AlN/NCD heterostructures was modeled using the finite element method and the first structures were characterized by piezoelectrical measurements.

  10. Modified lead titanate thin films for pyroelectric infrared detectors on gold electrodes

    Science.gov (United States)

    Ahmed, Moinuddin; Butler, Donald P.

    2015-07-01

    Pyroelectric infrared detectors provide the advantage of both a wide spectral response and dynamic range, which also has enabled systems to be developed with reduced size, weight and power consumption. This paper demonstrates the deposition of lead zirconium titanate (PZT) and lead calcium titanate (PCT) thin films for uncooled pyroelectric detectors with the utilization of gold electrodes. The modified lead titanate thin films were deposited by pulsed laser deposition on gold electrodes. The PZT and PCT thins films deposited and annealed at temperatures of 650 °C and 550 °C respectively demonstrated the best pyroelectric performance in this work. The thin films displayed a pyroelectric effect that increased with temperature. Poling of the thin films was carried out for a fixed time periods and fixed dc bias voltages at elevated temperature in order to increase the pyroelectric coefficient by establishing a spontaneous polarization of the thin films. Poling caused the pyroelectric current to increase one order of magnitude.

  11. Characterization of thin films with synchrotron radiation in SPring-8

    International Nuclear Information System (INIS)

    Komiya, Satoshi

    2005-01-01

    Many studies about thin films by using synchrotron radiation in SPring-8 were reviewed. Structural analyses and assessment of thin films used for electronics, and also assessment of insulating films for the gate used in LSI were carried out. Film thickness, unevenness, and density of SiO 2 films in order of nanomer thickness were determined by interference fringes of x-ray reflection curves. The interface structure of (SiO 2 /Si) films was studied by x-ray crystal truncation rod scattering, and the correlation between leakage character depending on nitrogen concentration and interface structure was clarified on SiON film. The oxygen concentration in HfO films in nanometer thickness was determined by x-ray fluorescence analysis, and the interface reaction for HfO 2 /SiO 2 was clearly observed by electron spectroscopy. The structure of amorphous thin films with large dielectric constant was analyzed by x-ray absorption fine structure (XAFS) spectrum. Devices fabricated from multi-layer films showing giant magnetic resistance were developed for hard disk with a large memory. The character of giant magnetic resistance was governed by multi-layer thin film structure piled up by magnetic and nonmagnetic polycrystalline thin metals. For the multi-layer structure, the concentration distribution of constituent elements was determined to the direction of film thickness by x-ray reflection analysis and grazing incident x-ray fluorescence analysis. In the semiconductor laser source, Ga 1-x In x N, used for DVD, the local structure around In ions was studied by XAFS since constituent instability, especially overpopulation of In element, caused the deterioration of lifetime and light emission of the laser. The lattice constant of the light emission layer in InGaAs was measured by x-ray micro-beams. (author)

  12. Metal-insulator transition induced in CaVO3 thin films

    International Nuclear Information System (INIS)

    Gu Man; Laverock, Jude; Chen, Bo; Smith, Kevin E.; Wolf, Stuart A.; Lu Jiwei

    2013-01-01

    Stoichiometric CaVO 3 (CVO) thin films of various thicknesses were grown on single crystal SrTiO 3 (STO) (001) substrates using a pulsed electron-beam deposition technique. The CVO films were capped with a 2.5 nm STO layer. We observed a temperature driven metal-insulator transition (MIT) in CVO films with thicknesses below 4 nm that was not observed in either thick CVO films or STO films. The emergence of this MIT can be attributed to the reduction in effective bandwidth due to a crossover from a three-dimensional metal to a two-dimensional insulator. The insulating phase was only induced with a drive current below 0.1 μA. X-ray absorption measurements indicated different electronic structures for thick and very thin films of CVO. Compared with the thick film (∼60 nm), thin films of CVO (2–4 nm) were more two-dimensional with the V charge state closer to V 4+ .

  13. Characterisation and optical vapour sensing properties of PMMA thin films

    Energy Technology Data Exchange (ETDEWEB)

    Capan, I. [Balikesir University, Science and Arts Faculty, Physics Department, 10100 Balikesir (Turkey)], E-mail: inci.capan@gmail.com; Tarimci, C. [Ankara University, Faculty of Engineering, Department of Engineering Physics, 06100, Tandogan, Ankara (Turkey); Hassan, A.K. [Sheffield Hallam University, Materials and Engineering Research Institute, City Campus, Pond Street, Sheffield S1 1WB (United Kingdom); Tanrisever, T. [Balikesir University, Science and Arts Faculty, Chemistry Department, 10100 Balikesir (Turkey)

    2009-01-01

    The present article reports on the characterisation of spin coated thin films of poly (methyl methacrylate) (PMMA) for their use in organic vapour sensing application. Thin film properties of PMMA are studied by UV-visible spectroscopy, atomic force microscopy and surface plasmon resonance (SPR) technique. Results obtained show that homogeneous thin films with thickness in the range between 6 and 15 nm have been successfully prepared when films were spun at speeds between 1000-5000 rpm. Using SPR technique, the sensing properties of the spun films were studied on exposures to several halohydrocarbons including chloroform, dichloromethane and trichloroethylene. Data from measured kinetic response have been used to evaluate the sensitivity of the studied films to the various analyte molecules in terms of normalised response (%) per unit concentration (ppm). The highest PMMA film sensitivity of 0.067 normalised response per ppm was observed for chloroform vapour, for films spun at 1000 rpm. The high film's sensitivity to chloroform vapour was ascribed mainly to its solubility parameter and molar volume values. Effect of film thickness on the vapour sensing properties is also discussed.

  14. Thin-film calorimetry. In-situ characterization of materials for lithium-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Omelcenko, Alexander; Wulfmeier, Hendrik; Albrecht, Daniel; Fritze, Holger [Clausthal Univ. of Technology, Goslar (Germany). Inst. of Energy Research and Physical Technologies; El Mofid, Wassima; Ivanov, Svetlozar; Bund, Andreas [Ilmenau Univ. of Technology (Germany). Dept. of Electrochemistry

    2017-11-15

    Thin-film calorimetry allows for qualitative and quantitative in-situ analysis of thermodynamic properties of thin films and thin-film systems from room temperature up to 1000 C. It is based on highly sensitive piezoelectric langasite resonators which serve simultaneously as planar temperature sensors and substrates for the films of interest. Generation or consumption of heat during phase transformations of the films cause deviations from the regular course of the resonance frequency. Thermodynamic data such as phase transformation temperatures and enthalpies are extracted from these deviations. Thin-film calorimetry on Sn and Al thin films is performed to prove the concept. The results demonstrate high reproducibility of the measurement approach and are in agreement with literature data obtained by established calorimetric techniques. The calibration of the system is done in different atmospheres by application of defined heat pulses via heating structures. The latter replace the films of interest and simulate phase transformations to provide detailed analysis of the heat transfer mechanisms occurring in the measurement system. Based on this analysis, a data evaluation concept is developed. Application-relevant studies are performed on thin films of the lithium-ion battery materials NMC(A), NCA, LMO, and MoS{sub 2}. Their phase transformation temperatures and enthalpies are evaluated in oxidizing and reducing atmospheres. Furthermore, their thermodynamic stability ranges are presented. Finally, measurements on all-solid-state thin-film batteries during electrochemical cycling are performed. They demonstrate the suitability of the system for in-situ investigations.

  15. Nano structured TiO2 thin films by polymeric precursor method

    International Nuclear Information System (INIS)

    Stroppa, Daniel Grando; Giraldi, Tania Regina; Leite, Edson Roberto; Varela, Jose Arana; Longo, Elson

    2008-01-01

    This work focuses in optimizing setup for obtaining TiO 2 thin films by polymeric precursor route due to its advantages on stoichiometric and morphological control. Precursor stoichiometry, synthesis pH, solids concentration and rotation speed at deposition were optimized evaluating thin films morphology and thickness. Thermogravimetry and NMR were applied for precursor's characterization and AFM, XRD and ellipsometry for thin films evaluation. Results showed successful attainment of homogeneous nanocrystalline anatase TiO 2 thin films with outstanding control over morphological characteristics, mean grain size of 17 nm, packing densities between 57 and 75%, estimated surface areas of 90 m 2 /g and monolayers thickness within 20 and 128 nm. (author)

  16. Radiation induced luminescence from a dipole immersed in a thin film

    International Nuclear Information System (INIS)

    Nkoma, J.S.

    1990-08-01

    Luminescence is modelled as electromagnetic radiation from a dipole immersed in a thin film. Maxwell's equations are solved for the cases when the dipole in the thin film is oriented normal and parallel to the interfaces. Expressions for emitted electric fields outside the thin film are derived and are found to have a resonant denominator that vanishes at the surface polariton excitation frequencies for a thin film. Luminescent spectra are plotted and peaks are found that are identified to be associated with both surface response and bulk response. Numerical results are presented to illustrate the model by considering a vacuum-GaP-sapphire system. (author). 9 refs, 5 figs

  17. Thermal conductivities of thin, sputtered optical films

    International Nuclear Information System (INIS)

    Henager, C.H. Jr.; Pawlewicz, W.T.

    1991-05-01

    The normal component of the thin film thermal conductivity has been measured for the first time for several advanced sputtered optical materials. Included are data for single layers of boron nitride (BN), aluminum nitride (AIN), silicon aluminum nitride (Si-Al-N), silicon aluminum oxynitride (Si-Al-O-N), silicon carbide (SiC), and for dielectric-enhanced metal reflectors of the form Al(SiO 2 /Si 3 N 4 ) n and Al(Al 2 O 3 /AIN) n . Sputtered films of more conventional materials like SiO 2 , Al 2 O 3 , Ta 2 O 5 , Ti, and Si have also been measured. The data show that thin film thermal conductivities are typically 10 to 100 times lower than conductivities for the same materials in bulk form. Structural disorder in the amorphous or very fine-grained films appears to account for most of the conductivity difference. Conclusive evidence for a film/substrate interface contribution is presented

  18. Microscopic local fatigue in PZT thin films

    International Nuclear Information System (INIS)

    Li, B S; Wu, A; Vilarinho, P M

    2007-01-01

    The reduction in switchable polarization during fatigue largely limits the application of PZT thin films in ferroelectric nonvolatile memories. So, it is very important to understand the fatigue mechanism in PZT films, especially at a nanoscale level. In this paper, nanoscale fatigue properties in PZT thin films have been studied by piezoresponse force microscopy and local piezoloops. It has been found that a piezoloop obtained on a fatigued point exhibits a much more pinched shape and a local imprint phenomenon is observed after severe fatigue. Furthermore, the domain structure evolves from a simple single-peak profile to a complex fluctuant one. However, there is only some shift of the piezoloop when a unipolar field with the same amplitude is applied on the film. The available experimental data show that there exist obvious domain wall pinning and injection of electrons into the film during fatigue. Finally, a schematic illustration is suggested to explain the possible fatigue mechanism

  19. Thin Film Approaches to the SRF Cavity Problem: Fabrication and Characterization of Superconducting Thin Films

    Science.gov (United States)

    Beringer, Douglas B.

    Superconducting Radio Frequency (SRF) cavities are responsible for the acceleration of charged particles to relativistic velocities in most modern linear accelerators, such as those employed at high-energy research facilities like Thomas Jefferson National Laboratory's CEBAF and the LHC at CERN. Recognizing SRF as primarily a surface phenomenon enables the possibility of applying thin films to the interior surface of SRF cavities, opening a formidable tool chest of opportunities by combining and designing materials that offer greater benefit. Thus, while improvements in radio frequency cavity design and refinements in cavity processing techniques have improved accelerator performance and efficiency - 1.5 GHz bulk niobium SRF cavities have achieved accelerating gradients in excess of 35 MV/m - there exist fundamental material bounds in bulk superconductors limiting the maximally sustained accelerating field gradient (approximately 45 MV/m for Niobium) where inevitable thermodynamic breakdown occurs. With state of the art niobium based cavity design fast approaching these theoretical limits, novel material innovations must be sought in order to realize next generation SRF cavities. One proposed method to improve SRF performance is to utilize thin film superconducting-insulating-superconducting (SIS) multilayer structures to effectively magnetically screen a bulk superconducting layer such that it can operate at higher field gradients before suffering critically detrimental SRF losses. This dissertation focuses on the production and characterization of thin film superconductors for such SIS layers for radio-frequency applications.

  20. Wavelet-fractal approach to surface characterization of nanocrystalline ITO thin films

    International Nuclear Information System (INIS)

    Raoufi, Davood; Kalali, Zahra

    2012-01-01

    In this study, indium tin oxide (ITO) thin films were prepared by electron beam deposition method on glass substrates at room temperature (RT). Surface morphology characterization of ITO thin films, before and after annealing at 500 °C, were investigated by analyzing the surface profile of atomic force microscopy (AFM) images using wavelet transform formalism. The wavelet coefficients related to the thin film surface profiles have been calculated, and then roughness exponent (α) of the films has been estimated using the scalegram method. The results reveal that the surface profiles of the films before and after annealing process have self-affine nature.

  1. Releasing cation diffusion in self-limited nanocrystalline defective ceria thin films

    DEFF Research Database (Denmark)

    Esposito, Vincenzo; Ni, D. W.; Gualandris, Fabrizio

    2017-01-01

    Acceptor-doped nanocrystalline cerium oxide thin films are mechanically constrained nano-domains, with film/substrate interfacial strain and chemical doping deadlock mass diffusion. In contrast, in this paper we show that chemical elements result in highly unstable thin films under chemical...

  2. Preparation and optical characterization of DNA-riboflavin thin films

    Science.gov (United States)

    Paulson, Bjorn; Shin, Inchul; Kong, Byungjoo; Sauer, Gregor; Dugasani, Sreekantha Reddy; Khazaeinezhad, Reza; Jung, Woohyun; Joo, Boram; Oh, Kyunghwan

    2016-09-01

    Thin films of DNA biopolymer thin film are fabricated by a drop casting process on glass and silicon substrates, as well as freestanding. The refractive index is measured by elliposmetry and in bulk DNA film the refractive index is shown to be increased in the 600 to 900 nm DNA transparency window by doping with riboflavin. Further analysis with FT-IR, Raman, and XRD are used to determine whether binding between riboflavin and DNA occurs.

  3. Thin film coatings for space electrical power system applications

    Science.gov (United States)

    Gulino, Daniel A.

    1988-01-01

    This paper examines some of the ways in which thin film coatings can play a role in aerospace applications. Space systems discussed include photovoltaic and solar dynamic electric power generation systems, including applications in environmental protection, thermal energy storage, and radiator emittance enhancement. Potential applications of diamondlike films to both atmospheric and space based systems are examined. Also, potential uses of thin films of the recently discovered high-temperature superconductive materials are discussed.

  4. Thin film adhesion by nanoindentation-induced superlayers. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Gerberich, William W.; Volinsky, A.A.

    2001-06-01

    This work has analyzed the key variables of indentation tip radius, contact radius, delamination radius, residual stress and superlayer/film/interlayer properties on nanoindentation measurements of adhesion. The goal to connect practical works of adhesion for very thin films to true works of adhesion has been achieved. A review of this work titled ''Interfacial toughness measurements of thin metal films,'' which has been submitted to Acta Materialia, is included.

  5. Valence control of cobalt oxide thin films by annealing atmosphere

    International Nuclear Information System (INIS)

    Wang Shijing; Zhang Boping; Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping

    2011-01-01

    The cobalt oxide (CoO and Co 3 O 4 ) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH 3 OCH 2 CH 2 OH and Co(NO 3 ) 2 .6H 2 O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co 3 O 4 thin film was obtained by annealing in air at 300-600, and N 2 at 300, and transferred to CoO thin film by raising annealing temperature in N 2 . The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  6. Implanted ZnO thin films: Microstructure, electrical and electronic properties

    International Nuclear Information System (INIS)

    Lee, J.; Metson, J.; Evans, P.J.; Kinsey, R.; Bhattacharyya, D.

    2007-01-01

    Magnetron sputtered polycrystalline ZnO thin films were implanted using Al, Ag, Sn, Sb and codoped with TiN in order to improve the conductivity and to attempt to achieve p-type behaviour. Structural and electrical properties of the implanted ZnO thin films were examined with X-ray diffractometry (XRD), scanning electron microscopy (SEM), secondary ion mass spectrometry (SIMS), atomic force microscopy (AFM) and conductivity measurements. Depth profiles of the implanted elements varied with the implant species. Implantation causes a partial amorphisation of the crystalline structure and decreases the effective grain size of the films. One of the findings is the improvement, as a consequence of implantation, in the conductivity of initially poorly conductive samples. Heavy doping may help for the conversion of conduction type of ZnO thin films. Annealing in vacuum mitigated structural damage and stress caused by implantation, and improved the conductivity of the implanted ZnO thin films

  7. Electroluminescence Spectrum Shift with Switching Behaviour of Diamond Thin Films

    Institute of Scientific and Technical Information of China (English)

    王小平; 王丽军; 张启仁; 姚宁; 张兵临

    2003-01-01

    We report a special phenomenon on switching behaviour and the electroluminescence (EL) spectrum shift of doped diamond thin films. Nitrogen and cerium doped diamond thin films were deposited on a silicon substrate by microwave plasma-assisted chemical vapour deposition system and other special techniques. An EL device with a three-layer structure of nitrogen doped diamond/cerium doped diamond/SiO2 thin films was made. The EL device was driven by a direct-current power supply. Its EL character has been investigated, and a switching behaviour was observed. The EL light emission colour of diamond films changes from yellow (590nm) to blue (454 nm) while the switching behaviour appears.

  8. Atomic Structure Control of Silica Thin Films on Pt(111)

    KAUST Repository

    Crampton, Andrew S; Ridge, Claron J.; Rö tzer, Marian David; Zwaschka, Gregor; Braun, Thomas; D'Elia, Valerio; Basset, Jean-Marie; Schweinberger, Florian Frank; Gü nther, Sebastian; Heiz, Ueli

    2015-01-01

    Metal oxide thin films grown on metal single crystals are commonly used to model heterogeneous catalyst supports. The structure and properties of thin silicon dioxide films grown on metal single crystals have only recently been thoroughly

  9. Synthesis and characterization of cobalt doped nickel oxide thin films by spray pyrolysis method

    Science.gov (United States)

    Sathisha, D.; Naik, K. Gopalakrishna

    2018-05-01

    Cobalt (Co) doped nickel oxide (NiO) thin films were deposited on glass substrates at a temperature of about 400 °C by spray pyrolysis method. The effect of Co doping concentration on structural, optical and compositional properties of NiO thin films was investigated. X-ray diffraction result shows that the deposited thin films are polycrystalline in nature. Surface morphologies of the deposited thin films were observed by FESEM and AFM. EDS spectra showed the incorporation of Co dopants in NiO thin films. Optical properties of the grown thin films were characterized by UV-visible spectroscopy. It was found that the optical band gap energy and transmittance of the films decrease with increasing Co doping concentration.

  10. Growth of HfO{sub x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany)

    2008-07-01

    Thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. The conditions for the growth of single oriented hafnium oxide thin films have been established. Hafnium oxide thin films were characterized by X-ray diffraction and optical absorption measurements. It was found that hafnium oxide thin films grown on r-cut sapphire were (00l) oriented whereas, on c-cut sapphire, hafnium oxide films showed different orientations depending on the growth temperature and oxidation conditions. The hafnium oxide films grown at higher temperature and under strong oxidation conditions yielded (001) oriented films on c-cut sapphire whereas slightly weaker oxidation condition leads to (111) oriented hafnium oxide films. The bandgap deducted from optical absorption measurement carried out on hafnium oxide films grown under optimized conditions agreed well with the values reported in literature. A range of oxygen deficient thin films of hafnium oxide were also grown on single crystal sapphire substrates in order to investigate the effect of oxygen vacancies on dielectric properties of hafnium oxide. The oxygen deficient thin films of hafnium oxide show a decrease in bandgap with increase in oxygen deficiency.

  11. Achieving 3-D Nanoparticle Assembly in Nanocomposite Thin Films via Kinetic Control

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Jingyu; Xiao, Yihan; Xu, Ting [UCB

    2017-02-20

    Nanocomposite thin films containing well-ordered nanoparticle (NP) assemblies are ideal candidates for the fabrication of metamaterials. Achieving 3-D assembly of NPs in nanocomposite thin films is thermodynamically challenging as the particle size gets similar to that of a single polymer chain. The entropic penalties of polymeric matrix upon NP incorporation leads to NP aggregation on the film surface or within the defects in the film. Controlling the kinetic pathways of assembly process provides an alternative path forward by arresting the system in nonequilibrium states. Here, we report the thin film 3-D hierarchical assembly of 20 nm NPs in supramolecules with a 30 nm periodicity. By mediating the NP diffusion kinetics in the supramolecular matrix, surface aggregation of NPs was suppressed and NPs coassemble with supramolecules to form new 3-D morphologies in thin films. The present studies opened a viable route to achieve designer functional composite thin films via kinetic control.

  12. CuInS2 thin films obtained through the annealing of chemically deposited In2S3-CuS thin films

    International Nuclear Information System (INIS)

    Pena, Y.; Lugo, S.; Calixto-Rodriguez, M.; Vazquez, A.; Gomez, I.; Elizondo, P.

    2011-01-01

    In this work, we report the formation of CuInS 2 thin films on glass substrates by heating chemically deposited multilayers of copper sulfide (CuS) and indium sulfide (In 2 S 3 ) at 300 and 350 deg. C in nitrogen atmosphere at 10 Torr. CIS thin films were prepared by varying the CuS layer thickness in the multilayers with indium sulfide. The XRD analysis showed that the crystallographic structure of the CuInS 2 (JCPDS 27-0159) is present on the deposited films. From the optical analysis it was estimated the band gap value for the CIS film (1.49 eV). The electrical conductivity varies from 3 x 10 -8 to 3 Ω -1 cm -1 depending on the thickness of the CuS film. CIS films showed p-type conductivity.

  13. Electron-beam deposition of vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Marvel, R.E.; Appavoo, K. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Choi, B.K. [Vanderbilt University, Department of Electrical Engineering and Computer Science, Nashville, TN (United States); Nag, J. [Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States); Haglund, R.F. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Vanderbilt University, Institute for Nanoscale Science and Engineering, Nashville, TN (United States); Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States)

    2013-06-15

    Developing a reliable and efficient fabrication method for phase-transition thin-film technology is critical for electronic and photonic applications. We demonstrate a novel method for fabricating polycrystalline, switchable vanadium dioxide thin films on glass and silicon substrates and show that the optical switching contrast is not strongly affected by post-processing annealing times. The method relies on electron-beam evaporation of a nominally stoichiometric powder, followed by fast annealing. As a result of the short annealing procedure we demonstrate that films deposited on silicon substrates appear to be smoother, in comparison to pulsed laser deposition and sputtering. However, optical performance of e-beam evaporated film on silicon is affected by annealing time, in contrast to glass. (orig.)

  14. Thin-film growth and the shadow instability

    International Nuclear Information System (INIS)

    Karunasiri, R.P.U.; Bruinsma, R.; Rudnick, J.

    1989-01-01

    We propose a growth model for deposition of thin amorphous films by the sputtering technique. For small values of the diffusion constant, the film develops a self-similar mountain landscape. As the diffusion constant is increased a regime is reached where growth of compact flat films is possible up to a critical height. Further deposition leads to surface roughening

  15. Studies of electronic and magnetic properties of LaVO3 thin film

    Science.gov (United States)

    Jana, Anupam; Karwal, Sharad; Choudhary, R. J.; Phase, D. M.

    2018-04-01

    We have investigated the electronic and magnetic properties of pulsed laser deposited Mott insulator LaVO3 (LVO) thin film. Structural characterization revels the single phase [00l] oriented LVO thin film. Enhancement of out of plane lattice parameter indicates the compressively strained LVO film. Electron spectroscopic studies demonstrate that vanadium is present in V3+ state. An energy dispersive X-ray spectroscopic study ensures the stoichiometric growth of the film. Very smooth surface is observed in scanning electron micrograph. Colour mapping for elemental distribution reflect the homogeneity of LVO film. The bifurcation between zero-field-cooled and Field-cooled curves clearly points towards the weak ferromagnetic phase presence in compressively strained LVO thin film. A finite value of coercivity at 300 K reflects the possibility of room temperature ferromagnetism of LVO thin film.

  16. Magnetic characterisation of longitudinal thin film media

    International Nuclear Information System (INIS)

    Dova, P.

    1998-09-01

    Magnetic characterisation techniques, as applied to longitudinal thin film media, have been investigated. These included the study of the differentials of the remanence curves, the delta-M plot and the examination of the critical volumes. Several thin film structures, which are currently used or are being considered for future media applications, have been examined using these techniques. Most of the films were Co-alloys with the exception of a set of Barium ferrite films. Both monolayer and multilayer structures were studied. It was found that the study of activation volumes provides a better insight into the reversal mechanisms of magnetic media, especially in the case of complex structures such as multilayer films and films with bicrystal microstructure. Furthermore, an evaluation study of different methods of determining critical volumes showed that the method using time dependence measurements and the micromagnetic approach is the most appropriate. The magnetic characteristics of the thin film media under investigation were correlated with their microstructure and, where possible, with their noise performance. Magnetic force microscopy was also used for acquiring quasi-domain images in the ac-demagnetised state. It was found that in all Co-alloy films the dominant intergranular coupling is magnetising in nature, the level of which is governed by the Cr content in the magnetic layer. In the case of laminated media it was found that when non-magnetic spacers are used, the nature of the interlayer coupling depends on the spacer thickness. In double layer structures with no spacer, the top layer replicates the crystallographic texture of the bottom layer, and the overall film properties are a combination of the two layers. In bicrystal films the coupling is determined by the Cr segregation in the grain boundaries. Furthermore, the presence of stacking faults in bicrystal films deteriorates their thermal stability, but can be prevented by improving the epitaxial

  17. Thin film processes

    CERN Document Server

    Vossen, John L

    1978-01-01

    Remarkable advances have been made in recent years in the science and technology of thin film processes for deposition and etching. It is the purpose of this book to bring together tutorial reviews of selected filmdeposition and etching processes from a process viewpoint. Emphasis is placed on the practical use of the processes to provide working guidelines for their implementation, a guide to the literature, and an overview of each process.

  18. Chemically deposited Sb2S3 thin films for optical recording

    International Nuclear Information System (INIS)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B; O'Brien, J J; Liu, J

    2010-01-01

    Laser induced changes in the properties of Sb 2 S 3 thin films prepared by chemical bath deposition are described in this paper. Sb 2 S 3 thin films of thickness 550 nm were deposited from a solution containing SbCl 3 and Na 2 S 2 O 3 at 27 0 C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  19. Laser deposition and direct-writing of thermoelectric misfit cobaltite thin films

    Science.gov (United States)

    Chen, Jikun; Palla-Papavlu, Alexandra; Li, Yulong; Chen, Lidong; Shi, Xun; Döbeli, Max; Stender, Dieter; Populoh, Sascha; Xie, Wenjie; Weidenkaff, Anke; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2014-06-01

    A two-step process combining pulsed laser deposition of calcium cobaltite thin films and a subsequent laser induced forward transfer as micro-pixel is demonstrated as a direct writing approach of micro-scale thin film structures for potential applications in thermoelectric micro-devices. To achieve the desired thermo-electric properties of the cobaltite thin film, the laser induced plasma properties have been characterized utilizing plasma mass spectrometry establishing a direct correlation to the corresponding film composition and structure. The introduction of a platinum sacrificial layer when growing the oxide thin film enables a damage-free laser transfer of calcium cobaltite thereby preserving the film composition and crystallinity as well as the shape integrity of the as-transferred pixels. The demonstrated direct writing approach simplifies the fabrication of micro-devices and provides a large degree of flexibility in designing and fabricating fully functional thermoelectric micro-devices.

  20. Energy Migration in Organic Thin Films--From Excitons to Polarons

    Science.gov (United States)

    Mullenbach, Tyler K.

    The rise of organic photovoltaic devices (OPVs) and organic light-emitting devices has generated interest in the physics governing exciton and polaron dynamics in thin films. Energy transfer has been well studied in dilute solutions, but there are emergent properties in thin films and greater complications due to complex morphologies which must be better understood. Despite the intense interest in energy transport in thin films, experimental limitations have slowed discoveries. Here, a new perspective of OPV operation is presented where photovoltage, instead of photocurrent, plays the fundamental role. By exploiting this new vantage point the first method of measuring the diffusion length (LD) of dark (non-luminescent) excitons is developed, a novel photodetector is invented, and the ability to watch exciton arrival, in real-time, at the donor-acceptor heterojunction is presented. Using an enhanced understanding of exciton migration in thin films, paradigms for enhancing LD by molecular modifications are discovered, and the first exciton gate is experimentally and theoretically demonstrated. Generation of polarons from exciton dissociation represents a second phase of energy migration in OPVs that remains understudied. Current approaches are capable of measuring the rate of charge carrier recombination only at open-circuit. To enable a better understanding of polaron dynamics in thin films, two new approaches are presented which are capable of measuring both the charge carrier recombination and transit rates at any OPV operating voltage. These techniques pave the way for a more complete understanding of charge carrier kinetics in molecular thin films.

  1. Chapter 23. Single and Heterostructure Multiferroic Thin Films

    OpenAIRE

    Barbier , Antoine

    2018-01-01

    International audience; Multiferroic oxide materials exhibiting several long range ferroic orders are of high interest because of their wide range of potential applications. The incorporation of their genuine properties in new devices, offering additional physical properties, requires often elaborating them in form of thin films. Retaining their multiferroic characteristics is very challenging. However, thin films can be structured on the nanometer scale and additional degrees of freedom, suc...

  2. Fabrication of Ultra-thin Color Films with Highly Absorbing Media Using Oblique Angle Deposition.

    Science.gov (United States)

    Yoo, Young Jin; Lee, Gil Ju; Jang, Kyung-In; Song, Young Min

    2017-08-29

    Ultra-thin film structures have been studied extensively for use as optical coatings, but performance and fabrication challenges remain.  We present an advanced method for fabricating ultra-thin color films with improved characteristics. The proposed process addresses several fabrication issues, including large area processing. Specifically, the protocol describes a process for fabricating ultra-thin color films using an electron beam evaporator for oblique angle deposition of germanium (Ge) and gold (Au) on silicon (Si) substrates.  Film porosity produced by the oblique angle deposition induces color changes in the ultra-thin film. The degree of color change depends on factors such as deposition angle and film thickness. Fabricated samples of the ultra-thin color films showed improved color tunability and color purity. In addition, the measured reflectance of the fabricated samples was converted into chromatic values and analyzed in terms of color. Our ultra-thin film fabricating method is expected to be used for various ultra-thin film applications such as flexible color electrodes, thin film solar cells, and optical filters. Also, the process developed here for analyzing the color of the fabricated samples is broadly useful for studying various color structures.

  3. Nanoporous MnO{sub x} thin-film electrodes synthesized by electrochemical lithiation/delithiation for supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Xia, Hui; Lai, Man On; Lu, Li [Department of Mechanical Engineering, National University of Singapore, 9 Engineering Drive 1, Singapore 117576 (Singapore)

    2011-02-15

    Nanoporous MnO{sub x} thin-film electrodes are synthesized using a combination of pulsed laser deposition (PLD) and electrochemical lithiation/delithiation methods. A dense Mn{sub 3}O{sub 4} thin-film deposited by PLD can transform into a nanoporous MnO{sub x} thin-film after electrochemical lithiation/delithiation. A nanoporous MnO{sub x} thin-film electrode exhibits significantly improved supercapacitive performance compared with an as-deposited Mn{sub 3}O{sub 4} thin-film electrode. A MnO{sub x} thin-film finally transforms into a MnO{sub 2} thin-film through an electrochemical oxidation process during continuous cyclic voltammetry scanning. (author)

  4. A Novel Semiconductor CIGS Photovoltaic Material and Thin-Film ED Technology

    Institute of Scientific and Technical Information of China (English)

    2001-01-01

    In order to achieve low-cost high-efficiency thin-film solar cells, a novel Semiconductor Photovoltaic (PV) active material CuIn1-xGaxSe2 (CIGS) and thin-film Electro-Deposition (ED) technology is explored. Firstly,the PV materials and technologies is investigated, then the detailed experimental processes of CIGS/Mo/glass structure by using the novel ED technology and the results are reported. These results shows that high quality CIGS polycrystalline thin-films can be obtained by the ED method, in which the polycrystalline CIGS is definitely identified by the (112), (204, 220) characteristic peaks of the tetragonal structure, the continuous CIGS thin-film layers with particle average size of about 2μm of length and around 1.6μm of thickness. The thickness and solargrade quality of CIGS thin-films can be produced with good repeatability. Discussion and analysis on the ED technique, CIGS energy band and sodium (Na) impurity properties, were also performed. The alloy CIGS exhibits not only increasing band-gap with increasing x, but also a change in material properties that is relevant to the device operation. The beneficial impurity Na originating from the low-cost soda-lime glass substrate becomes one prerequisite for high quality CIGS films. These novel material and technology are very useful for low-cost high-efficiency thin-film solar cells and other devices.

  5. Thin Film Packaging Solutions for High Efficiency OLED Lighting Products

    Energy Technology Data Exchange (ETDEWEB)

    None

    2008-06-30

    The objective of the 'Thin Film Packaging Solutions for High Efficiency OLED Lighting Products' project is to demonstrate thin film packaging solutions based on SiC hermetic coatings that, when applied to glass and plastic substrates, support OLED lighting devices by providing longer life with greater efficiency at lower cost than is currently available. Phase I Objective: Demonstrate thin film encapsulated working phosphorescent OLED devices on optical glass with lifetime of 1,000 hour life, CRI greater than 75, and 15 lm/W. Phase II Objective: Demonstrate thin film encapsulated working phosphorescent OLED devices on plastic or glass composite with 25 lm/W, 5,000 hours life, and CRI greater than 80. Phase III Objective: Demonstrate 2 x 2 ft{sup 2} thin film encapsulated working phosphorescent OLED with 40 lm/W, 10,000 hour life, and CRI greater than 85. This report details the efforts of Phase III (Budget Period Three), a fourteen month collaborative effort that focused on optimization of high-efficiency phosphorescent OLED devices and thin-film encapsulation of said devices. The report further details the conclusions and recommendations of the project team that have foundation in all three budget periods for the program. During the conduct of the Thin Film Packaging Solutions for High Efficiency OLED Lighting Products program, including budget period three, the project team completed and delivered the following achievements: (1) a three-year marketing effort that characterized the near-term and longer-term OLED market, identified customer and consumer lighting needs, and suggested prototype product concepts and niche OLED applications lighting that will give rise to broader market acceptance as a source for wide area illumination and energy conservation; (2) a thin film encapsulation technology with a lifetime of nearly 15,000 hours, tested by calcium coupons, while stored at 16 C and 40% relative humidity ('RH'). This encapsulation technology

  6. Physical properties and characterization of Ag doped CdS thin films

    International Nuclear Information System (INIS)

    Shah, N.A.; Nazir, A.; Mahmood, W.; Syed, W.A.A.; Butt, S.; Ali, Z.; Maqsood, A.

    2012-01-01

    Highlights: ► CdS thin films were grown. ► By ion exchange, Ag was doped. ► Physical properties were investigated. - Abstract: Thin films of cadmium sulfide with very well defined preferential orientation and relatively high absorption coefficient were fabricated by thermal evaporation technique. The research is focused to the fabrication and characterization of the compositional data of CdS thin films obtained by using X-ray diffraction, scanning electron microscope along with energy dispersive X-ray spectroscopy. The optical properties were studied by using a UV-VIS-NIR spectrophotometer. The effects of silver-doping by ion exchange process on the properties of as-deposited CdS thin films have been investigated.

  7. PVD processes of thin films deposition using Hall-current discharge

    International Nuclear Information System (INIS)

    Svadkovskij, I.V.

    2007-01-01

    Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)

  8. Thin film solar cells from earth abundant materials growth and characterization of Cu2(ZnSn)(SSe)4 thin films and their solar cells

    CERN Document Server

    Kodigala, Subba Ramaiah

    2013-01-01

    The fundamental concept of the book is to explain how to make thin film solar cells from the abundant solar energy materials by low cost. The proper and optimized growth conditions are very essential while sandwiching thin films to make solar cell otherwise secondary phases play a role to undermine the working function of solar cells. The book illustrates growth and characterization of Cu2ZnSn(S1-xSex)4 thin film absorbers and their solar cells. The fabrication process of absorber layers by either vacuum or non-vacuum process is readily elaborated in the book, which helps for further developm

  9. Non-ohmic transport behavior in ultra-thin gold films

    International Nuclear Information System (INIS)

    Alkhatib, A.; Souier, T.; Chiesa, M.

    2011-01-01

    Highlights: → C-AFM study on ultra-thin gold films. → Connection between ultra-thin film morphology and lateral electrical transport. → Transition between ohmic and non-ohmic behavior. → Electrical transition correlation to the film structure continuity. → Direct and indirect tunneling regimes related to discontinuous structures. - Abstract: Structure and local lateral electrical properties of Au films of thicknesses ranging from 10 to 140 nm are studied using conductive atomic force microscopy. Comparison of current maps taken at different thicknesses reveals surprising highly resistive regions (10 10 -10 11 Ω), the density of which increases strongly at lower thickness. The high resistivity is shown to be directly related to discontinuities in the metal sheet. Local I-V curves are acquired to show the nature of electrical behavior relative to thickness. Results show that in Au films of higher thickness the electrical behavior is ohmic, while it is non-ohmic in highly discontinuous films of lower thickness, with the transition happening between 34 and 39 nm. The non-ohmic behavior is explained with tunneling occurring between separated Au islands. The results explain the abrupt increase of electrical resistivity at lower thin film thicknesses.

  10. Dynamics in thin folded polymer films

    Science.gov (United States)

    Croll, Andrew; Rozairo, Damith

    Origami and Kirigami inspired structures depend on a complex interplay between geometry and material properties. While clearly important to the overall function, very little attention has focused on how extreme curvatures and singularities in real materials influence the overall dynamic behaviour of folded structures. In this work we use a set of three polymer thin films in order to closely examine the interaction of material and geometry. Specifically, we use polydimethylsiloxane (PDMS), polystyrene (PS) and polycarbonate (PC) thin films which we subject to loading in several model geometries of varying complexity. Depending on the material, vastly different responses are noted in our experiments; D-cones can annihilate, cut or lead to a crumpling cascade when pushed through a film. Remarkably, order can be generated with additional perturbation. Finally, the role of adhesion in complex folded structures can be addressed. AFOSR under the Young Investigator Program (FA9550-15-1-0168).

  11. Surface proton transport of fully protonated poly(aspartic acid) thin films on quartz substrates

    Science.gov (United States)

    Nagao, Yuki; Kubo, Takahiro

    2014-12-01

    Thin film structure and the proton transport property of fully protonated poly(aspartic acid) (P-Asp100) have been investigated. An earlier study assessed partially protonated poly(aspartic acid), highly oriented thin film structure and enhancement of the internal proton transport. In this study of P-Asp100, IR p-polarized multiple-angle incidence resolution (P-MAIR) spectra were measured to investigate the thin film structure. The obtained thin films, with thicknesses of 120-670 nm, had no oriented structure. Relative humidity dependence of the resistance, proton conductivity, and normalized resistance were examined to ascertain the proton transport property of P-Asp100 thin films. The obtained data showed that the proton transport of P-Asp100 thin films might occur on the surface, not inside of the thin film. This phenomenon might be related with the proton transport of the biological system.

  12. Nonlinear optical parameters of nanocrystalline AZO thin film measured at different substrate temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Jilani, Asim, E-mail: asim.jilane@gmail.com [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Abdel-wahab, M.Sh [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Materials Science and Nanotechnology Department, Faculty of Postgraduate Studies for Advanced Sciences, Beni -Suef University, Beni-Suef (Egypt); Al-ghamdi, Attieh A. [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Dahlan, Ammar sadik [Department of architecture, faculty of environmental design, King Abdulaziz University, Jeddah (Saudi Arabia); Yahia, I.S. [Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Nano-Science & Semiconductor Labs, Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt)

    2016-01-15

    The 2.2 wt% of aluminum (Al)-doped zinc oxide (AZO) transparent and preferential c-axis oriented thin films were prepared by using radio frequency (DC/RF) magnetron sputtering at different substrate temperature ranging from room temperature to 200 °C. For structural analysis, X-ray Diffraction (XRD) and Atomic Force Electron Microscope (AFM) was used for morphological studies. The optical parameters such as, optical energy gap, refractive index, extinction coefficient, dielectric loss, tangent loss, first and third order nonlinear optical properties of transparent films were investigated. High transmittance above 90% and highly homogeneous surface were observed in all samples. The substrate temperature plays an important role to get the best transparent conductive oxide thin films. The substrate temperature at 150 °C showed the growth of highly transparent AZO thin film. Energy gap increased with the increased in substrate temperature of Al doped thin films. Dielectric constant and loss were found to be photon energy dependent with substrate temperature. The change in substrate temperature of Al doped thin films also affect the non-liner optical properties of thin films. The value of χ{sup (3)} was found to be changed with the grain size of the thin films that directly affected by the substrate temperature of the pure and Al doped ZnO thin films.

  13. Fluxons in thin-film superconductor-insulator superlattices

    DEFF Research Database (Denmark)

    Sakai, S.; Bodin, P.; Pedersen, Niels Falsig

    1993-01-01

    In a system of thin alternating layers of superconductors and insulators the equations describing static and dynamic fluxon solutions are derived. The approach, represented by a useful compact matrix form, is intended to describe systems fabricated for example of niobium or niobium-nitride thin...... films; in the limit of ultrathin superconductor films it may give a model for describing fluxon motion in layered high-Tc superconductors. Numerical examples of current versus voltage curves to be expected in such an experiment are presented. Journal of Applied Physics is copyrighted by The American...

  14. Appraisal on Textured Grain Growth and Photoconductivity of ZnO Thin Film SILAR

    Directory of Open Access Journals (Sweden)

    Deepu Thomas

    2014-01-01

    Full Text Available ZnO thin films were prepared by successive ionic layer adsorption reaction (SILAR method. The textured grain growth along c-axis in pure ZnO thin films and doped with Sn was studied. The structural analysis of the thin films was done by X-ray diffraction and surface morphology by scanning electron microscopy. Textured grain growth of the samples was measured by comparing the peak intensities. Textured grain growth and photo current in ZnO thin films were found to be enhanced by doping with Sn. ZnO thin film having good crystallinity with preferential (002 orientation is a semiconductor with photonic properties of potential benefit to biophotonics. From energy dispersive X-ray analysis, it is inferred that oxygen vacancy creation is responsible for the enhanced textured grain growth in ZnO thin films.

  15. Method for applying a thin film barrier stack to a device with microstructures, and device provided with such a thin film barrier stack

    NARCIS (Netherlands)

    2005-01-01

    A method for applying a thin film barrier stack to a device with microstructures, such as, for instance, an OLED, wherein the thin film barrier stack forms a barrier to at least moisture and oxygen, wherein the stack is built up from a combination of org. and inorg. layers, characterized in that a

  16. Synthesis, Characterization, and Electrochemical Properties of Polyaniline Thin Films

    Science.gov (United States)

    Rami, Soukaina

    Conjugated polymers have been used in various applications (battery, supercapacitor, electromagnetic shielding, chemical sensor, biosensor, nanocomposite, light-emitting-diode, electrochromic display etc.) due to their excellent conductivity, electrochemical and optical properties, and low cost. Polyaniline has attracted the researchers from all disciplines of science, engineering, and industry due to its redox properties, environmental stability, conductivity, and optical properties. Moreover, it is a polymer with fast electroactive switching and reversible properties displayed at low potential, which is an important feature in many applications. The thin oriented polyaniline films have been fabricated using self-assembly, Langmuir-Blodgett, in-situ self-assembly, layer-by-layer, and electrochemical technique. The focus of this thesis is to synthesize and characterize polyaniline thin films with and without dyes. Also, the purpose of this thesis is to find the fastest electroactive switching PANI electrode in different electrolytic medium by studying their electrochemical properties. These films were fabricated using two deposition techniques: in-situ self-assembly and electrochemical deposition. The characterization of these films was done using techniques such as Fourier Transform Infrared Spectroscopy (FTIR), UV-spectroscopy, Scanning Electron Microscope (SEM), and X-Ray Diffraction (XRD). FTIR and UV-spectroscopy showed similar results in the structure of the polyaniline films. However, for the dye incorporated films, since there was an addition in the synthesis of the material, peak locations shifted, and new peaks corresponding to these materials appeared. The 1 layer PANI showed compact film morphology, comparing to other PANI films, which displayed a fiber-like structure. Finally, the electrochemical properties of these thin films were studied using cyclic voltammetry (CV), chronoamperometry (CA), and electrochemical impedance spectroscopy (EIS) in

  17. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  18. Fabrication and Performance Study of Uniform Thin Film Integrated ...

    African Journals Online (AJOL)

    The transmission line model of a uniform rectangular thin film R-C-KR structure consisting of a dielectric layer of constant per unit shunt capacitance C sandwiched between two resistive thin films of constant per unit length resistances R and KR has been analysed using the concept of matrix parameter functions. The above ...

  19. Dynamics of a spreading thin film with gravitational counterflow ...

    Indian Academy of Sciences (India)

    film climbing up on a vertical substrate against gravity shows interesting dynamics ... For the spreading of a thin film several theoretical studies have shown quantitative agree- ..... The two critical values of this param- ... Davis J M, Fischer B J and Troian S M 2003 A general approach to the linear stability of thin spreading.

  20. Fabrication and properties of SmFe2-PZT magnetoelectric thin films

    KAUST Repository

    Giouroudi, Ioanna

    2013-05-17

    Magnetoelectric (ME) thin film composites are attracting a continually increasing interest due to their unique features and potential applications in multifunctional microdevices and integrated units such as sensors, actuators and energy harvesting modules. By combining piezoelectric and highly magnetostrictive thin films, the potentialities of these materials increase. In this paper we report the fabrication of SmFe2 and PZT thin films and the investigation of their properties. First of all, a ~ 400 nm thin SmFe film was deposited on top of Si/SiO2 substrate by magnetron sputter deposition. Afterwards, a 140 nm Pt bottom electrode was sputtered on top of the SmFe film forming a bottom electrode. Spin coating was employed for the deposition of the 150 nm thin PZT layer. A PZT solution with 10 %Pb excess was utilized for this fabrication step. Finally, circular Pt top electrodes were sputtered as top electrodes. This paper focuses on the microstructure of the individual films characterized by X-Ray diffractometer (XRD) and scanning electron microscopy (SEM). A piezoelectric evaluation system, aixPES, with TF2000E analyzer component was used for the electric hysteresis measurements of PZT thin films and a vibrating sample magnetometer (VSM) was employed for the magnetic characterization of the SmFe. The developed thin films and the fabricated double layer SmFe-PZT exhibit both good ferromagnetic and piezoelectric responses which predict a promising ME composite structure. The quantitative chemical composition of the samples was confirmed by energy dispersive spectroscopy (EDX). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  1. Fabrication and properties of SmFe2-PZT magnetoelectric thin films

    KAUST Repository

    Giouroudi, Ioanna; Alnassar, Mohammed; Kosel, Jü rgen

    2013-01-01

    Magnetoelectric (ME) thin film composites are attracting a continually increasing interest due to their unique features and potential applications in multifunctional microdevices and integrated units such as sensors, actuators and energy harvesting modules. By combining piezoelectric and highly magnetostrictive thin films, the potentialities of these materials increase. In this paper we report the fabrication of SmFe2 and PZT thin films and the investigation of their properties. First of all, a ~ 400 nm thin SmFe film was deposited on top of Si/SiO2 substrate by magnetron sputter deposition. Afterwards, a 140 nm Pt bottom electrode was sputtered on top of the SmFe film forming a bottom electrode. Spin coating was employed for the deposition of the 150 nm thin PZT layer. A PZT solution with 10 %Pb excess was utilized for this fabrication step. Finally, circular Pt top electrodes were sputtered as top electrodes. This paper focuses on the microstructure of the individual films characterized by X-Ray diffractometer (XRD) and scanning electron microscopy (SEM). A piezoelectric evaluation system, aixPES, with TF2000E analyzer component was used for the electric hysteresis measurements of PZT thin films and a vibrating sample magnetometer (VSM) was employed for the magnetic characterization of the SmFe. The developed thin films and the fabricated double layer SmFe-PZT exhibit both good ferromagnetic and piezoelectric responses which predict a promising ME composite structure. The quantitative chemical composition of the samples was confirmed by energy dispersive spectroscopy (EDX). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  2. Tunable thin-film optical filters for hyperspectral microscopy

    Science.gov (United States)

    Favreau, Peter F.; Rich, Thomas C.; Prabhat, Prashant; Leavesley, Silas J.

    2013-02-01

    Hyperspectral imaging was originally developed for use in remote sensing applications. More recently, it has been applied to biological imaging systems, such as fluorescence microscopes. The ability to distinguish molecules based on spectral differences has been especially advantageous for identifying fluorophores in highly autofluorescent tissues. A key component of hyperspectral imaging systems is wavelength filtering. Each filtering technology used for hyperspectral imaging has corresponding advantages and disadvantages. Recently, a new optical filtering technology has been developed that uses multi-layered thin-film optical filters that can be rotated, with respect to incident light, to control the center wavelength of the pass-band. Compared to the majority of tunable filter technologies, these filters have superior optical performance including greater than 90% transmission, steep spectral edges and high out-of-band blocking. Hence, tunable thin-film optical filters present optical characteristics that may make them well-suited for many biological spectral imaging applications. An array of tunable thin-film filters was implemented on an inverted fluorescence microscope (TE 2000, Nikon Instruments) to cover the full visible wavelength range. Images of a previously published model, GFP-expressing endothelial cells in the lung, were acquired using a charge-coupled device camera (Rolera EM-C2, Q-Imaging). This model sample presents fluorescently-labeled cells in a highly autofluorescent environment. Linear unmixing of hyperspectral images indicates that thin-film tunable filters provide equivalent spectral discrimination to our previous acousto-optic tunable filter-based approach, with increased signal-to-noise characteristics. Hence, tunable multi-layered thin film optical filters may provide greatly improved spectral filtering characteristics and therefore enable wider acceptance of hyperspectral widefield microscopy.

  3. Properties of pulsed laser deposited NiO/MWCNT thin films

    CSIR Research Space (South Africa)

    Yalisi, B

    2011-05-01

    Full Text Available Pulsed laser deposition (PLD) is a thin-film deposition technique, which uses short and intensive laser pulses to evaporate target material. The technique has been used in this work to produce selective solar absorber (SSA) thin film composites...

  4. a Brief Survey on Basic Properties of Thin Films for Device Application

    Science.gov (United States)

    Rao, M. C.; Shekhawat, M. S.

    Thin film materials are the key elements of continued technological advances made in the fields of optoelectronic, photonic and magnetic devices. Thin film studies have directly or indirectly advanced many new areas of research in solid state physics and chemistry which are based on phenomena uniquely characteristic of the thickness, geometry and structure of the film. The processing of materials into thin films allows easy integration into various types of devices. Thin films are extremely thermally stable and reasonably hard, but they are fragile. On the other hand organic materials have reasonable thermal stability and are tough, but are soft. Thin film mechanical properties can be measured by tensile testing of freestanding films and by the micro beam cantilever deflection technique, but the easiest way is by means of nanoindentation. Optical experiments provide a good way of examining the properties of semiconductors. Particularly measuring the absorption coefficient for various energies gives information about the band gaps of the material. Thin film materials have been used in semiconductor devices, wireless communications, telecommunications, integrated circuits, rectifiers, transistors, solar cells, light-emitting diodes, photoconductors and light crystal displays, lithography, micro- electromechanical systems (MEMS) and multifunctional emerging coatings, as well as other emerging cutting technologies.

  5. Quantitative evaluation about property of thin-film formation

    Energy Technology Data Exchange (ETDEWEB)

    Chen Huawei [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1, O-okayama, Meguro-ku, Tokyo (Japan) and School of Mechanical Engineering, Tianjin University (China)]. E-mail: chen_hua_wei@yahoo.com; Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1, O-okayama, Meguro-ku, Tokyo (Japan); Huang Tian [Department of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom); School of Mechanical Engineering, Tianjin University (China); Zhang Dawei [School of Mechanical Engineering, Tianjin University (China)

    2006-03-15

    Chemical vapor deposition (CVD) is gradually emphasized as one promising method for nanomaterial formation. Such growth mechanism has been mainly investigated on basis of experiment. Due to large cost of the equipment of experiment and low level of current measurement, the comprehension about authentic effect of formation condition on properties of nanomaterial is limited in qualitative manner. Three quantitative items: flatness of primary deposition, adhesion between cluster and substrate, and degree of epitaxial growth were proposed to evaluate the property of thin film. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000, 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Within one velocity range, not only the speed of epitaxial growth and adhesion between thin film and substrate were enhanced, but also the degree of epitaxy increased and the shape of thin film became more flat with velocity increasing. Moreover, the epitaxial growth became well as the temperature of substrate was raised within a certain range, and the degree of epitaxy of small cluster was larger than larger cluster. The results indicated that the property of thin film could be controlled if the effect of situations of process was made clear.

  6. Quantitative evaluation about property of thin-film formation

    International Nuclear Information System (INIS)

    Chen Huawei; Hagiwara, Ichiro; Huang Tian; Zhang Dawei

    2006-01-01

    Chemical vapor deposition (CVD) is gradually emphasized as one promising method for nanomaterial formation. Such growth mechanism has been mainly investigated on basis of experiment. Due to large cost of the equipment of experiment and low level of current measurement, the comprehension about authentic effect of formation condition on properties of nanomaterial is limited in qualitative manner. Three quantitative items: flatness of primary deposition, adhesion between cluster and substrate, and degree of epitaxial growth were proposed to evaluate the property of thin film. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000, 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Within one velocity range, not only the speed of epitaxial growth and adhesion between thin film and substrate were enhanced, but also the degree of epitaxy increased and the shape of thin film became more flat with velocity increasing. Moreover, the epitaxial growth became well as the temperature of substrate was raised within a certain range, and the degree of epitaxy of small cluster was larger than larger cluster. The results indicated that the property of thin film could be controlled if the effect of situations of process was made clear

  7. Chemical synthesis of porous web-structured CdS thin films for photosensor applications

    Energy Technology Data Exchange (ETDEWEB)

    Gosavi, S.R., E-mail: srgosavi.taloda@gmail.com [C. H. C. Arts, S. G. P. Commerce, and B. B. J. P. Science College, Taloda, Dist., Nandurbar 425413, M. S. (India); Nikam, C.P. [B.S.S.P.M.S. Arts, Commerce and Science College, Songir, Dist., Dhule 424309, M. S. (India); Shelke, A.R.; Patil, A.M. [Department of Physics, Shivaji University, Kolhapur 416004, M.S. (India); Ryu, S.-W. [Department of Physics, Chonnam National University, Gwangju 500-757 (Korea, Republic of); Bhat, J.S. [Department of Physics, Karnatak University, Dharwad 580003 (India); Deshpande, N.G., E-mail: nicedeshpande@yahoo.co.in [Department of Physics, Shivaji University, Kolhapur 416004, M.S. (India)

    2015-06-15

    The photo-activity of chemically deposited cadmium sulphide (CdS) thin film has been studied. The simple chemical route nucleates the CdS films with size up to the mean free path of the electron. Growth Kinematics of crystalline hexagonal CdS phase in the thin film form was monitored using X-ray diffraction. The time limitation set for the formation of the amorphous/nano-crystalline material is 40 and 60 min. Thereafter enhancement of the crystalline orientation along the desired plane was identified. Web-like porous structured surface morphology of CdS thin film over the entire area is observed. With decrease in synthesis time, increase of band gap energy i.e., a blue spectral shift was seen. The activation energy of CdS thin film at low and high temperature region was examined. It is considered that this activation energy corresponds to the donor levels associated with shallow traps or surface states of CdS thin film. The photo-electrochemical performance of CdS thin films in polysulphide electrolyte showed diode-like characteristics. Exposure of light on the CdS electrode increases the photocurrent. This suggests the possibility of production of free carriers via excited ions and also the light harvesting mechanism due to porous web-structured morphology. These studies hint that the obtained CdS films can work as a photosensor. - Highlights: • Photoactivity of chemically synthesized cadmium sulphide (CdS) thin films was studied. • Web-like porous structured surface morphology of CdS thin film over the entire area was observed. • Blue spectral shift with lowering of the synthesis time suggests films can act as a window layer over the absorber layer. • Porous web-structured CdS thin films can be useful in light harvesting.

  8. Chemical synthesis of porous web-structured CdS thin films for photosensor applications

    International Nuclear Information System (INIS)

    Gosavi, S.R.; Nikam, C.P.; Shelke, A.R.; Patil, A.M.; Ryu, S.-W.; Bhat, J.S.; Deshpande, N.G.

    2015-01-01

    The photo-activity of chemically deposited cadmium sulphide (CdS) thin film has been studied. The simple chemical route nucleates the CdS films with size up to the mean free path of the electron. Growth Kinematics of crystalline hexagonal CdS phase in the thin film form was monitored using X-ray diffraction. The time limitation set for the formation of the amorphous/nano-crystalline material is 40 and 60 min. Thereafter enhancement of the crystalline orientation along the desired plane was identified. Web-like porous structured surface morphology of CdS thin film over the entire area is observed. With decrease in synthesis time, increase of band gap energy i.e., a blue spectral shift was seen. The activation energy of CdS thin film at low and high temperature region was examined. It is considered that this activation energy corresponds to the donor levels associated with shallow traps or surface states of CdS thin film. The photo-electrochemical performance of CdS thin films in polysulphide electrolyte showed diode-like characteristics. Exposure of light on the CdS electrode increases the photocurrent. This suggests the possibility of production of free carriers via excited ions and also the light harvesting mechanism due to porous web-structured morphology. These studies hint that the obtained CdS films can work as a photosensor. - Highlights: • Photoactivity of chemically synthesized cadmium sulphide (CdS) thin films was studied. • Web-like porous structured surface morphology of CdS thin film over the entire area was observed. • Blue spectral shift with lowering of the synthesis time suggests films can act as a window layer over the absorber layer. • Porous web-structured CdS thin films can be useful in light harvesting

  9. Thin composite films consisting of polypyrrole and polyparaphenylene

    International Nuclear Information System (INIS)

    Golovtsov, I.; Bereznev, S.; Traksmaa, R.; Opik, A.

    2007-01-01

    This study demonstrates that the combined method for the formation of thin composite films, consisting of polypyrrole (PPy) as a film forming agent and polyparaphenylene (PPP) with controlled electrical properties and high stability, enables one to avoid the low processability of PPP and to extend the possibilities for the development of electronic devices. The high temperature (250-600 deg. C) doping method was used for PPP preparation. The crystallinity and grindability of PPP was found to be increasing with the thermochemical modification. Thin composite films were prepared onto the light transparent substrates using the simple electropolymerization technique. The properties of films were characterized by the optical transmittance and temperature-dependent conductivity measurements. The morphology and thickness of the prepared films were determined using the scanning electron microscopy. The composite films showed a better adhesion to an inorganic substrate. It was found to be connected mostly with the improved properties of the high temperature doped PPP. The current-voltage characteristics of indium tin oxide/film/Au hybrid organic-inorganic structures showed the influence of the doping conditions of PPP inclusions in the obtained films

  10. Formation and prevention of fractures in sol-gel-derived thin films

    NARCIS (Netherlands)

    Kappert, Emiel; Pavlenko, Denys; Malzbender, J.; Nijmeijer, Arian; Benes, Nieck Edwin; Tsai, Peichun Amy

    2015-01-01

    Sol–gel-derived thin films play an important role as the functional coatings for various applications that require crack-free films to fully function. However, the fast drying process of a standard sol–gel coating often induces mechanical stresses, which may fracture the thin films. An experimental

  11. Geometric shape control of thin film ferroelectrics and resulting structures

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    2000-01-01

    A monolithic crystalline structure and a method of making involves a semiconductor substrate, such as silicon, and a ferroelectric film, such as BaTiO.sub.3, overlying the surface of the substrate wherein the atomic layers of the ferroelectric film directly overlie the surface of the substrate. By controlling the geometry of the ferroelectric thin film, either during build-up of the thin film or through appropriate treatment of the thin film adjacent the boundary thereof, the in-plane tensile strain within the ferroelectric film is relieved to the extent necessary to permit the ferroelectric film to be poled out-of-plane, thereby effecting in-plane switching of the polarization of the underlying substrate material. The method of the invention includes the steps involved in effecting a discontinuity of the mechanical restraint at the boundary of the ferroelectric film atop the semiconductor substrate by, for example, either removing material from a ferroelectric film which has already been built upon the substrate, building up a ferroelectric film upon the substrate in a mesa-shaped geometry or inducing the discontinuity at the boundary by ion beam deposition techniques.

  12. Optical Analysis of Iron-Doped Lead Sulfide Thin Films for Opto-Electronic Applications

    Science.gov (United States)

    Chidambara Kumar, K. N.; Khadeer Pasha, S. K.; Deshmukh, Kalim; Chidambaram, K.; Shakil Muhammad, G.

    Iron-doped lead sulfide thin films were deposited on glass substrates using successive ionic layer adsorption and reaction method (SILAR) at room temperature. The X-ray diffraction pattern of the film shows a well formed crystalline thin film with face-centered cubic structure along the preferential orientation (1 1 1). The lattice constant is determined using Nelson Riley plots. Using X-ray broadening, the crystallite size is determined by Scherrer formula. Morphology of the thin film was studied using a scanning electron microscope. The optical properties of the film were investigated using a UV-vis spectrophotometer. We observed an increase in the optical band gap from 2.45 to 3.03eV after doping iron in the lead sulfide thin film. The cutoff wavelength lies in the visible region, and hence the grown thin films can be used for optoelectronic and sensor applications. The results from the photoluminescence study show the emission at 500-720nm. The vibrating sample magnetometer measurements confirmed that the lead sulfide thin film becomes weakly ferromagnetic material after doping with iron.

  13. Influence of film structure on the dewetting kinetics of thin polymer films in the solvent annealing process.

    Science.gov (United States)

    Zhang, Huanhuan; Xu, Lin; Lai, Yuqing; Shi, Tongfei

    2016-06-28

    On a non-wetting solid substrate, the solvent annealing process of a thin polymer film includes the swelling process and the dewetting process. Owing to difficulties in the in situ analysis of the two processes simultaneously, a quantitative study on the solvent annealing process of thin polymer films on the non-wetting solid substrate is extremely rare. In this paper, we design an experimental method by combining spectroscopic ellipsometry with optical microscopy to achieve the simultaneous in situ study. Using this method, we investigate the influence of the structure of swollen film on its dewetting kinetics during the solvent annealing process. The results show that for a thin PS film with low Mw (Mw = 4.1 kg mol(-1)), acetone molecules can form an ultrathin enriched layer between the PS film and the solid substrate during the swelling process. The presence of the acetone enriched layer accounts for the exponential kinetic behavior in the case of a thin PS film with low Mw. However, the acetone enriched layer is not observed in the case of a thin PS film with high Mw (Mw = 400 kg mol(-1)) and the slippage effect of polymer chains is valid during the dewetting process.

  14. Immobilization and controlled release of drug using plasma polymerized thin film

    Energy Technology Data Exchange (ETDEWEB)

    Myung, Sung-Woon [Department of Dental Materials, School of Dentistry, MRC Center, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju (Korea, Republic of); Jung, Sang-Chul [Department of Environmental Engineering, Sunchon National University, Sunchon 540-742 (Korea, Republic of); Kim, Byung-Hoon, E-mail: kim5055@chosun.ac.kr [Department of Dental Materials, School of Dentistry, MRC Center, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju (Korea, Republic of)

    2015-06-01

    In this study, plasma polymerization of acrylic acid was employed to immobilize drug and control its release. Doxorubicin (DOX) was immobilized covalently on the glass surface deposited with plasma polymerized acrylic acid (PPAAc) thin film containing the carboxylic group. At first, the PPAAc thin film was coated on a glass surface at a pressure of 1.33 Pa and radio frequency (RF) discharge power of 20 W for 10 min. DOX was immobilized on the PPAAc deposition in a two environment of phosphate buffer saline (PBS) and dimethyl sulfoxide (DMSO) solutions. The DOX immobilized surface was characterized by scanning electron microscope, atomic force microscope and attenuated total reflection Fourier transform infrared spectroscopy. The DOX molecules were more immobilized in PBS than DMSO solution. The different immobilization and release profiles of DOX result from the solubility of hydrophobic DOX in aqueous and organic solutions. Second, in order to control the release of the drug, PPAAc thin film was covered over DOX dispersed layer. Different thicknesses and cross-linked PPAAc thin films by adjusting deposition time and RF discharge power were covered on the DOX layer dispersed. PPAAc thin film coated DOX layer reduced the release rate of DOX. The thickness control of plasma deposition allows controlling the release rate of drug. - Highlights: • Doxorubicin was immobilized on the surface of plasma polymerized acrylic acid thin film. • Release profile of doxorubicin was affected by aqueous and organic solutions. • Plasma polymerized acrylic acid thin film can be used to achieve controlled release.

  15. Immobilization and controlled release of drug using plasma polymerized thin film

    International Nuclear Information System (INIS)

    Myung, Sung-Woon; Jung, Sang-Chul; Kim, Byung-Hoon

    2015-01-01

    In this study, plasma polymerization of acrylic acid was employed to immobilize drug and control its release. Doxorubicin (DOX) was immobilized covalently on the glass surface deposited with plasma polymerized acrylic acid (PPAAc) thin film containing the carboxylic group. At first, the PPAAc thin film was coated on a glass surface at a pressure of 1.33 Pa and radio frequency (RF) discharge power of 20 W for 10 min. DOX was immobilized on the PPAAc deposition in a two environment of phosphate buffer saline (PBS) and dimethyl sulfoxide (DMSO) solutions. The DOX immobilized surface was characterized by scanning electron microscope, atomic force microscope and attenuated total reflection Fourier transform infrared spectroscopy. The DOX molecules were more immobilized in PBS than DMSO solution. The different immobilization and release profiles of DOX result from the solubility of hydrophobic DOX in aqueous and organic solutions. Second, in order to control the release of the drug, PPAAc thin film was covered over DOX dispersed layer. Different thicknesses and cross-linked PPAAc thin films by adjusting deposition time and RF discharge power were covered on the DOX layer dispersed. PPAAc thin film coated DOX layer reduced the release rate of DOX. The thickness control of plasma deposition allows controlling the release rate of drug. - Highlights: • Doxorubicin was immobilized on the surface of plasma polymerized acrylic acid thin film. • Release profile of doxorubicin was affected by aqueous and organic solutions. • Plasma polymerized acrylic acid thin film can be used to achieve controlled release

  16. Method of producing thin cellulose nitrate film

    International Nuclear Information System (INIS)

    Lupica, S.B.

    1975-01-01

    An improved method for forming a thin nitrocellulose film of reproducible thickness is described. The film is a cellulose nitrate film, 10 to 20 microns in thickness, cast from a solution of cellulose nitrate in tetrahydrofuran, said solution containing from 7 to 15 percent, by weight, of dioctyl phthalate, said cellulose nitrate having a nitrogen content of from 10 to 13 percent

  17. Effect of Sr doping on LaTiO3 thin films

    International Nuclear Information System (INIS)

    Vilquin, B.; Kanki, T.; Yanagida, T.; Tanaka, H.; Kawai, T.

    2005-01-01

    We report on the electric properties of La 1-x Sr x TiO 3 (0 ≤ x ≤ 0.5) thin films fabricated by pulsed laser deposition method. Crystallographic measurement of the thin films showed the epitaxial c-axis perovskite structure. The electric property of LaTiO 3 thin film, which is a typical Mott insulative material in bulk, showed insulative behaviour, while the Sr-doped films showed metallic conduction suffering electron-electron scattering. Below x = 0.1, the major carrier type was identified to be hole, and switched to electron with further increasing Sr-doping above x = 0.15. In fact, the switching from p-type to n-type for La 1-x Sr x TiO 3 thin films is first demonstrated in this study. The transition suggests that effective Coulomb gap vanishes due to over-additional Sr doping

  18. Electrochemical preparation of poly(methylene blue)/graphene nanocomposite thin films

    International Nuclear Information System (INIS)

    Erçarıkcı, Elif; Dağcı, Kader; Topçu, Ezgi; Alanyalıoğlu, Murat

    2014-01-01

    Highlights: • Poly(MB)/graphene thin films are prepared by a simple electrochemical approach. • Graphene layers in the film show a broad band in visible region of absorbance spectra. • Morphology of composite films indicates both disordered and ordered regions. • XRD reveals that nanocomposite films include rGO layers after electropolymerization process. • Chemically prepared graphene is better than electrochemically prepared graphene for electrooxidation of nitrite. - Abstract: Poly(methylene blue)/graphene nanocomposite thin films were prepared by electropolymerization of methylene blue in the presence of graphene which have been synthesized by two different methods of a chemical oxidation process and an electrochemical approach. Synthesized nanocomposite thin films were characterized by using cyclic voltammetry, UV–vis. absorption spectroscopy, powder X-ray diffraction, and scanning tunneling microscopy techniques. Electrocatalytical properties of prepared poly(methylene blue)/graphene nanocomposite films were compared toward electrochemical oxidation of nitrite. Under optimized conditions, electrocatalytical effect of nanocomposite films of chemically prepared graphene through electrochemical oxidation of nitrite was better than that of electrochemically prepared graphene

  19. Growth Mechanism of Cluster-Assembled Surfaces: From Submonolayer to Thin-Film Regime

    Science.gov (United States)

    Borghi, Francesca; Podestà, Alessandro; Piazzoni, Claudio; Milani, Paolo

    2018-04-01

    Nanostructured films obtained by assembling preformed atomic clusters are of strategic importance for a wide variety of applications. The deposition of clusters produced in the gas phase onto a substrate offers the possibility to control and engineer the structural and functional properties of the cluster-assembled films. To date, the microscopic mechanisms underlying the growth and structuring of cluster-assembled films are poorly understood, and, in particular, the transition from the submonolayer to the thin-film regime is experimentally unexplored. Here we report the systematic characterization by atomic force microscopy of the evolution of the structural properties of cluster-assembled films deposited by supersonic cluster beam deposition. As a paradigm of nanostructured systems, we focus our attention on cluster-assembled zirconia films, investigating the influence of the building block dimensions on the growth mechanisms and roughening of the thin films, following the growth process from the early stages of the submonolayer to the thin-film regime. Our results demonstrate that the growth dynamics in the submonolayer regime determines different morphological properties of the cluster-assembled thin film. The evolution of the roughness with the number of deposited clusters reproduces the growth exponent of the ballistic deposition in the 2 +1 model from the submonolayer to the thin-film regime.

  20. Enhanced dielectric and electrical properties of annealed PVDF thin film

    Science.gov (United States)

    Arshad, A. N.; Rozana, M. D.; Wahid, M. H. M.; Mahmood, M. K. A.; Sarip, M. N.; Habibah, Z.; Rusop, M.

    2018-05-01

    Poly (vinylideneflouride) (PVDF) thin films were annealed at various annealing temperatures ranging from 70°C to 170°C. This study demonstrates that PVDF thin films annealed at temperature of 70°C (AN70) showed significant enhancement in their dielectric constant (14) at frequency of 1 kHz in comparison to un-annealed PVDF (UN-PVDF), dielectric constant (10) at the same measured frequency. As the annealing temperature was increased from 90°C (AN90) to 150°C (AN150), the dielectric constant value of PVDF thin films was observed to decrease gradually to 11. AN70 also revealed low tangent loss (tan δ) value at similar frequency. With respect to its resistivity properties, the values were found to increase from 1.98×104 Ω.cm to 3.24×104 Ω.cm for AN70 and UN-PVDF films respectively. The improved in dielectric constant, with low tangent loss and high resistivity value suggests that 70°C is the favorable annealing temperature for PVDF thin films. Hence, AN70 is a promising film to be utilized for application in electronic devices such as low frequency capacitor.

  1. A statistical-thermodynamic model for ordering phenomena in thin film intermetallic structures

    International Nuclear Information System (INIS)

    Semenova, Olga; Krachler, Regina

    2008-01-01

    Ordering phenomena in bcc (110) binary thin film intermetallics are studied by a statistical-thermodynamic model. The system is modeled by an Ising approach that includes only nearest-neighbor chemical interactions and is solved in a mean-field approximation. Vacancies and anti-structure atoms are considered on both sublattices. The model describes long-range ordering and simultaneously short-range ordering in the thin film. It is applied to NiAl thin films with B2 structure. Vacancy concentrations, thermodynamic activity profiles and the virtual critical temperature of order-disorder as a function of film composition and thickness are presented. The results point to an important role of vacancies in near-stoichiometric and Ni-rich NiAl thin films

  2. Magnetic hysteresis of cerium doped bismuth ferrite thin films

    International Nuclear Information System (INIS)

    Gupta, Surbhi; Tomar, Monika; Gupta, Vinay

    2015-01-01

    The influence of Cerium doping on the structural and magnetic properties of BiFeO 3 thin films have been investigated. Rietveld refinement of X-ray diffraction data and successive de-convolution of Raman scattering spectra of Bi 1−x Ce x FeO 3 (BCFO) thin films with x=0–0.20 reflect the single phase rhombohedral (R3c) formation for x<0.08, whereas concentration-driven gradual structural phase transition from rhombohedral (R3c) to partial tetragonal (P4mm) phase follows for x≥0.08. All low wavenumber Raman modes (<300 cm −1 ) showed a noticeable shift towards higher wavenumber with increase in doping concentration, except Raman E-1 mode (71 cm −1 ), shows a minor shift. Sudden evolution of Raman mode at 668 cm −1 , manifested as A 1 -tetragonal mode, accompanied by the shift to higher wavenumber with increase in doping concentration (x) affirm partial structural phase transition. Anomalous wasp waist shaped (M–H) hysteresis curves with improved saturation magnetization (M s ) for BCFO thin films is attributed to antiferromagnetic interaction/hybridization between Ce 4f and Fe 3d electronic states. The contribution of both hard and soft phase to the total coercivity is calculated. Polycrystalline Bi 0.88 Ce 0.12 FeO 3 thin film found to exhibit better magnetic properties with M s =15.9 emu/g without any impure phase. - Highlights: • Synthesis of single phase Bi 1−x Ce x FeO 3 thin films with (x=0–0.2) on cost effective corning glass and silicon substrates using CSD technique. • Structural modification studies using Rietveld refinement of XRD and de-convolution of Raman spectra revealed partial phase transition from rhombohedral (R3c) to tetragonal (P4mm) phase. • Possible reasons for origin of pinched magnetic behavior of BCFO thin films are identified. • Contribution of both hard and soft magnetic phase in coercivity of BCFO thin films is calculated and practical applications of such materials exhibiting pinching behavior are conferred

  3. Magnetic hysteresis of cerium doped bismuth ferrite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Surbhi [Department of Physics and Astrophysics, University of Delhi (India); Tomar, Monika [Physics Department, Miranda House, University of Delhi (India); Gupta, Vinay, E-mail: drguptavinay@gmail.com [Department of Physics and Astrophysics, University of Delhi (India)

    2015-03-15

    The influence of Cerium doping on the structural and magnetic properties of BiFeO{sub 3} thin films have been investigated. Rietveld refinement of X-ray diffraction data and successive de-convolution of Raman scattering spectra of Bi{sub 1−x}Ce{sub x}FeO{sub 3} (BCFO) thin films with x=0–0.20 reflect the single phase rhombohedral (R3c) formation for x<0.08, whereas concentration-driven gradual structural phase transition from rhombohedral (R3c) to partial tetragonal (P4mm) phase follows for x≥0.08. All low wavenumber Raman modes (<300 cm{sup −1}) showed a noticeable shift towards higher wavenumber with increase in doping concentration, except Raman E-1 mode (71 cm{sup −1}), shows a minor shift. Sudden evolution of Raman mode at 668 cm{sup −1}, manifested as A{sub 1}-tetragonal mode, accompanied by the shift to higher wavenumber with increase in doping concentration (x) affirm partial structural phase transition. Anomalous wasp waist shaped (M–H) hysteresis curves with improved saturation magnetization (M{sub s}) for BCFO thin films is attributed to antiferromagnetic interaction/hybridization between Ce 4f and Fe 3d electronic states. The contribution of both hard and soft phase to the total coercivity is calculated. Polycrystalline Bi{sub 0.88}Ce{sub 0.12}FeO{sub 3} thin film found to exhibit better magnetic properties with M{sub s}=15.9 emu/g without any impure phase. - Highlights: • Synthesis of single phase Bi{sub 1−x}Ce{sub x}FeO{sub 3} thin films with (x=0–0.2) on cost effective corning glass and silicon substrates using CSD technique. • Structural modification studies using Rietveld refinement of XRD and de-convolution of Raman spectra revealed partial phase transition from rhombohedral (R3c) to tetragonal (P4mm) phase. • Possible reasons for origin of pinched magnetic behavior of BCFO thin films are identified. • Contribution of both hard and soft magnetic phase in coercivity of BCFO thin films is calculated and practical

  4. Optimisation of chemical solution deposition of indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sunde, Tor Olav Løveng; Einarsrud, Mari-Ann; Grande, Tor, E-mail: grande@ntnu.no

    2014-12-31

    An environmentally friendly aqueous sol–gel process has been optimised to deposit indium tin oxide (ITO) thin films, aiming to improve the film properties and reduce the deposition costs. It was demonstrated how parameters such as cation concentration and viscosity could be applied to modify the physical properties of the sol and thereby reduce the need for multiple coatings to yield films with sufficient conductivity. The conductivity of the thin films was enhanced by adjusting the heat treatment temperature and atmosphere. Both increasing the heat treatment temperature of the films from 530 to 800 °C and annealing in reducing atmosphere significantly improved the electrical conductivity, and conductivities close to the state of the art sputtered ITO films were obtained. A pronounced decreased conductivity was observed after exposing the thin films to air and the thermal reduction and ageing of the film was studied by in situ conductivity measurements. - Highlights: • Spin coating of indium tin oxide using an aqueous solution was optimised. • The conductivity was enhanced by thermal annealing in reducing atmosphere. • The conductivity of is comparable to the conductivity of sputtered films. • A relaxation process in the reduced thin film was observed after exposure in air.

  5. Gettering of carbon dioxide by erbium thin films

    International Nuclear Information System (INIS)

    Mehrhoff, T.K.

    1980-01-01

    The interaction of carbon dioxide and erbium thin films is characterized for temperatures in the region of 300 to 900 0 C and partial pressure of carbon dioxide near 5 x 10 -7 Torr. Dynamic film pumping speeds were measured against a mercury diffusion pump of known pumping speed and conductance. A quadrupole mass spectrometer was used to monitor the carbon dioxide flow which originated from a calibrated leak in the 10 -6 standard cm 3 /s range. Data reduction was via a dedicated minicomputer with associated printer/plotter. Temperature ramp experiments with thin erbium films indicated a significant reaction above 300 0 C. The reaction was preceded by the desorption of water vapor, hydrogen and nitrogen and/or carbon monoxide from the film surface

  6. Density functional study of ferromagnetism in alkali metal thin films

    Indian Academy of Sciences (India)

    thickness uniform jellium model (UJM), and it is argued that within LSDA or GGA, alkali metal thin films cannot be claimed to have an FM ground state. Relevance of these results to the experiments on transition metal-doped alkali metal thin films ...

  7. Fractal features of CdTe thin films grown by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Hosseinpanahi, Fayegh, E-mail: f.hosseinpanahi@yahoo.com [Department of Physics, Payame Noor University, P.O. Box 19395-4697, Tehran (Iran, Islamic Republic of); Raoufi, Davood [Department of Physics, University of Bu Ali Sina, P.O. Box 65174, Hamedan (Iran, Islamic Republic of); Ranjbarghanei, Khadijeh [Department of Physics, Plasma Physics Research Center, Science & Research Branch Islamic Azad University, Tehran (Iran, Islamic Republic of); Karimi, Bayan [Department of Physics, Payame Noor University, P.O. Box 19395-4697, Tehran (Iran, Islamic Republic of); Babaei, Reza [Department of Physics, Plasma Physics Research Center, Science & Research Branch Islamic Azad University, Tehran (Iran, Islamic Republic of); Hasani, Ebrahim [Department of Physics, University of Bu Ali Sina, P.O. Box 65174, Hamedan (Iran, Islamic Republic of)

    2015-12-01

    Graphical abstract: - Highlights: • CdTe thin films were deposited on glass substrates by RF magnetron sputtering at room temperature with different deposition time 5, 10 and 15 min. • Nanostructure of CdTe layer indicates that CdTe films are polycrystalline and have zinc blende structure, irrespective of their deposition time. • Complexity and roughness of the CdTe films and strength of multifractality increase with increasing deposition time. • Detrended fluctuation analysis (DFA) and also multifractal detrended fluctuation analysis (MFDFA) methods showed that prepared CdTe films have multifractal nature. - Abstract: Cadmium telluride (CdTe) thin films were prepared by RF magnetron sputtering on glass substrates at room temperature (RT). The film deposition was performed for 5, 10, and 15 min at power of 30 W with a frequency of 13.56 MHz. The crystal structure of the prepared CdTe thin films was studied by X-ray diffraction (XRD) technique. XRD analyses indicate that the CdTe films are polycrystalline, having zinc blende structure of CdTe irrespective of their deposition time. All CdTe films showed a preferred orientation along (1 1 1) crystalline plane. The surface morphology characterization of the films was studied using atomic force microscopy (AFM). The quantitative AFM characterization shows that the RMS surface roughness of the prepared CdTe thin films increases with increasing the deposition time. The detrended fluctuation analysis (DFA) and also multifractal detrended fluctuation analysis (MFDFA) methods showed that prepared CdTe thin films have multifractal nature. The complexity, roughness of the CdTe thin films and strength of the multifractality increase as deposition time increases.

  8. Fractal features of CdTe thin films grown by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Hosseinpanahi, Fayegh; Raoufi, Davood; Ranjbarghanei, Khadijeh; Karimi, Bayan; Babaei, Reza; Hasani, Ebrahim

    2015-01-01

    Graphical abstract: - Highlights: • CdTe thin films were deposited on glass substrates by RF magnetron sputtering at room temperature with different deposition time 5, 10 and 15 min. • Nanostructure of CdTe layer indicates that CdTe films are polycrystalline and have zinc blende structure, irrespective of their deposition time. • Complexity and roughness of the CdTe films and strength of multifractality increase with increasing deposition time. • Detrended fluctuation analysis (DFA) and also multifractal detrended fluctuation analysis (MFDFA) methods showed that prepared CdTe films have multifractal nature. - Abstract: Cadmium telluride (CdTe) thin films were prepared by RF magnetron sputtering on glass substrates at room temperature (RT). The film deposition was performed for 5, 10, and 15 min at power of 30 W with a frequency of 13.56 MHz. The crystal structure of the prepared CdTe thin films was studied by X-ray diffraction (XRD) technique. XRD analyses indicate that the CdTe films are polycrystalline, having zinc blende structure of CdTe irrespective of their deposition time. All CdTe films showed a preferred orientation along (1 1 1) crystalline plane. The surface morphology characterization of the films was studied using atomic force microscopy (AFM). The quantitative AFM characterization shows that the RMS surface roughness of the prepared CdTe thin films increases with increasing the deposition time. The detrended fluctuation analysis (DFA) and also multifractal detrended fluctuation analysis (MFDFA) methods showed that prepared CdTe thin films have multifractal nature. The complexity, roughness of the CdTe thin films and strength of the multifractality increase as deposition time increases.

  9. Nanocomposite thin films for triggerable drug delivery.

    Science.gov (United States)

    Vannozzi, Lorenzo; Iacovacci, Veronica; Menciassi, Arianna; Ricotti, Leonardo

    2018-05-01

    Traditional drug release systems normally rely on a passive delivery of therapeutic compounds, which can be partially programmed, prior to injection or implantation, through variations in the material composition. With this strategy, the drug release kinetics cannot be remotely modified and thus adapted to changing therapeutic needs. To overcome this issue, drug delivery systems able to respond to external stimuli are highly desirable, as they allow a high level of temporal and spatial control over drug release kinetics, in an operator-dependent fashion. Areas covered: On-demand drug delivery systems actually represent a frontier in this field and are attracting an increasing interest at both research and industrial level. Stimuli-responsive thin films, enabled by nanofillers, hold a tremendous potential in the field of triggerable drug delivery systems. The inclusion of responsive elements in homogeneous or heterogeneous thin film-shaped polymeric matrices strengthens and/or adds intriguing properties to conventional (bare) materials in film shape. Expert opinion: This Expert Opinion review aims to discuss the approaches currently pursued to achieve an effective on-demand drug delivery, through nanocomposite thin films. Different triggering mechanisms allowing a fine control on drug delivery are described, together with current challenges and possible future applications in therapy and surgery.

  10. Electrochemical Behavior of TiO2 Nanoparticle Doped WO3 Thin Films

    Directory of Open Access Journals (Sweden)

    Suvarna R. Bathe

    2014-01-01

    Full Text Available Nanoparticle TiO2 doped WO3 thin films by pulsed spray pyrolysis technique have been studied on fluorine tin doped (FTO and glass substrate. XRD shows amorphous nature for undoped and anatase phase of TiO2 having (101 plane for nanoparticle TiO2 doped WO3 thin film. SEM shows microfibrous reticulated porous network for WO3 with 600 nm fiber diameter and nanocrystalline having size 40 nm for TiO2 nanoparticle doped WO3 thin film. TiO2 nanoparticle doped WO3 thin film shows ~95% reversibility due to may be attributed to nanocrystalline nature of the film, which helpful for charge insertion and deinsertion process. The diffusion coefficient for TiO2 nanoparticle doped WO3 film is less than undoped WO3.

  11. Thin-Film Coated Plastic Wrap for Food Packaging

    Directory of Open Access Journals (Sweden)

    Hsin-Yu Wu

    2017-07-01

    Full Text Available In this study, the antimicrobial property and food package capability of polymethylpentene (PMP substrate with silicon oxdie (SiOx and organic silicon (SiCxHy stacked layers deposited by an inductively coupled plasma chemical vapor deposition system were investigated. The experimental results show that the stacked pair number of SiOx/SiCxHy on PMP is limited to three pairs, beyond which the films will crack and cause package failure. The three-pair SiOx/SiCxHy on PMP shows a low water vapor transmission rate of 0.57 g/m2/day and a high water contact angle of 102°. Three-pair thin-film coated PMP demonstrates no microbe adhesion and exhibits antibacterial properties within 24 h. Food shelf life testing performed at 28 °C and 80% humidity reports that the three-pair thin-film coated PMP can enhance the food shelf-life to 120 h. The results indicate that the silicon-based thin film may be a promising material for antibacterial food packaging applications to extend the shelf-life of food products.

  12. Antimicrobial activity of biopolymer–antibiotic thin films fabricated by advanced pulsed laser methods

    Energy Technology Data Exchange (ETDEWEB)

    Cristescu, R., E-mail: rodica.cristescu@inflpr.ro [National Institute for Lasers, Plasma and Radiation Physics, Lasers Department, P.O. Box MG-36, Bucharest-Magurele (Romania); Popescu, C.; Dorcioman, G.; Miroiu, F.M.; Socol, G.; Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiation Physics, Lasers Department, P.O. Box MG-36, Bucharest-Magurele (Romania); Gittard, S.D.; Miller, P.R.; Narayan, R.J. [Biomedical Engineering, University of North Carolina and North Carolina State University, Chapel Hill, NC 27599-7575 (United States); Enculescu, M. [National Institute for Materials Physics, PO Box MG-7, Bucharest-Magurele (Romania); Chrisey, D.B. [Tulane University, Department of Physics and Engineering Physics, New Orleans, LA (United States)

    2013-08-01

    We report on thin film deposition by matrix assisted pulsed laser evaporation (MAPLE) of two polymer–drug composite thin film systems. A pulsed KrF* excimer laser source (λ = 248 nm, τ = 25 ns, ν = 10 Hz) was used to deposit composite thin films of poly(D,L-lactide) (PDLLA) containing several gentamicin concentrations. FTIR spectroscopy was used to demonstrate that MAPLE-transferred materials exhibited chemical structures similar to those of drop cast materials. Scanning electron microscopy data indicated that MAPLE may be used to fabricate thin films of good morphological quality. The activity of PDLLA–gentamicin composite thin films against Staphylococcus aureus bacteria was demonstrated using drop testing. The influence of drug concentration on microbial viability was also assessed. Our studies indicate that polymer–drug composite thin films prepared by MAPLE may be used to impart antimicrobial activity to implants, medical devices, and other contact surfaces.

  13. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    Science.gov (United States)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  14. Valence control of cobalt oxide thin films by annealing atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Wang Shijing [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhang Boping, E-mail: bpzhang@ustb.edu.cn [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China)

    2011-02-01

    The cobalt oxide (CoO and Co{sub 3}O{sub 4}) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH{sub 3}OCH{sub 2}CH{sub 2}OH and Co(NO{sub 3}){sub 2}.6H{sub 2}O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co{sub 3}O{sub 4} thin film was obtained by annealing in air at 300-600, and N{sub 2} at 300, and transferred to CoO thin film by raising annealing temperature in N{sub 2}. The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  15. AZO Thin Films by Sol-Gel Process for Integrated Optics

    Directory of Open Access Journals (Sweden)

    Azzedine Boudrioua

    2013-07-01

    Full Text Available Undoped and aluminum-doped zinc oxide (AZO thin films are prepared by the sol-gel process. Zinc acetate dihydrate, ethanol, and monoethanolamine are used as precursor, solvent, and stabilizer, respectively. In the case of AZO, aluminum nitrate nonahydrate is added to the precursor solution with an atomic percentage equal to 1 and 2 at.% Al. The multi thin layers are deposited by spin-coating onto glass substrates, and are transformed into ZnO upon annealing at 550 °C. Films display a strong preferential orientation, with high values for the Texture Coefficients (TC of the (002 direction (TC(002 ≈ 3. The structural, morphological, and optical properties of the thin films as a function of aluminum content have been investigated using X-Ray Diffraction (XRD, Atomic Force Microscopy (AFM, and Scanning Electronic Microscopy (SEM. Waveguiding properties of the thin films have been also studied using m-lines spectroscopy. The results indicate that the films are monomodes at 632.8 nm with optical propagation optical losses estimated around 1.6 decibel per cm (dB/cm.

  16. Preventing Thin Film Dewetting via Graphene Capping.

    Science.gov (United States)

    Cao, Peigen; Bai, Peter; Omrani, Arash A; Xiao, Yihan; Meaker, Kacey L; Tsai, Hsin-Zon; Yan, Aiming; Jung, Han Sae; Khajeh, Ramin; Rodgers, Griffin F; Kim, Youngkyou; Aikawa, Andrew S; Kolaczkowski, Mattew A; Liu, Yi; Zettl, Alex; Xu, Ke; Crommie, Michael F; Xu, Ting

    2017-09-01

    A monolayer 2D capping layer with high Young's modulus is shown to be able to effectively suppress the dewetting of underlying thin films of small organic semiconductor molecule, polymer, and polycrystalline metal, respectively. To verify the universality of this capping layer approach, the dewetting experiments are performed for single-layer graphene transferred onto polystyrene (PS), semiconducting thienoazacoronene (EH-TAC), gold, and also MoS 2 on PS. Thermodynamic modeling indicates that the exceptionally high Young's modulus and surface conformity of 2D capping layers such as graphene and MoS 2 substantially suppress surface fluctuations and thus dewetting. As long as the uncovered area is smaller than the fluctuation wavelength of the thin film in a dewetting process via spinodal decomposition, the dewetting should be suppressed. The 2D monolayer-capping approach opens up exciting new possibilities to enhance the thermal stability and expands the processing parameters for thin film materials without significantly altering their physical properties. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  18. Large-area SnO2: F thin films by offline APCVD

    International Nuclear Information System (INIS)

    Wang, Yan; Wu, Yucheng; Qin, Yongqiang; Zhang, Zhihai; Shi, Chengwu; Zhang, Qingfeng; Li, Changhao; Xia, Xiaohong; Sun, Stanley; Chen, Leon

    2011-01-01

    Highlights: → Large-area (1245 mm x 635 mm) FTO thin films were successfully deposited by offline APCVD process. → The as-prepared FTO thin films with sheet resistance 8-11 Ω/□ and direct transmittance more than 83% exhibited better than that of the online ones. → The maximum quantum efficiency of the solar cells based on offline FTO substrate was 0.750 at wavelength 540 nm. → The power of the solar modules using the offline FTO as glass substrates was 51.639 W, higher than that of the modules based on the online ones. -- Abstract: In this paper, we reported the successful preparation of fluorine-doped tin oxide (FTO) thin films on large-area glass substrates (1245 mm x 635 mm x 3 mm) by self-designed offline atmospheric pressure chemical vapor deposition (APCVD) process. The FTO thin films were achieved through a combinatorial chemistry approach using tin tetrachloride, water and oxygen as precursors and Freon (F-152, C2H4F2) as dopant. The deposited films were characterized for crystallinity, morphology (roughness) and sheet resistance to aid optimization of materials suitable for solar cells. We got the FTO thin films with sheet resistance 8-11 Ω/□ and direct transmittance more than 83%. X-ray diffraction (XRD) characterization suggested that the as-prepared FTO films were composed of multicrystal, with the average crystal size 200-300 nm and good crystallinity. Further more, the field emission scanning electron microscope (FESEM) images showed that the films were produced with good surface morphology (haze). Selected samples were used for manufacturing tandem amorphous silicon (a-Si:H) thin film solar cells and modules by plasma enhanced chemical vapor deposition (PECVD). Compared with commercially available FTO thin films coated by online chemical vapor deposition, our FTO coatings show excellent performance resulting in a high quantum efficiency yield for a-Si:H solar cells and ideal open voltage and short circuit current for a-Si:H solar

  19. Thin transparent film characterization by photothermal reflectance (abstract)

    Science.gov (United States)

    Li Voti, R.; Wright, O. B.; Matsuda, O.; Larciprete, M. C.; Sibilia, C.; Bertolotti, M.

    2003-01-01

    Photothermal reflectance methods have been intensively applied to the nondestructive testing of opaque thin films [D. P. Almond and P. M. Patel, Photothermal Science and Techniques (Chapman and Hall, London, 1996); C. Bento and D. P. Almond, Meas. Sci. Technol. 6, 1022 (1995); J. Opsal, A. Rosencwaig, and D. Willenborg, Appl. Opt. 22, 3169 (1983)]. The basic principle is based on thermal wave interferometry: the opaque specimen is illuminated by a laser beam, periodically chopped at the frequency f, so as to generate a plane thermal wave in the surface region. This wave propagates in the film, approaches the rear interface (film-bulk), is partially reflected back, reaches the front surface, is again partially reflected back and so on, giving rise to thermal wave interference. A consequence of this interference is that the surface temperature may be enhanced (constructive interference) or reduced (destructive interference) by simply scanning the frequency f (that is, the thermal diffusion length μ=√D/πf ), so as to observe damped oscillations as a function of f; in practice only the first oscillation may be clearly resolved and used to measure either the film thickness d or the film thermal diffusivity D, and this situation occurs when μ≈d. In general, photothermal reflectance does not measure directly the surface temperature variation, but rather a directly related signal determined by the thermo-optic coefficients and the sample geometry; for detection it is common to monitor the optical reflectivity variation of a probe beam normally incident on the sample. If the thin film is partially transparent to the probe, the theory becomes more difficult [O. Matsuda and O. B. Wright, J. Opt. Soc. Am. B (in press)] and one should consider the probe beam multiple reflections in the thin film. The probe modulation is optically inhomogeneous due to the temperature-induced changes in refractive index. Although in the past the complexity of the analysis has impeded

  20. Capillary bending of a thin polymer film floating on a liquid bath

    Science.gov (United States)

    Twohig, Timothy; Croll, Andrew B.

    Thin elastic films and shells are very important in schemes for the encapsulation and protection of fluids from their environment. Capillary origami is a particularly poignant example of how useful fluid/film structures can be formed. The interactions of fluids on thin-films which themselves lie on another surface (fluid or low friction solid) need to be studied if the differences from fluid-fluid and fluid-solid film interfaces are to be fully appreciated. In this experiment, we examine the triple line that occurs when a fluid is resting on a thin polymer film which is itself floating on a second fluid. The top fluid has a high-energy air/fluid interface which can be minimized by deforming the film in a manner that reduces the total air/fluid interface. We create a one-dimensional experiment in order to isolate the basic physics that occurs as the tension of the top fluid pulls on the thin film. Notably, the 1D geometry removes all the complexity incurred by thin films in biaxial stress states (such as wrinkling, folding and crumpling) from the problem. AFOSR under the Young Investigator Program (FA9550-15-1-0168).

  1. In situ annealing of hydroxyapatite thin films

    International Nuclear Information System (INIS)

    Johnson, Shevon; Haluska, Michael; Narayan, Roger J.; Snyder, Robert L.

    2006-01-01

    Hydroxyapatite is a bioactive ceramic that mimics the mineral composition of natural bone. Unfortunately, problems with adhesion, poor mechanical integrity, and incomplete bone ingrowth limit the use of many conventional hydroxyapatite surfaces. In this work, we have developed a novel technique to produce crystalline hydroxyapatite thin films involving pulsed laser deposition and postdeposition annealing. Hydroxyapatite films were deposited on Ti-6Al-4V alloy and Si (100) using pulsed laser deposition, and annealed within a high temperature X-ray diffraction system. The transformation from amorphous to crystalline hydroxyapatite was observed at 340 deg. C. Mechanical and adhesive properties were examined using nanoindentation and scratch adhesion testing, respectively. Nanohardness and Young's modulus values of 3.48 and 91.24 GPa were realized in unannealed hydroxyapatite films. Unannealed and 350 deg. C annealed hydroxyapatite films exhibited excellent adhesion to Ti-6Al-4V alloy substrates. We anticipate that the adhesion and biological properties of crystalline hydroxyapatite thin films may be enhanced by further consideration of deposition and annealing parameters

  2. Highly-efficient, flexible piezoelectric PZT thin film nanogenerator on plastic substrates.

    Science.gov (United States)

    Park, Kwi-Il; Son, Jung Hwan; Hwang, Geon-Tae; Jeong, Chang Kyu; Ryu, Jungho; Koo, Min; Choi, Insung; Lee, Seung Hyun; Byun, Myunghwan; Wang, Zhong Lin; Lee, Keon Jae

    2014-04-23

    A highly-efficient, flexible piezoelectric PZT thin film nanogenerator is demonstrated using a laser lift-off (LLO) process. The PZT thin film nanogenerator harvests the highest output performance of ∼200 V and ∼150 μA·cm(-2) from regular bending motions. Furthermore, power sources generated from a PZT thin film nanogenerator, driven by slight human finger bending motions, successfully operate over 100 LEDs. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Optical and morphological characterizations of pyronin dye-poly (vinyl alcohol) thin films formed on glass substrates

    International Nuclear Information System (INIS)

    Meral, Kadem; Arik, Mustafa; Onganer, Yavuz

    2016-01-01

    Thin films of pyronin dye mixed with poly(vinyl alcohol) (PVA) on glass substrate were prepared by using spin-coating technique. The optical and morphological properties of the thin films were studied by UV-Vis., steady-state fluorescence spectroscopies and atomic force microscopy (AFM). The thin films on glass substrate were fabricated at various [PVA]/[dye] (P/D) ratios. Hence, the monomeric and H-aggregates thin films of pyronin dye mixed with PVA were formed as a function of the dye and PVA concentration. It was determined that while the monomeric thin films showed strong fluorescence, the formation of H-aggregates in the thin film caused to decreasing the fluorescence intensity. AFM studies demonstrated that the morphology of the thin film was drastically varied with changing the optical property of the thin film such as monomeric and H-aggregates thin films.

  4. Optical and morphological characterizations of pyronin dye-poly (vinyl alcohol) thin films formed on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Meral, Kadem, E-mail: kademm@atauni.edu.tr; Arik, Mustafa, E-mail: marik@tatauni.edu.tr; Onganer, Yavuz, E-mail: yonganer@atauni.edu.tr [Department of Chemistry, Faculty of Sciences, Atatürk University, 25240 Erzurum (Turkey)

    2016-04-18

    Thin films of pyronin dye mixed with poly(vinyl alcohol) (PVA) on glass substrate were prepared by using spin-coating technique. The optical and morphological properties of the thin films were studied by UV-Vis., steady-state fluorescence spectroscopies and atomic force microscopy (AFM). The thin films on glass substrate were fabricated at various [PVA]/[dye] (P/D) ratios. Hence, the monomeric and H-aggregates thin films of pyronin dye mixed with PVA were formed as a function of the dye and PVA concentration. It was determined that while the monomeric thin films showed strong fluorescence, the formation of H-aggregates in the thin film caused to decreasing the fluorescence intensity. AFM studies demonstrated that the morphology of the thin film was drastically varied with changing the optical property of the thin film such as monomeric and H-aggregates thin films.

  5. Pathways to Mesoporous Resin/Carbon Thin Films with Alternating Gyroid Morphology

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Qi [Department; Matsuoka, Fumiaki [Department; Suh, Hyo Seon [Institute; Materials; Beaucage, Peter A. [Department; Xiong, Shisheng [Institute; Materials; Smilgies, Detlef-M. [Cornell; Tan, Kwan Wee [Department; School; Werner, Jörg G. [Department; Nealey, Paul F. [Institute; Materials; Wiesner, Ulrich B. [Department

    2017-12-19

    Three-dimensional (3D) mesoporous thin films with sub-100 nm periodic lattices are of increasing interest as templates for a number of nanotechnology applications, yet are hard to achieve with conventional top-down fabrication methods. Block copolymer self-assembly derived mesoscale structures provide a toolbox for such 3D template formation. In this work, single (alternating) gyroidal and double gyroidal mesoporous thin-film structures are achieved via solvent vapor annealing assisted co-assembly of poly(isoprene-block-styrene-block-ethylene oxide) (PI-b-PS-b-PEO, ISO) and resorcinol/phenol formaldehyde resols. In particular, the alternating gyroid thin-film morphology is highly desirable for potential template backfilling processes as a result of the large pore volume fraction. In situ grazing-incidence small-angle X-ray scattering during solvent annealing is employed as a tool to elucidate and navigate the pathway complexity of the structure formation processes. The resulting network structures are resistant to high temperatures provided an inert atmosphere. The thin films have tunable hydrophilicity from pyrolysis at different temperatures, while pore sizes can be tailored by varying ISO molar mass. A transfer technique between substrates is demonstrated for alternating gyroidal mesoporous thin films, circumventing the need to re-optimize film formation protocols for different substrates. Increased conductivity after pyrolysis at high temperatures demonstrates that these gyroidal mesoporous resin/carbon thin films have potential as functional 3D templates for a number of nanomaterials applications.

  6. Pulsed Laser Deposition of Zinc Sulfide Thin Films on Silicon: The influence of substrate orientation and preparation on thin film morphology and texture

    OpenAIRE

    Heimdal, Carl Philip J

    2014-01-01

    The effect of orientation and preparation of silicon substrates on the growth morphology and crystalline structure of ZnS thin films deposited by pulsed laser deposition (PLD) has been investigated through scanning electron microscopy (SEM) and grazing incidence x-ray diffraction (GIXRD). ZnS thin films were grown on silicon (100) and (111), on HF-treated and untreated silicon (100) as well as substrates coated with Al, Ge and Au. The ZnS films showed entirely different morphologies for ZnS f...

  7. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    Science.gov (United States)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  8. Comparative study of the mechanical properties of nanostructured thin films on stretchable substrates

    Energy Technology Data Exchange (ETDEWEB)

    Djaziri, S. [Max-Planck-Institut für Eisenforschung GmbH, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Institut P' (UPR 3346 CNRS), Université de Poitiers, ENSMA, Bd Pierre et Marie Curie, 86962 Futuroscope Cedex (France); Renault, P.-O.; Le Bourhis, E.; Goudeau, Ph., E-mail: Philippe.goudeau@univ-poitiers.fr [Institut P' (UPR 3346 CNRS), Université de Poitiers, ENSMA, Bd Pierre et Marie Curie, 86962 Futuroscope Cedex (France); Faurie, D. [LSPM, (UPR 3407 CNRS), Université Paris 13, Institut Galilée, 99 avenue Jean-Baptiste Clément, 93430 Villetaneuse (France); Geandier, G. [Institut Jean Lamour (UMR 3079 CNRS), Université de Lorraine, Parc de Saurupt, CS 50840, 54011 NANCY Cedex (France); Mocuta, C.; Thiaudière, D. [Synchrotron SOLEIL, L' Orme des Merisiers, Saint-Aubin, BP 48, 91192 Gif-sur-Yvette Cedex (France)

    2014-09-07

    Comparative studies of the mechanical behavior between copper, tungsten, and W/Cu nanocomposite based on copper dispersoïd thin films were performed under in-situ controlled tensile equi-biaxial loadings using both synchrotron X-ray diffraction and digital image correlation techniques. The films first deform elastically with the lattice strain equal to the true strain given by digital image correlation measurements. The Cu single thin film intrinsic elastic limit of 0.27% is determined below the apparent elastic limit of W and W/Cu nanocomposite thin films, 0.30% and 0.49%, respectively. This difference is found to be driven by the existence of as-deposited residual stresses. Above the elastic limit on the lattice strain-true strain curves, we discriminate two different behaviors presumably footprints of plasticity and fracture. The Cu thin film shows a large transition domain (0.60% true strain range) to a plateau with a smooth evolution of the curve which is associated to peak broadening. In contrast, W and W/Cu nanocomposite thin films show a less smooth and reduced transition domain (0.30% true strain range) to a plateau with no peak broadening. These observations indicate that copper thin film shows some ductility while tungsten/copper nanocomposites thin films are brittle. Fracture resistance of W/Cu nanocomposite thin film is improved thanks to the high compressive residual stress and the elimination of the metastable β-W phase.

  9. Two-dimensional models for the optical response of thin films

    Science.gov (United States)

    Li, Yilei; Heinz, Tony F.

    2018-04-01

    In this work, we present a systematic study of 2D optical models for the response of thin layers of material under excitation by normally incident light. The treatment, within the framework of classical optics, analyzes a thin film supported by a semi-infinite substrate, with both the thin layer and the substrate assumed to exhibit local, isotropic linear response. Starting from the conventional three-dimensional (3D) slab model of the system, we derive a two-dimensional (2D) sheet model for the thin film in which the optical response is described by a sheet optical conductivity. We develop criteria for the applicability of this 2D sheet model for a layer with an optical thickness far smaller than the wavelength of the light. We examine in detail atomically thin semi-metallic and semiconductor van-der-Waals layers and ultrathin metal films as representative examples. Excellent agreement of the 2D sheet model with the 3D slab model is demonstrated over a broad spectral range from the radio frequency limit to the near ultraviolet. A linearized version of system response for the 2D model is also presented for the case where the influence of the optically thin layer is sufficiently weak. Analytical expressions for the applicability and accuracy of the different optical models are derived, and the appropriateness of the linearized treatment for the materials is considered. We discuss the advantages, as well as limitations, of these models for the purpose of deducing the optical response function of the thin layer from experiment. We generalize the theory to take into account in-plane anisotropy, layered thin film structures, and more general substrates. Implications of the 2D model for the transmission of light by the thin film and for the implementation of half- and totally absorbing layers are discussed.

  10. Cathodic electrodeposition of CuInSe sub 2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Guillen, C; Galiano, E; Herrero, J [Inst. de Energias Renovables (CIEMAT), Madrid (Spain)

    1991-01-01

    In order to study the preparation process of CuInSe{sub 2} thin films by a one-step electrodeposition method, thin films of the compound were prepared from aqueous citric acid (C{sub 6}H{sub 8}O{sub 7} . H{sub 2}O) plating baths onto titanium substrates. During electrodeposition, the bath composition and deposition potential were changed to obtain stoichiometric thin films. In general, close to stoichiometry, layers rich in selenium were observed, and this excess of selenium was removed after heat treatment. Best quality films were obtained after annealing at 400deg C during 15 min. X-ray diffraction showed the formation of CuInSe{sub 2} films, the chalcopyrite structure, at heating treatment temperatures higher than 350deg C. Optical measurements showed that the band gap of the deposited material was 0.99 eV. (orig.).

  11. Synthesis of Cu2O from CuO thin films: Optical and electrical properties

    Directory of Open Access Journals (Sweden)

    Dhanya S. Murali

    2015-04-01

    Full Text Available Hole conducting, optically transparent Cu2O thin films on glass substrates have been synthesized by vacuum annealing (5×10−6 mbar at 700 K for 1 hour of magnetron sputtered (at 300 K CuO thin films. The Cu2O thin films are p-type and show enhanced properties: grain size (54.7 nm, optical transmission 72% (at 600 nm and Hall mobility 51 cm2/Vs. The bulk and surface Valence band spectra of Cu2O and CuO thin films are studied by temperature dependent Hall effect and Ultra violet photo electron Spectroscopy (UPS. CuO thin films show a significant band bending downwards (due to higher hole concentration than Cu2O thin films.

  12. Peltier cooling and onsager reciprocity in ferromagnetic thin films.

    Science.gov (United States)

    Avery, A D; Zink, B L

    2013-09-20

    We present direct measurements of the Peltier effect as a function of temperature from 77 to 325 K in Ni, Ni(80)Fe(20), and Fe thin films made using a suspended Si-N membrane structure. Measurement of the Seebeck effect in the same films allows us to directly test predictions of Onsager reciprocity between the Peltier and Seebeck effects. The Peltier coefficient Π is negative for both Ni and Ni(80)Fe(20) films and positive for the Fe film. The Fe film also exhibits a peak associated with the magnon drag Peltier effect. The observation of magnon drag in the Fe film verifies that the coupling between the phonon, magnon, and electron systems in the film is the same whether driven by heat current or charge current. The excellent agreement between Π values predicted using the experimentally determined Seebeck coefficient for these films and measured values offers direct experimental confirmation of the Onsager reciprocity between these thermoelectric effects in ferromagnetic thin films near room temperature.

  13. Thin film circuits for future applications. Pt. 2. Evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Haug, G; Houska, K H; Schmidt, H J; Sprengel, H P; Wohak, K

    1976-06-01

    Investigations of thin film diffusion processes and reactions with encapsulation materials resulted in improved long term stability of evaporated NiCr resistors, SiO capacitors and NiCr/Au conductors for thin film circuits. Stable NiCr resistor networks can be formed on ceramic substrates, and SiO capacitors of good quality can be deposited on the new very smooth ceramic substrates. The knowledge of the influence of evaporation parameters make the production of SiO capacitors with definite properties and good reproducibility possible. The range of capacitance of tantalum thin film circuits can be extended by integration with evaporated SiO capacitors.

  14. Optical and Electrical Properties of the Different Magnetron Sputter Power 300°C Deposited -ZnO Thin Films and Applications in p-i-n -Si:H Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Fang-Hsing Wang

    2013-01-01

    Full Text Available A compound of ZnO with 3 wt% Ga2O3 (ZnO : Ga2O3 = 97 : 3 in wt%, GZO was sintered at C as a target. The GZO thin films were deposited on glass using a radio frequency magnetron sputtering system at C by changing the deposition power from 50 W to 150 W. The effects of deposition power on the crystallization size, lattice constant (c, resistivity, carrier concentration, carrier mobility, and optical transmission rate of the GZO thin films were studied. The blue shift in the transmission spectrum of the GZO thin films was found to change with the variations of the carrier concentration because of the Burstein-Moss shifting effect. The variations in the optical band gap ( value of the GZO thin films were evaluated from the plots of , revealing that the measured value decreased with increasing deposition power. As compared with the results deposited at room temperature by Gong et al., (2010 the C deposited GZO thin films had apparent blue shift in the transmission spectrum and larger value. For the deposited GZO thin films, both the carrier concentration and mobility linearly decreased and the resistivity linearly increased with increasing deposition power. The prepared GZO thin films were also used as transparent electrodes to fabricate the amorphous silicon thin-film solar cells, and their properties were also measured.

  15. Hydrophobicity studies of polymer thin films with varied CNT concentration

    Science.gov (United States)

    M. Rodzi, N. H.; M. Shahimin, M.; Poopalan, P.; Man, B.; M. Nor, M. N.

    2013-12-01

    Surface functionalization studies for re-creating a `Lotus Leaf' effect (superhydrophobic) have been carried out for the past decade; looking for the material which can provide high transparency, low energy surface and high surface roughness. Fabrication of polydimethylsiloxane (PDMS) and multiwalled carbon nanotubes (MWCNT) hybrid thin film variations on glass to produce near-superhydrophobic surfaces is presented in this paper. There are three important parameters studied in producing hydrophobic surfaces based on the hybrid thin films; concentration of PDMS, concentration of MWCNT and droplet sizes. The study is carried out by using PDMS of varied cross linker ratio (10:1, 30:1 and 50:1) with MWCNT concentration of 1mg, 10mg and 15mg for 0.5 μl, 2.0 μl, 5.0 μl and 10 μl droplet sizes. The resulting hybrid thin films show that hydrophobicity increased with increasing cross linker ratio and MWCNT percentage in the PDMS solution. A near superhydrophobic surface can be created when using 15 mg of MWCNT with 50:1 cross linker ratio PDMS thin films, measured on 10 μl droplet size. The hybrid thin films produced can be potentially tailored to the application of biosensors, MEMS and even commercial devices.

  16. A Variational approach to thin film hydrodynamics of binary mixtures

    KAUST Repository

    Xu, Xinpeng

    2015-02-04

    In order to model the dynamics of thin films of mixtures, solutions, and suspensions, a thermodynamically consistent formulation is needed such that various coexisting dissipative processes with cross couplings can be correctly described in the presence of capillarity, wettability, and mixing effects. In the present work, we apply Onsager\\'s variational principle to the formulation of thin film hydrodynamics for binary fluid mixtures. We first derive the dynamic equations in two spatial dimensions, one along the substrate and the other normal to the substrate. Then, using long-wave asymptotics, we derive the thin film equations in one spatial dimension along the substrate. This enables us to establish the connection between the present variational approach and the gradient dynamics formulation for thin films. It is shown that for the mobility matrix in the gradient dynamics description, Onsager\\'s reciprocal symmetry is automatically preserved by the variational derivation. Furthermore, using local hydrodynamic variables, our variational approach is capable of introducing diffusive dissipation beyond the limit of dilute solute. Supplemented with a Flory-Huggins-type mixing free energy, our variational approach leads to a thin film model that treats solvent and solute in a symmetric manner. Our approach can be further generalized to include more complicated free energy and additional dissipative processes.

  17. Surface Plasmon Waves on Thin Metal Films.

    Science.gov (United States)

    Craig, Alan Ellsworth

    Surface-plasmon polaritons propagating on thin metal films bounded by dielectrics of nearly equal refractive indexes comprise two bound modes. Calculations indicate that, while the modes are degenerate on thick films, both the real and the imaginary components of the propagation constants for the modes split into two branches on successively thinner films. Considering these non-degenerate modes, the mode exhibiting a symmetric (antisymmetric) transverse profile of the longitudinally polarized electric field component, has propagation constant components both of which increase (decrease) with decreasing film thickness. Theoretical propagation constant eigenvalue (PCE) curves have been plotted which delineate this dependence of both propagation constant components on film thickness. By means of a retroreflecting, hemispherical glass coupler in an attenuated total reflection (ATR) configuration, light of wavelength 632.8 nm coupled to the modes of thin silver films deposited on polished glass substrates. Lorentzian lineshape dips in the plots of reflectance vs. angle of incidence indicate the presence of the plasmon modes. The real and imaginary components of the propagation constraints (i.e., the propagation constant and loss coefficient) were calculated from the angular positions and widths of the ATR resonances recorded. Films of several thicknesses were probed. Results which support the theoretically predicted curves were reported.

  18. Temperature- and thickness-dependent elastic moduli of polymer thin films

    Directory of Open Access Journals (Sweden)

    Ao Zhimin

    2011-01-01

    Full Text Available Abstract The mechanical properties of polymer ultrathin films are usually different from those of their counterparts in bulk. Understanding the effect of thickness on the mechanical properties of these films is crucial for their applications. However, it is a great challenge to measure their elastic modulus experimentally with in situ heating. In this study, a thermodynamic model for temperature- (T and thickness (h-dependent elastic moduli of polymer thin films Ef(T,h is developed with verification by the reported experimental data on polystyrene (PS thin films. For the PS thin films on a passivated substrate, Ef(T,h decreases with the decreasing film thickness, when h is less than 60 nm at ambient temperature. However, the onset thickness (h*, at which thickness Ef(T,h deviates from the bulk value, can be modulated by T. h* becomes larger at higher T because of the depression of the quenching depth, which determines the thickness of the surface layer δ.

  19. Elevated transition temperature in Ge doped VO2 thin films

    Science.gov (United States)

    Krammer, Anna; Magrez, Arnaud; Vitale, Wolfgang A.; Mocny, Piotr; Jeanneret, Patrick; Guibert, Edouard; Whitlow, Harry J.; Ionescu, Adrian M.; Schüler, Andreas

    2017-07-01

    Thermochromic GexV1-xO2+y thin films have been deposited on Si (100) substrates by means of reactive magnetron sputtering. The films were then characterized by Rutherford backscattering spectrometry (RBS), four-point probe electrical resistivity measurements, X-ray diffraction, and atomic force microscopy. From the temperature dependent resistivity measurements, the effect of Ge doping on the semiconductor-to-metal phase transition in vanadium oxide thin films was investigated. The transition temperature was shown to increase significantly upon Ge doping (˜95 °C), while the hysteresis width and resistivity contrast gradually decreased. The precise Ge concentration and the film thickness have been determined by RBS. The crystallinity of phase-pure VO2 monoclinic films was confirmed by XRD. These findings make the use of vanadium dioxide thin films in solar and electronic device applications—where higher critical temperatures than 68 °C of pristine VO2 are needed—a viable and promising solution.

  20. Shape memory characteristics of sputter-deposited Ti-Ni thin films

    International Nuclear Information System (INIS)

    Miyazaki, Shuichi; Ishida, Akira.

    1994-01-01

    Ti-Ni shape memory alloy thin films were deposited using an RF magnetron sputtering apparatus. The as-sputtered films were heat-treated in order to crystallize and memorize. After the heat treatment, the shape memory characteristics have been investigated using DSC and thermomechanical tests. Upon cooling the thin films, the solution-treated films showed a single peak in the DSC curve indicating a single stage transformation occurring from B2 to the martensitic phase, while the age-treated films showed double peaks indicating a two-stage transformation, i.e., from B2 to the R-phase, then to the martensitic phase. A perfect shape memory effect was achieved in these sputter-deposited Ti-Ni thin films in association both with the R-phase and martensitic transformations. Transformation temperatures increased linearly with increasing applied stress. The transformation strain also increased with increasing stress. The shape memory characteristics were strongly affected by heat-treatment conditions. (author)

  1. Mesoscale control of organic crystalline thin films: effects of film morphology on the performance of organic transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jaekyun; Park, Sungkyu [Chung-Ang University, Seoul (Korea, Republic of); Kim, Yonghoon [Sungkyunkwan University, Suwon (Korea, Republic of)

    2014-08-15

    We report mesoscale control of small molecular 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) crystalline thin films by varying the solute concentration in the fluidic channel method. A stepwise increase in the TIPS-pentacene concentration in the solution enabled us to prepare highly-crystallized ribbons, thin films, and thick films in a mesoscale range, respectively. All three types of deposited films exhibited an in-plane crystalline nature of (001) direction being normal to the substrate as well as crystalline domain growth parallel to the direction of the receding meniscus inside the fluidic channel. In addition, the film's morphology and thickness were found to have a great influence on the field-effect mobility of the transistors, and the highest average and maximum mobilities were achieved from transistors with thin-film semiconductor channels.

  2. Scanning Angle Raman spectroscopy in polymer thin film characterization

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Vy H.T. [Iowa State Univ., Ames, IA (United States)

    2015-12-19

    The focus of this thesis is the application of Raman spectroscopy for the characterization of thin polymer films. Chapter 1 provides background information and motivation, including the fundamentals of Raman spectroscopy for chemical analysis, scanning angle Raman scattering and scanning angle Raman scattering for applications in thin polymer film characterization. Chapter 2 represents a published manuscript that focuses on the application of scanning angle Raman spectroscopy for the analysis of submicron thin films with a description of methodology for measuring the film thickness and location of an interface between two polymer layers. Chapter 3 provides an outlook and future directions for the work outlined in this thesis. Appendix A, contains a published manuscript that outlines the use of Raman spectroscopy to aid in the synthesis of heterogeneous catalytic systems. Appendix B and C contain published manuscripts that set a foundation for the work presented in Chapter 2.

  3. Thin film silicon photovoltaics: Architectural perspectives and technological issues

    Energy Technology Data Exchange (ETDEWEB)

    Mercaldo, Lucia Vittoria; Addonizio, Maria Luisa; Noce, Marco Della; Veneri, Paola Delli; Scognamiglio, Alessandra; Privato, Carlo [ENEA, Portici Research Center, Piazzale E. Fermi, 80055 Portici (Napoli) (Italy)

    2009-10-15

    Thin film photovoltaics is a particularly attractive technology for building integration. In this paper, we present our analysis on architectural issues and technological developments of thin film silicon photovoltaics. In particular, we focus on our activities related to transparent and conductive oxide (TCO) and thin film amorphous and microcrystalline silicon solar cells. The research on TCO films is mainly dedicated to large-area deposition of zinc oxide (ZnO) by low pressure-metallorganic chemical vapor deposition. ZnO material, with a low sheet resistance (<8 {omega}/sq) and with an excellent transmittance (>82%) in the whole wavelength range of photovoltaic interest, has been obtained. ''Micromorph'' tandem devices, consisting of an amorphous silicon top cell and a microcrystalline silicon bottom cell, are fabricated by using the very high frequency plasma enhanced chemical vapor deposition technique. An initial efficiency of 11.1% (>10% stabilized) has been obtained. (author)

  4. Morphology selection for cupric oxide thin films by electrodeposition.

    Science.gov (United States)

    Dhanasekaran, V; Mahalingam, T; Chandramohan, R

    2011-10-01

    Polycrystalline cupric oxide thin films were deposited using alkaline solution bath employing cathodic electrodeposition method. The thin films were electrodeposited at various solution pH. The surface morphology and elemental analyzes of the films were studied using scanning electron microscopy (SEM) and energy dispersive X-ray analysis, respectively. SEM studies revealed that the surface morphology could be tailored suitably by adjusting the pH value during deposition. Mesh average on multiple lattice mode atomic force microscopy image was obtained and reported. Copyright © 2011 Wiley-Liss, Inc.

  5. Effect of performance of Zr-Y alloy target on thin film deposition technology

    International Nuclear Information System (INIS)

    Pan Qianfu; Liu Chaohong; Jiang Mingzhong; Yin Changgeng

    2011-01-01

    Yttria-stabilized zirconia (YSZ) films are synthesized on corrosion resistant plates by pulsed bias arc ion plating. The arc starting performance and the stability of thin film deposition is explored by improving the uniformity and compactibility of Zr-Y alloy target. The property of Zr-Y alloy target and depositional thin films were measured with the optical microscope, scanning electron microscope, X-ray diffractometer. The result shows that the target with hot rolling and annealing has a good arc starting performance and stability of thin film deposition, and the depositional thin films made of Yttria and amorphous zirconia are homogeneous and compact. (authors)

  6. Ultra-thin films for plasmonics: a technology overview

    DEFF Research Database (Denmark)

    Malureanu, Radu; Lavrinenko, Andrei

    2015-01-01

    Ultra-thin films with low surface roughness that support surface plasmon-polaritons in the infra-red and visible ranges are needed in order to improve the performance of devices based on the manipulation of plasmon propagation. Increasing amount of efforts is made in order not only to improve...... the quality of the deposited layers but also to diminish their thickness and to find new materials that could be used in this field. In this review, we consider various thin films used in the field of plasmonics and metamaterials in the visible and IR range. We focus our presentation on technological issues...... of their deposition and reported characterization of film plasmonic performance....

  7. Attempt to produce both thick and thinned flowing superfluid films

    International Nuclear Information System (INIS)

    Kwoh, D.S.W.; Goodstein, D.L.

    1977-01-01

    As discussed in the preceding paper by Graham, a controversy has arisen over conflicting reports of whether a superfluid film becomes thinned when it is set into motion. We have performed an experiment designed to reproduce as nearly as possible two previous measurements giving opposite results. Our experiment is also designed to test directly a theory proposed by Goodstein and Saffman which would have reconciled the apparently contradictory observations. We are unable to reproduce the thick-film result, finding kinetic thinning in all cases, even where the Goodstein--Saffman theory would lead us to expect a thick film. We conclude, in agreement with Graham, that the film is always thinned when it flows, and that the theory is therefore unnecessary

  8. All-solution-processed flexible thin film piezoelectric nanogenerator

    Energy Technology Data Exchange (ETDEWEB)

    Chung, Sung Yun; Kim, Sunyoung; Kim, Kyongjun [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-744 (Korea, Republic of); Lee, Ju-Hyuck; Kim, Sang-Woo [SKKU Advanced Institute of Nanotechnology, School of Advanced Materials Science and Engineering, Sungkyunkwan University (SKKU), Suwon 440-746 (Korea, Republic of); Kang, Chong-Yun; Yoon, Seok-Jin [Electronic Materials Center, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Kim, Youn Sang [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-744 (Korea, Republic of); Advanced Institutes of Convergence Technology, 864-1 Iui-dong, Yeongtong-gu, Suwon-si, Gyeonggi-do 443-270 (Korea, Republic of)

    2012-11-27

    An all-solution-processed flexible thin film piezoelectric nanogenerator is demonstrated using reactive zinc hydroxo-condensation and a screen-printing method. The highly elastic thin film allows the piezoelectric energy to be generated through the mechanical rolling and muscle stretching of the piezoelectric unit. This flexible all solution-processed nanogenerator is promising for use in future energy harvesters such as wearable human patches and mobile electronics. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Optical properties of WO3 thin films using surface plasmon resonance technique

    International Nuclear Information System (INIS)

    Paliwal, Ayushi; Sharma, Anjali; Gupta, Vinay; Tomar, Monika

    2014-01-01

    Indigenously assembled surface plasmon resonance (SPR) technique has been exploited to study the thickness dependent dielectric properties of WO 3 thin films. WO 3 thin films (80 nm to 200 nm) have been deposited onto gold (Au) coated glass prism by sputtering technique. The structural, optical properties and surface morphology of the deposited WO 3 thin films were studied using X-ray diffraction, UV-visible spectrophotometer, Raman spectroscopy, and Scanning electron microscopy (SEM). XRD analysis shows that all the deposited WO 3 thin films are exhibiting preferred (020) orientation and Raman data indicates that the films possess single phase monoclinic structure. SEM images reveal the variation in grain size with increase in thickness. The SPR reflectance curves of the WO 3 /Au/prism structure were utilized to estimate the dielectric properties of WO 3 thin films at optical frequency (λ = 633 nm). As the thickness of WO 3 thin film increases from 80 nm to 200 nm, the dielectric constant is seen to be decreasing from 5.76 to 3.42, while the dielectric loss reduces from 0.098 to 0.01. The estimated value of refractive index of WO 3 film is in agreement to that obtained from UV-visible spectroscopy studies. The strong dispersion in refractive index is observed with wavelength of incident laser light

  10. Dense zig-zag microstructures in YSZ thin films by pulsed laser deposition

    Science.gov (United States)

    Stender, Dieter; Schäuble, Nina; Weidenkaff, Anke; Montagne, Alex; Ghisleni, Rudy; Michler, Johann; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2015-01-01

    The very brittle oxygen ion conductor yttria stabilized zirconia (YSZ) is a typical solid electrolyte for miniaturized thin film fuel cells. In order to decrease the fuel cell operating temperature, the thickness of yttria stabilized zirconia thin films is reduced. Often, these thin membranes suffer from mechanical failure and gas permeability. To improve these mechanical issues, a glancing angle deposition approach is used to grow yttria stabilized zirconia thin films with tilted columnar structures. Changes of the material flux direction during the deposition result in a dense, zigzag-like structure with columnar crystallites. This structure reduces the elastic modulus of these membranes as compared to columnar yttria stabilized zirconia thin films as monitored by nano-indentation which makes them more adaptable to applied stress.

  11. Dense zig-zag microstructures in YSZ thin films by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Dieter Stender

    2015-01-01

    Full Text Available The very brittle oxygen ion conductor yttria stabilized zirconia (YSZ is a typical solid electrolyte for miniaturized thin film fuel cells. In order to decrease the fuel cell operating temperature, the thickness of yttria stabilized zirconia thin films is reduced. Often, these thin membranes suffer from mechanical failure and gas permeability. To improve these mechanical issues, a glancing angle deposition approach is used to grow yttria stabilized zirconia thin films with tilted columnar structures. Changes of the material flux direction during the deposition result in a dense, zigzag-like structure with columnar crystallites. This structure reduces the elastic modulus of these membranes as compared to columnar yttria stabilized zirconia thin films as monitored by nano-indentation which makes them more adaptable to applied stress.

  12. Enhanced ultraviolet photo-response in Dy doped ZnO thin film

    Science.gov (United States)

    Kumar, Pawan; Singh, Ranveer; Pandey, Praveen C.

    2018-02-01

    In the present work, a Dy doped ZnO thin film deposited by the spin coating method has been studied for its potential application in a ZnO based UV detector. The investigations on the structural property and surface morphology of the thin film ensure that the prepared samples are crystalline and exhibit a hexagonal crystal structure of ZnO. A small change in crystallite size has been observed due to Dy doping in ZnO. AFM analysis ascertains the grain growth and smooth surface of the thin films. The Dy doped ZnO thin film exhibits a significant enhancement in UV region absorption as compared to the pure ZnO thin film, which suggests that Dy doped ZnO can be used as a UV detector. Under UV irradiation of wavelength 325 nm, the photocurrent value of Dy doped ZnO is 105.54 μA at 4.5 V, which is 31 times greater than that of the un-doped ZnO thin film (3.39 μA). The calculated value of responsivity is found to increase significantly due to the incorporation of Dy in the ZnO lattice. The observed higher value of photocurrent and responsivity could be attributed to the substitution of Dy in the ZnO lattice, which enhances the conductivity, electron mobility, and defects in ZnO and benefits the UV sensing property.

  13. Solar control on irradiated Ta2O2 thin films

    International Nuclear Information System (INIS)

    Baydogan, N. D.; Zayim, E. Oe.

    2007-01-01

    Thin films consisting of Ta 2 O 5 have been used in industry in applications related to thin-film capacitors, optical waveguides, and antireflection coatings on solar cells. Ta 2 O 5 films are used for several special applications as highly refractive material and show different optical properties depending on the deposition methods. Sol-gel technique has been used for the preparation of Ta 2 O 5 thin films. Ta 2 O 5 thin films were prepared by sol-gel proses on glass substrates to obtain good quality films. These films were exposed to gamma radiation from Co-60 radioisotope. Ta 2 O 5 coated thin films were placed against the source and irradiated for 8 different gamma doses; between 0.35 and 21.00 kGy at room temperature. Energetic gamma ray can affect the samples and change its colour. On the other hand some of the Ta 2 O 5 coated thin films were irradiated with beta radiation from Sr-90 radioisotope. The effect of gamma irradiation on the solar properties of Ta 2 O 5 films is compared with that of beta irradiation. The solar properties of the irradiated thin films differ significantly from those of the unirradiated ones. After the irradiation of the samples transmittance and reflectance are measured for solar light between 300 and 2100 nm, by using Perkin Elmer Lambda 9 UV/VIS/NIR Spectrophotometer. Change in the direct solar transmittance, reflectance and absorptance with absorbed dose are determined. Using the optical properties, the redistribution of the absorbed component of the solar radiation and the shading coefficient (SC) are calculated as a function of the convective heat-transfer coefficient. Solar parameters are important for the determination of the shading coefficient. When the secondary internal heat transfer factor (qi), direct solar transmittance (□ e ), and solar factor (g) are known, it is possible to determine shading coefficient via the dose rates. The shading coefficient changes as the dose rate is increased. In this study, the shading

  14. About some practical aspects of X-ray diffraction : From powder to thin film

    Energy Technology Data Exchange (ETDEWEB)

    Valvoda, V [Charles Univ. Prague (Czech Republic). Faculty of Mathematics and Physics

    1996-09-01

    Structure of thin films can be amorphous, polycrystalline or epitaxial, and the films can be prepared as a single layer films, multilayers or as graded films. A complete structure analysis of thin films by means of X-ray diffraction (XRD) usually needs more than one diffraction geometry to be used. Their principles, advantages and disadvantages will be shortly described, especially with respect to their different sampling depth and different response to orientation of diffracting crystallographic planes. Main differences in structure of thin films with respect to powder samples are given by a singular direction of their growth, by their adhesion to a substrate and often also by a simultaneous bombardment by atomic species during the growth. It means that a thermodynamically unstable atomic structures can be found too. These special features of growth of thin polycrystalline films are reflected in often found strong preferred orientation of grains and in residual stresses conserved in the films. The methods of structure analysis of thin films by XRD will be compared with other techniques which can supply structure images on different scales.

  15. Structure evolution of zinc oxide thin films deposited by unbalance DC magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Aryanto, Didik, E-mail: didi027@lipi.go.id [Research Center for Physics, Indonesian Institute of Sciences, Serpong 15314, Tangerang Selatan (Indonesia); Materials Research Group, Physics Department, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah (Indonesia); Marwoto, Putut; Sugianto [Physics Department, Faculty of Mathematics and Science, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah (Indonesia); Materials Research Group, Physics Department, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah (Indonesia); Sudiro, Toto [Research Center for Physics, Indonesian Institute of Sciences, Serpong 15314, Tangerang Selatan (Indonesia); Birowosuto, Muhammad D. [Research Center for Physics, Indonesian Institute of Sciences, Serpong 15314, Tangerang Selatan (Indonesia); CINTRA UMI CNRS/NTU/THALES 3288 Research Techno Plaza, 50 Nanyang Drive, Border X Block, level 6, 637553 (Singapore); Sulhadi [Physics Department, Faculty of Mathematics and Science, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah (Indonesia)

    2016-04-19

    Zinc oxide (ZnO) thin films are deposited on corning glass substrates using unbalanced DC magnetron sputtering. The effect of growth temperature on surface morphology and crystallographic orientation of ZnO thin film is studied using atomic force microscopy (AFM) and X-ray diffraction (XRD) techniques. The surface morphology and crystallographic orientation of ZnO thin film are transformed against the increasing of growth temperature. The mean grain size of film and the surface roughness are inversely and directly proportional towards the growth temperature from room temperature to 300 °C, respectively. The smaller grain size and finer roughness of ZnO thin film are obtained at growth temperature of 400 °C. The result of AFM analysis is in good agreement with the result of XRD analysis. ZnO thin films deposited in a series of growth temperatures have hexagonal wurtzite polycrystalline structures and they exhibit transformations in the crystallographic orientation. The results in this study reveal that the growth temperature strongly influences the surface morphology and crystallographic orientation of ZnO thin film.

  16. Optical properties of the c-axis oriented LiNbO3 thin film

    International Nuclear Information System (INIS)

    Shandilya, Swati; Sharma, Anjali; Tomar, Monika; Gupta, Vinay

    2012-01-01

    C-axis oriented Lithium Niobate (LiNbO 3 ) thin films have been deposited onto epitaxially matched (001) sapphire substrate using pulsed laser deposition technique. Structural and optical properties of the thin films have been studied using the X-ray diffraction (XRD) and UV–Visible spectroscopy respectively. Raman spectroscopy has been used to study the optical phonon modes and defects in the c-axis oriented LiNbO 3 thin films. XRD analysis indicates the presence of stress in the as-grown LiNbO 3 thin films and is attributed to the small lattice mismatch between LiNbO 3 and sapphire. Refractive index (n = 2.13 at 640 nm) of the (006) LiNbO 3 thin films was found to be slightly lower from the corresponding bulk value (n = 2.28). Various factors responsible for the deviation in the refractive index of (006) LiNbO 3 thin films from the corresponding bulk value are discussed and the deviation is mainly attributed to the lattice contraction due to the presence of stress in deposited film.

  17. Surface, interface and thin film characterization of nano-materials using synchrotron radiation

    International Nuclear Information System (INIS)

    Kimura, Shigeru; Kobayashi, Keisuke

    2005-01-01

    From the results of studies in the nanotechnology support project of the Ministry of Education, Culture, Sports, Science and Technology of Japan, several investigations on the surface, interface and thin film characterization of nano-materials are described; (1) the MgB 2 thin film by X-ray diffraction, (2) the magnetism of the Pt thin film on a Co film by X-ray magnetic circular dichroism measurement, (3) the structure and physical properties of oxygen molecules absorbed in a micro hole of the cheleted polymer crystal by the direct observation in X-ray powder diffraction, and (4) the thin film gate insulator with a large dielectric constant, thermally treated HfO 2 /SiO 2 /Si, by X-ray photoelectron spectroscopy. (M.H.)

  18. Molecular dynamics simulation of thin film interfacial strength dependency on lattice mismatch

    International Nuclear Information System (INIS)

    Yang, Zhou; Lian, Jie; Wang, Junlan

    2013-01-01

    Laser-induced thin film spallation experiments have been previously developed to characterize the intrinsic interfacial strength of thin films. In order to gain insights of atomic level thin film debonding processes and the interfacial strength dependence on film/substrate lattice structures, in this study, molecular dynamics simulations of thin film interfacial failure under laser-induced stress waves were performed. Various loading amplitudes and pulse durations were employed to identify the optimum simulation condition. Stress propagation as a function of time was revealed in conjunction with the interface structures. Parametric studies confirmed that while the interfacial strength between a thin film and a substrate does not depend on the film thickness and the duration of the laser pulse, a thicker film and a shorter duration do provide advantage to effectively load the interface to failure. With the optimized simulation condition, further studies were focused on bulk Au/Au bi-crystals with mismatched orientations, and Ni/Al, Cu/Al, Cu/Ag and Cu/Au bi-crystals with mismatched lattices. The interfacial strength was found to decrease with increasing orientation mismatch and lattice mismatch but more significantly dominated by the bonding elements' atomic structure and valence electron occupancy. - Highlights: • Molecular dynamics simulation was done on stress wave induced thin film spallation. • Atomic structure was found to be a primary strength determining factor. • Lattice mismatch was found to be a secondary strength determining factor

  19. Effects of the magnesium oxide thin films' microstructures on the residual stresses

    Energy Technology Data Exchange (ETDEWEB)

    He, Li-jun, E-mail: helijun4@126.com [The School of Optoelectronic Engineering, Chongqing University of Posts and Telecommunications, Chongqing 400065 (China); The State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Microelectronics and Solid-State Electronics, University of Electronic Science and Technology of China, Chengdu 610054 (China); Wang, Li-yan [Electronic Information and Networking Research Institute, Collaborative Innovation Center for Information Communication Technology, Chongqing University of Posts and Telecommunications, Chongqing 400065 (China); Chen, Wei-Zhong [The School of Optoelectronic Engineering, Chongqing University of Posts and Telecommunications, Chongqing 400065 (China); Liu, Xing-zhao [The State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Microelectronics and Solid-State Electronics, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2016-09-15

    The MgO thin films are deposited at various inclined angles. The morphology investigated by scanning electron microscope (SEM) shows the MgO thin films deposited at high inclined angles have columnar grain. The relationships between full width high maximum (FWHM) of ω-scan, residual stresses and the inclined angles are studied. The results show the smallest FWHM of MgO (002) is 4.968°, the residual stress of MgO thin films is compressive stress, and the residual stress of MgO thin films deposited at the inclined angle of 55° is the smallest. So the microstructures of MgO thin films fabricated by the oblique angle deposition (OAD) technique effectively control the residual stresses. - Highlights: • MgO thin films are deposited by oblique angle deposition technique. • The FWHMs of MgO is the smallest at the inclined angle of 55°. • Residual stress of MgO is the smallest at the inclined angle of 55°.

  20. In-situ spectroscopic ellipsometry for studies of thin films and membranes

    NARCIS (Netherlands)

    Ogieglo, Wojciech

    2014-01-01

    The properties of a thin polymer film can be significantly affected by the presence of a penetrant. It is also known that the behavior of ultra-thin polymer films (<100 nm) may deviate from the bulk behavior. This sole impact of film thickness reduction is often referred to as a nano-confinement

  1. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Bijkerk, Frederik

    2015-01-01

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO2 films were found to show Arrhenius behaviour. However, a

  2. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    International Nuclear Information System (INIS)

    Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali

    2014-01-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli

  3. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)

    2014-07-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.

  4. Flexible thin-film NFC tags

    NARCIS (Netherlands)

    Myny, K.; Tripathi, A.K.; Steen, J.L. van der; Cobb, B.

    2015-01-01

    Thin-film transistor technologies have great potential to become the key technology for leafnode Internet of Things by utilizing the NFC protocol as a communication medium. The main requirements are manufacturability on flexible substrates at a low cost while maintaining good device performance

  5. Feasibility Study of Thin Film Thermocouple Piles

    Science.gov (United States)

    Sisk, R. C.

    2001-01-01

    Historically, thermopile detectors, generators, and refrigerators based on bulk materials have been used to measure temperature, generate power for spacecraft, and cool sensors for scientific investigations. New potential uses of small, low-power, thin film thermopiles are in the area of microelectromechanical systems since power requirements decrease as electrical and mechanical machines shrink in size. In this research activity, thin film thermopile devices are fabricated utilizing radio frequency sputter coating and photoresist lift-off techniques. Electrical characterizations are performed on two designs in order to investigate the feasibility of generating small amounts of power, utilizing any available waste heat as the energy source.

  6. Fabrication of cerium-doped yttrium aluminum garnet thin films by a mist CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Murai, Shunsuke, E-mail: murai@dipole7.kuic.kyoto-u.ac.jp; Sato, Takafumi; Yao, Situ; Kamakura, Ryosuke; Fujita, Koji; Tanaka, Katsuhisa

    2016-02-15

    We synthesized thin films, consisting of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce), using the mist chemical vapor deposition (CVD) method, which allows the fabrication of high-quality thin films under atmospheric conditions without the use of vacuum equipment. Under a deposition rate of approximately 1 μm/h, the obtained thin films had a typical thickness of 2 μm. The XRD analysis indicated that the thin films consisted of single-phase YAG:Ce. The Rutherford backscattering confirmed the stoichiometry; the composition of the film was determined to be (Y, Ce){sub 3}Al{sub 5}O{sub 12}, with a Ce content of Ce/(Y+Ce)=2.5%. The YAG:Ce thin films exhibited fluorescence due to the 5d–4f electronic transitions characteristic of the Ce ions occupying the eight-coordinated dodecahedral sites in the YAG lattice. - Highlights: • We have synthesized thin films of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce) by using a mist chemical vapor deposition (CVD) method for the first time. • The thickness of the single-phase and stoichiometric thin film obtained by 2 h deposition and following heat treatments is 2 μm. • The thin film is porous but optically transparent, and shows yellow fluorescence upon irradiation with a blue light. • Mist-CVD is a green and sustainable technique that allows fabrication of high-quality thin films at atmospheric conditions without vacuum equipment.

  7. Strain Relaxation and Vacancy Creation in Thin Platinum Films

    International Nuclear Information System (INIS)

    Gruber, W.; Chakravarty, S.; Schmidt, H.; Baehtz, C.; Leitenberger, W.; Bruns, M.; Kobler, A.; Kuebel, C.

    2011-01-01

    Synchrotron based combined in situ x-ray diffractometry and reflectometry is used to investigate the role of vacancies for the relaxation of residual stress in thin metallic Pt films. From the experimentally determined relative changes of the lattice parameter a and of the film thickness L the modification of vacancy concentration and residual strain was derived as a function of annealing time at 130 deg. C. The results indicate that relaxation of strain resulting from compressive stress is accompanied by the creation of vacancies at the free film surface. This proves experimentally the postulated dominant role of vacancies for stress relaxation in thin metal films close to room temperature.

  8. Atmospheric Pressure Plasma Jet-Assisted Synthesis of Zeolite-Based Low-k Thin Films.

    Science.gov (United States)

    Huang, Kai-Yu; Chi, Heng-Yu; Kao, Peng-Kai; Huang, Fei-Hung; Jian, Qi-Ming; Cheng, I-Chun; Lee, Wen-Ya; Hsu, Cheng-Che; Kang, Dun-Yen

    2018-01-10

    Zeolites are ideal low-dielectric constant (low-k) materials. This paper reports on a novel plasma-assisted approach to the synthesis of low-k thin films comprising pure-silica zeolite MFI. The proposed method involves treating the aged solution using an atmospheric pressure plasma jet (APPJ). The high reactivity of the resulting nitrogen plasma helps to produce zeolite crystals with high crystallinity and uniform crystal size distribution. The APPJ treatment also remarkably reduces the time for hydrothermal reaction. The zeolite MFI suspensions synthesized with the APPJ treatment are used for the wet deposition to form thin films. The deposited zeolite thin films possessed dense morphology and high crystallinity, which overcome the trade-off between crystallinity and film quality. Zeolite thin films synthesized using the proposed APPJ treatment achieve low leakage current (on the order of 10 -8 A/cm 2 ) and high Young's modulus (12 GPa), outperforming the control sample synthesized without plasma treatment. The dielectric constant of our zeolite thin films was as low as 1.41. The overall performance of the low-k thin films synthesized with the APPJ treatment far exceed existing low-k films comprising pure-silica MFI.

  9. Study of neural cells on organic semiconductor ultra thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bystrenova, Eva; Tonazzini, Ilaria; Stoliar, Pablo; Greco, Pierpaolo; Lazar, Adina; Dutta, Soumya; Dionigi, Chiara; Cacace, Marcello; Biscarini, Fabio [ISMN-CNR, Bologna (Italy); Jelitai, Marta; Madarasz, Emilia [IEM- HAS, Budapest (Hungary); Huth, Martin; Nickel, Bert [LMU, Munich (Germany); Martini, Claudia [Dept. PNPB, Univ. of Pisa (Italy)

    2008-07-01

    Many technological advances are currently being developed for nano-fabrication, offering the ability to create and control patterns of soft materials. We report the deposition of cells on organic semiconductor ultra-thin films. This is a first step towards the development of active bio/non bio systems for electrical transduction. Thin films of pentacene, whose thickness was systematically varied, were grown by high vacuum sublimation. We report adhesion, growth, and differentiation of human astroglial cells and mouse neural stem cells on an organic semiconductor. Viability of astroglial cells in time was measured as a function of the roughness and the characteristic morphology of ultra thin organic film, as well as the features of the patterned molecules. Optical fluorescence microscope coupled to atomic force microscope was used to monitor the presence, density and shape of deposited cells. Neural stem cells remain viable, differentiate by retinoic acid and form dense neuronal networks. We have shown the possibility to integrate living neural cells on organic semiconductor thin films.

  10. Polycrystalline thin films : A review

    Energy Technology Data Exchange (ETDEWEB)

    Valvoda, V [Charles Univ., Prague (Czech Republic). Faculty of Mathematics and Physics

    1996-09-01

    Polycrystalline thin films can be described in terms of grain morphology and in terms of their packing by the Thornton`s zone model as a function of temperature of deposition and as a function of energy of deposited atoms. Grain size and preferred grain orientation (texture) can be determined by X-ray diffraction (XRD) methods. A review of XRD analytical methods of texture analysis is given with main attention paid to simple empirical functions used for texture description and for structure analysis by joint texture refinement. To illustrate the methods of detailed structure analysis of thin polycrystalline films, examples of multilayers are used with the aim to show experiments and data evaluation to determine layer thickness, periodicity, interface roughness, lattice spacing, strain and the size of diffraction coherent volumes. The methods of low angle and high angle XRD are described and discussed with respect to their complementary information content.

  11. Thin films of soft matter

    CERN Document Server

    Kalliadasis, Serafim

    2007-01-01

    A detailed overview and comprehensive analysis of the main theoretical and experimental advances on free surface thin film and jet flows of soft matter is given. At the theoretical front the book outlines the basic equations and boundary conditions and the derivation of low-dimensional models for the evolution of the free surface. Such models include long-wave expansions and equations of the boundary layer type and are analyzed via linear stability analysis, weakly nonlinear theories and strongly nonlinear analysis including construction of stationary periodic and solitary wave and similarity solutions. At the experimental front a variety of very recent experimental developments is outlined and the link between theory and experiments is illustrated. Such experiments include spreading drops and bubbles, imbibitions, singularity formation at interfaces and experimental characterization of thin films using atomic force microscopy, ellipsometry and contact angle measurements and analysis of patterns using Minkows...

  12. Method for the manufacture of a thin film electrochemical energy source and device

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method for the manuf. of a thin film electrochem. energy source. The invention also relates to a thin film electrochem. energy source. The invention also relates to an elec. device comprising such a thin film electrochem. energy source. The invention enables a more rapid

  13. Strain-induced properties of epitaxial VOx thin films

    NARCIS (Netherlands)

    Rata, AD; Hibma, T

    We have grown VOx thin films on different substrates in order to investigate the influence of epitaxial strain on the transport properties. We found that the electric conductivity is much larger for films grown under compressive strain on SrTiO3 substrates, as compared to bulk material and VOx films

  14. Ferroelectric thin films using oxides as raw materials

    Directory of Open Access Journals (Sweden)

    E.B. Araújo

    1999-01-01

    Full Text Available This work describes an alternative method for the preparation of ferroelectric thin films based on pre-calcination of oxides, to be used as precursor material for a solution preparation. In order to show the viability of the proposed method, PbZr0.53Ti0.47O3 and Bi4Ti3O12 thin films were prepared on fused quartz and Si substrates. The results were analyzed by X-ray Diffraction (XRD, Scanning Electron Microscopy (SEM, Infrared Spectroscopy (IR and Rutherford Backscattering Spectroscopy (RBS. The films obtained show good quality, homogeneity and the desired stoichiometry. The estimated thickness for one layer deposition was approximately 1000 Å and 1500 Å for Bi4Ti3O12 and PbZr0.53Ti0.47O3 films, respectively.

  15. Uniaxial anisotropy in magnetite thin film-Magnetization studies

    International Nuclear Information System (INIS)

    Wiechec, A.; Korecki, J.; Handke, B.; Kakol, Z.; Owoc, D.; Antolak, D.A.; Kozlowski, A.

    2006-01-01

    Magnetization and electrical resistivity measurements have been performed on a stoichiometric single crystalline magnetite Fe 3 O 4 thin film (thickness of ca. 500 nm) MBE deposited on MgO (1 0 0) substrate. The aim of these studies was to check the influence of preparation method and sample form (bulk vs. thin film) on magnetic anisotropy properties in magnetite. The film magnetization along versus applied magnetic field has been determined both in the direction parallel and perpendicular to the film surface, and at temperatures above and below the Verwey transition. We have found, in agreement with published results, that the in-plane field of 10 kOe was not sufficient to saturate the sample. This can be understood if some additional factor, on top of the bulk magnetocrystalline anisotropy, is taken into account

  16. High magnetic field properties of Fe-pnictide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kurth, Fritz

    2015-11-20

    The recent discovery of high-temperature superconductivity in Fe-based materials triggered worldwide efforts to investigate their fundamental properties. Despite a lot of similarities to cuprates and MgB{sub 2}, important differences like near isotropic behaviour in contrast to cuprates and the peculiar pairing symmetry of the order parameter (OP) have been reported. The OP symmetry of Fe-based superconductors (FBS) was theoretically predicted to be of so-called s± state prior to various experimental works. Still, most of the experimental results favour the s± scenario; however, definitive evidence has not yet been reported. Although no clear understanding of the superconducting mechanisms yet exists, potential applications such as high-field magnets and Josephson devices have been explored. Indeed, a lot of reports about FBS tapes, wires, and even SQUIDs have been published to this date. In this thesis, the feasibility of high-field magnet applications of FBS is addressed by studying their transport properties, involving doped BaFe{sub 2}As{sub 2} (Ba-122) and LnFeAs(O,F) [Ln=Sm and Nd]. Particularly, it is important to study physical properties in a sample form (i.e. thin films) that is close to the conditions found in applications. However, the realisation of epitaxial FBS thin films is not an easy undertaking. Recent success in growing epitaxial FBS thin films opens a new avenue to delve into transport critical current measurements. The information obtained through this research will be useful for exploring high-field magnet applications. This thesis consists of 7 chapters: Chapter 1 describes the motivation of this study, the basic background of superconductivity, and a brief summary of the thin film growth of FBS. Chapter 2 describes experimental methods employed in this study. Chapter 3 reports on the fabrication of Co-doped Ba-122 thin films on various substrates. Particular emphasis lies on the discovery of fluoride substrates to be beneficial for

  17. Growth, Properties and Applications of Mo Ox Thin-Films Deposited by Reactive Sputtering

    DEFF Research Database (Denmark)

    Fernandes Cauduro, André Luis

    properties of metal-oxide thin films through surface defect engineering is vital to fine-tune their optoelectronic properties, and thus also their integration in novel optoelectronic devices. In this work, MoOx thin-films with various different phases and compositions were prepared by direct-current reactive...... molecules DBP and C70 are also covered in this work. The devices show interesting characteristics for very thin layers of the as-deposited MoOx films, displaying similar device efficiencies as those of in situ prepared MoOx thin-films formed from thermal evaporation. For the annealed MoOx films......Transition metal-oxide (TMOs) thin-films are commonly used in optoelectronic devices such as in photovoltaics and light emitting diodes, using both organic, inorganic and hybrid technologies. In such devices, TMOs typically act as an interfacial layer, where its functionality is to facilitate hole...

  18. Thin film characterisation by advanced X-ray diffraction techniques

    International Nuclear Information System (INIS)

    Cappuccio, G.; Terranova, M.L.

    1996-09-01

    The Fifth School on X-ray diffraction from polycrystalline materials was devoted to thin film characterization by advanced X-ray diffraction techniques. Twenty contributions are contained in this volume; all twenty are recorded in the INIS Database. X-ray diffraction is known to be a powerful analytical tool for characterizing materials and understanding their structural features. The aim of these articles is to illustrate the fundamental contribution of modern diffraction techniques (grazing incidence, surface analysis, standing waves, etc.) to the characterization of thin and ultra-thin films, which have become important in many advanced technologies

  19. Cell culture arrays using micron-sized ferromagnetic ring-shaped thin films

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Chen-Yu; Wei, Zung-Hang, E-mail: wei@pme.nthu.edu.tw [Department of Power Mechanical Engineering, National Tsing Hua University, Hsinchu City 300, Taiwan (China); Lai, Mei-Feng; Ger, Tzong-Rong [Institute of NanoEngineering and MicroSystems, National Tsing Hua University, Hsinchu City 300, Taiwan (China)

    2015-05-07

    Cell patterning has become an important technology for tissue engineering. In this research, domain walls are formed at the two ends of a ferromagnetic ring thin film after applying a strong external magnetic field, which can effectively attract magnetically labeled cells and control the position for biological cell. Magnetophoresis experiment was conducted to quantify the magnetic nanoparticle inside the cells. A ring-shaped magnetic thin films array was fabricated through photolithography. It is observed that magnetically labeled cells can be successfully attracted to the two ends of the ring-shaped magnetic thin film structure and more cells were attracted and further attached to the structures. The cells are co-cultured with the structure and kept proliferating; therefore, such ring thin film can be an important candidate for in-vitro biomedical chips or tissue engineering.

  20. Cell culture arrays using micron-sized ferromagnetic ring-shaped thin films

    International Nuclear Information System (INIS)

    Huang, Chen-Yu; Wei, Zung-Hang; Lai, Mei-Feng; Ger, Tzong-Rong

    2015-01-01

    Cell patterning has become an important technology for tissue engineering. In this research, domain walls are formed at the two ends of a ferromagnetic ring thin film after applying a strong external magnetic field, which can effectively attract magnetically labeled cells and control the position for biological cell. Magnetophoresis experiment was conducted to quantify the magnetic nanoparticle inside the cells. A ring-shaped magnetic thin films array was fabricated through photolithography. It is observed that magnetically labeled cells can be successfully attracted to the two ends of the ring-shaped magnetic thin film structure and more cells were attracted and further attached to the structures. The cells are co-cultured with the structure and kept proliferating; therefore, such ring thin film can be an important candidate for in-vitro biomedical chips or tissue engineering

  1. Metal-insulator transition induced in CaVO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Gu Man [Department of Physics, University of Virginia, 382 McCormick Rd., Charlottesville, Virginia 22904 (United States); Laverock, Jude; Chen, Bo; Smith, Kevin E. [Department of Physics, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215 (United States); Wolf, Stuart A. [Department of Physics, University of Virginia, 382 McCormick Rd., Charlottesville, Virginia 22904 (United States); Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., Charlottesville, Virginia 22904 (United States); Lu Jiwei [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., Charlottesville, Virginia 22904 (United States)

    2013-04-07

    Stoichiometric CaVO{sub 3} (CVO) thin films of various thicknesses were grown on single crystal SrTiO{sub 3} (STO) (001) substrates using a pulsed electron-beam deposition technique. The CVO films were capped with a 2.5 nm STO layer. We observed a temperature driven metal-insulator transition (MIT) in CVO films with thicknesses below 4 nm that was not observed in either thick CVO films or STO films. The emergence of this MIT can be attributed to the reduction in effective bandwidth due to a crossover from a three-dimensional metal to a two-dimensional insulator. The insulating phase was only induced with a drive current below 0.1 {mu}A. X-ray absorption measurements indicated different electronic structures for thick and very thin films of CVO. Compared with the thick film ({approx}60 nm), thin films of CVO (2-4 nm) were more two-dimensional with the V charge state closer to V{sup 4+}.

  2. Thin films of molecular materials synthesized from fisher's carbene ferrocenyl: Film formation and electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Vergara, M.E. [Coordinacion de Ingenieria Mecatronica. Escuela de Ingenieria, Universidad Anahuac del Norte. Avenida Lomas de la Anahuac s/n, Col. Lomas Anahuac, 52786, Huixquilucan (Mexico)], E-mail: elena.sanchez@anahuac.mx; Ortiz, A. [Instituto de Investigaciones en Materiales. Universidad Nacional Autonoma de Mexico. A. P. 70-360, 04510, Mexico, DF (Mexico); Alvarez-Toledano, C.; Moreno, A. [Instituto de Quimica, Universidad Nacional Autonoma de Mexico. Circuito Exterior, Ciudad Universitaria, 04510, Mexico, DF (Mexico); Alvarez, J.R. [Instituto Tecnologico y de Estudios Superiores de Monterrey, Campus Ciudad de Mexico. Calle del Puente 222, Col. Ejidos de Huipulco, 14380, Mexico, DF (Mexico)

    2008-07-31

    The synthesis of materials from Fisher's carbene ferrocenyl of the elements chromium, molybdenum and tungsten was carried out. The Fisher's compounds that were synthesized included the following combinations of two different metallic atoms: iron with chromium, iron with molybdenum and iron with tungsten. The molecular solids' preparation was done in electro-synthesis cells with platinum electrodes. Thin films were prepared by vacuum thermal evaporation on quartz substrates and crystalline silicon wafers. Pellets and thin films from these compounds were characterized by Fourier transform infrared spectroscopy, scanning electron microscopy, energy-dispersive spectroscopy, atomic force microscopy and ellipsometry. The powder and thin films synthesized from these materials show the same intra-molecular bonds shown by infrared spectroscopy results, suggesting that thermal evaporation does not alter these bonds in spite of the thin films being amorphous, in contrast with other bimetallic complexes where material decomposition occurs. The differences in the conductivity values of the prepared films are very small, so they may be attributed to the different metallic ions employed in each case. The tungsten complex exhibits a higher conductivity than the molybdenum and chromium complexes at room temperature. Electrical conductivity values found for thin films are higher than for pellets made of the same molecular materials.

  3. Enhanced electrochromic coloration in Ag nanoparticle decorated WO3 thin films

    International Nuclear Information System (INIS)

    Kharade, Rohini R.; Mali, Sawanta S.; Patil, Satish P.; Patil, Kashinath R.; Gang, Myong G.; Patil, Pramod S.; Kim, Jin H.; Bhosale, Popatrao N.

    2013-01-01

    Highlights: • Electrochromic WO 3 /Ag nanocomposites prepared by hybrid physico-chemical route. • XRD and XPS results confirm formation of Ag 8 W 4 O 16 phase. • WO 3 /Ag thin films showed good optical transmittance change and coloration efficiency. • SPR enhanced coloration and bleaching mechanism is well explained for electrochromism. • Color stimuli are quantified using CIE chromaticity principles. -- Abstract: WO 3 /Ag composite thin films were prepared by microwave assisted sol–gel synthesis (MW-SGS) of WO 3 followed by vacuum evaporation of Ag nanoparticles and their enhanced electrochromic coloration was investigated. The composition and morphology of WO 3 thin films with different thickness of Ag layer obtained by vacuum evaporation were investigated. Distinct plasmon absorption bands of Ag nanoparticle thin films were obtained. The optical band gap energy of WO 3 /Ag films decreased with increasing the Ag layer thickness. The surface of these films has been examined using X-ray photoelectron spectroscopy (XPS) to gain information about the chemical states of species present at surfaces. Experimental results indicated that the conductivity of the films increased after surface modification by Ag layer. To investigate the origin of enhanced electrochromic absorption in optical properties, working electrode consisting of WO 3 /Ag thin film was used and observed the optical properties during electrochemical reaction. It was found that composite electrode shows enhancement in electrochromic properties in terms of optical modulation (ΔOD) and coloration efficiency (η)

  4. Nanometric thin film membranes manufactured on square meter scale: ultra-thin films for CO 2 capture

    KAUST Repository

    Yave, Wilfredo; Car, Anja; Wind, Jan; Peinemann, Klaus Viktor

    2010-01-01

    Miniaturization and manipulation of materials at nanometer scale are key challenges in nanoscience and nanotechnology. In membrane science and technology, the fabrication of ultra-thin polymer films (defect-free) on square meter scale with uniform

  5. Surfactant induced flows in thin liquid films : an experimental study

    NARCIS (Netherlands)

    Sinz, D.K.N.

    2012-01-01

    The topic of the experimental work summarized in my thesis is the flow in thin liquid films induced by non-uniformly distributed surfactants. The flow dynamics as a consequence of the deposition of a droplet of an insoluble surfactant onto a thin liquid film covering a solid substrate where

  6. Infrared reflectance measurement for InN thin film characterization

    International Nuclear Information System (INIS)

    Fukui, K.; Kugumiya, Y.; Nakagawa, N.; Yamamoto, A.

    2006-01-01

    Infrared reflectance measurements of a series of InN thin films have been performed and attempt to derive carrier concentration and other physical constants for InN thin film characterization. Fitting calculations are performed by use of the dielectric function equation based on phonon-plasmon coupling model. Longitudinal and transverse optical phonon frequencies, plasma frequency and their damping parameters can be derived from fitting. From those results, electrical and phonon properties of InN and characterization of films are discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Thin films growth parameters in MAPLE; application to fibrinogen

    International Nuclear Information System (INIS)

    Jelinek, M; Cristescu, R; Kocourek, T; Vorlicek, V; Remsa, J; Stamatin, L; Mihaiescu, D; Stamatin, I; Mihailescu, I N; Chrisey, D B

    2007-01-01

    Increasingly requirements on the thin film quality of functionalized materials are efficiently met by a novel laser processing technique - Matrix Assisted Pulsed Laser Evaporation (MAPLE). Examples of deposition conditions and main features characteristic to film growth rate of MAPLE-fabricated organic materials are summarized. MAPLE experimental results are compared with ones corresponding to the classical Pulsed Laser Deposition (PLD). In particular, the results of investigation of MAPLE-deposited fibrinogen blood protein thin films using a KrF* excimer laser and characterized by FTIR and Raman spectrometry are reported

  8. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  9. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  10. TiO2 and Cu/TiO2 Thin Films Prepared by SPT

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2015-12-01

    Full Text Available Titanium oxide (TiO2 and copper (Cu doped titanium oxide (Cu/TiO2 thin films have been prepared by spray pyrolysis technique. Titanium chloride (TiCl4 and copper acetate (Cu(CH3COO2.H2O were used as source of Ti and Cu. The doping concentration of Cu was varied from 1-10 wt. %. The X-ray diffraction studies show that TiO2 thin films are tetragonal structure and Cu/TiO2 thin films implies CuO has present with monoclinic structure. The optical properties of the TiO2 thin films have been investigated as a function of Cu-doping level. The optical transmission of the thin films was found to increase from 88 % to 94 % with the addition of Cu up to 8 % and then decreases for higher percentage of Cu doping. The optical band gap (Eg for pure TiO2 thin film is found to be 3.40 eV. Due to Cu doping, the band gap is shifted to lower energies and then increases further with increasing the concentration of Cu. The refractive index of the TiO2 thin films is found to be 2.58 and the variation of refractive index is observed due to Cu doped. The room temperature resistivity of the films decreases with increasing Cu doping and is found to be 27.50 - 23.76 W·cm. It is evident from the present study that the Cu doping promoted the thin film morphology and thereby it is aspect for various applications.

  11. Laser process for extended silicon thin film solar cells

    International Nuclear Information System (INIS)

    Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.

    2011-01-01

    We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.

  12. Niobium Pentoxide thin films employ simple colloidal suspension at low preparation temperature

    Directory of Open Access Journals (Sweden)

    Abood M. K.

    2017-01-01

    Full Text Available In this work a nano-colloidal suspension is used to prepare Nb2O5 thin films. The effect of different substrates on structural properties of niobium pentoxide thin film deposited by spin coating technique on silicon and quartz substrates are presented. We observed that the obtained structure is monocline in both substrates. The diffraction peaks in both substrates ensured the successful formation of Nb2O5 thin films with a clear polymorphous structure. However, the structure became more crystalline with additional distinguished peaks on silicon substrate comparing to quartz substrate. The extracted structural parameters from X-Ray diffraction show that the grain size of the thin films on quartz is smaller than silicon with the values of 16.47 nm and 20.98 nm respectively. The stress measurement records the values of 0.19 and 0.00719 for the thin films deposited on silicon and quartz substrates respectively. Effects of film thickness depicted increment in the absorbance and reduction in the band gap. Energy gaps of 2.7, 2.58 and, 2.5 eV are measured as a result of increasing the film thicknesses of 325, 420 and 450 nm respectively.

  13. The study of thin film growth by using Monte Carlo method

    International Nuclear Information System (INIS)

    Tandogan, M.; Aktas, S.

    2010-01-01

    Thin film growth was studied by using Monte Carlo simulation method. Three basic models were used in this study. Model A, the gas particles used for the formation of film were under no external effects until they stick on the surface or to another particle which already stickled on the surface to form the film. Model B, gases were drifted towards the surface by an external agent. Model C, where the gas particles in the closed container were always distributed uniformly throughout the container while they are in gas state. The simulations revealed the fact that for an ideal thin film growth Model C gave the best result to prepare a thin film while a thicker but a better quality could be obtained by Model B.

  14. Dewetting of thin films on flexible substrates via direct-write laser exposure

    Science.gov (United States)

    Ferrer, Anthony Jesus

    Microelectromechanical systems (MEMS) have enabled a wide variety of technologies both in the consumer space and in industrial/research areas. At the market level, such devices advance by the invention and innovation of production techniques. Additionally, there has been increased demand for flexible versions of such MEMS devices. Thin film patterning, represents a key technology for the realization of such flexible electronics. Patterns and methods that can be directly written into the thin film allow for design modification on the fly with the need for harsh chemicals and long etching steps. Laser-induced dewetting has the potential to create patterns in thin films at both the microscopic and nanoscopic level without wasting deposited material. This thesis presents the first demonstration of high-speed direct-write patterning of metallic thin films that uses a laser-induced dewetting phenomenon to prevent material loss. The ability to build film material with this technique is explored using various scanning geometries. Finally, demonstrations of direct-write dewetting of a variety of thin films will be presented with special consideration for high melting point metals deposited upon polymer substrates.

  15. Crystallinity and electrical properties of neodymium-substituted bismuth titanate thin films

    International Nuclear Information System (INIS)

    Chen, Y.-C.; Hsiung, C.-P.; Chen, C.-Y.; Gan, J.-Y.; Sun, Y.-M.; Lin, C.-P.

    2006-01-01

    We report on the properties of Nd-substituted bismuth titanate Bi 4-x Nd x Ti 3 O 12 (BNdT) thin films for ferroelectric non-volatile memory applications. The Nd-substituted bismuth titanate thin films fabricated by modified chemical solution deposition technique showed much improved properties compared to pure bismuth titanate. A pyrochlore free crystalline phase was obtained at a low annealing temperature of 640 deg. C and grain size was found to be considerably increased as the annealing temperature increased. The film properties were found to be strongly dependent on the Nd content and annealing temperatures. The measured dielectric constant of BNdT thin films was in the range 172-130 for Bi 4-x Nd x Ti 3 O 12 with x 0.0-0.75. Ferroelectric properties of Nd-substituted bismuth titanate thin films were significantly improved compared to pure bismuth titanate. For example, the observed 2P r and E c for Bi 3.25 Nd 0.75 Ti 3 O 12 , annealed at 680 deg. C, were 38 μC/cm 2 and 98 kV/cm, respectively. The improved microstructural and ferroelectric properties of BNdT thin films suggest their suitability for high density ferroelectric random access memory applications

  16. Structural characterization of the nickel thin film deposited by glad technique

    Directory of Open Access Journals (Sweden)

    Potočnik J.

    2013-01-01

    Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005

  17. Thin film soft X-ray absorption filters

    International Nuclear Information System (INIS)

    Stattin, H.

    1992-11-01

    This report discusses the composition, reparation and performance of soft x-ray transmission filters for a water window soft x-ray microscope. Unbacked thin films of aluminum, silver and vanadium/aluminum were made by evaporation on a substrate from which they were released. Measured transmittances agree reasonably well with calculations. The report also includes some related theory and discussions about film preparation methods, film contamination and evaluation methods. 33 refs

  18. Crystallization kinetics of a-Se, part 4: thin films

    Science.gov (United States)

    Svoboda, Roman; Gutwirth, Jan; Málek, Jiří

    2014-09-01

    Differential scanning calorimetry was used to study the crystallization behaviour of selenium thin films in dependence on film thickness and deposition rate. In the current work, which is the fourth in a sequence of articles dealing with crystallization kinetics of a-Se, the non-isothermal crystallization kinetics was described in terms of the Johnson-Mehl-Avrami nucleation-growth model. Two-dimensional crystallite growth, consistent with the idea of sterically restricted crystallization in a thin layer, was confirmed for all data. It was found that neither the film thickness (tested within the 100-2350 nm range) nor the deposition rate appears to have any significant influence on the crystallization kinetics. However, the higher amount of intrinsic defects possibly produced by a higher deposition rate seems to accelerate the crystallization, shifting it towards lower temperatures. Very good correlation between the results obtained for thin films and those for fine powders was found. Based on the obtained results, interpretations of relevant literature data were made.

  19. Ideal glass transitions in thin films: An energy landscape perspective

    Science.gov (United States)

    Truskett, Thomas M.; Ganesan, Venkat

    2003-07-01

    We introduce a mean-field model for the potential energy landscape of a thin fluid film confined between parallel substrates. The model predicts how the number of accessible basins on the energy landscape and, consequently, the film's ideal glass transition temperature depend on bulk pressure, film thickness, and the strength of the fluid-fluid and fluid-substrate interactions. The predictions are in qualitative agreement with the experimental trends for the kinetic glass transition temperature of thin films, suggesting the utility of landscape-based approaches for studying the behavior of confined fluids.

  20. Application of HTSC-thin films in microwave bandpass filters

    International Nuclear Information System (INIS)

    Jha, A.R.

    1993-01-01

    This paper reveals unique performance capabilities of High-Temperature Superconducting Thin-Film (HTSCTFs) for possible applications in microwave bandpass filters (BPFs). Microwave filters fabricated with HTSCTFs have demonstrated lowest insertion loss, highest rejection, and sharpest skirt selectivity. Thin films of Yttrium Barium Copper Oxide (YBCO), Bismuth Strontium Calcium Copper Oxide (BSCCO) and Thallium Calcium Barium Copper Oxide (TCBCO) will be most attractive for filters