WorldWideScience

Sample records for hfo2 trapping layer

  1. Improved speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer

    International Nuclear Information System (INIS)

    Zheng, Zhiwei; Huo, Zongliang; Zhang, Manhong; Zhu, Chenxin; Liu, Jing; Liu, Ming

    2011-01-01

    This paper reports the simultaneous improvements in erase speed and data retention characteristics in flash memory using a stacked HfO 2 /Ta 2 O 5 charge-trapping layer. In comparison to a memory capacitor with a single HfO 2 trapping layer, the erase speed of a memory capacitor with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer is 100 times faster and its memory window is enlarged from 2.7 to 4.8 V for the same ±16 V sweeping voltage range. With the same initial window of ΔV FB = 4 V, the device with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a 3.5 V extrapolated 10-year retention window, while the control device with a single HfO 2 trapping layer has only 2.5 V for the extrapolated 10-year window. The present results demonstrate that the device with the stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a strong potential for future high-performance nonvolatile memory application

  2. MOHOS-type memory performance using HfO2 nanoparticles as charge trapping layer and low temperature annealing

    International Nuclear Information System (INIS)

    Molina, Joel; Ortega, Rafael; Calleja, Wilfrido; Rosales, Pedro; Zuniga, Carlos; Torres, Alfonso

    2012-01-01

    Highlights: ► HfO 2 nanoparticles used as charge trapping layer in MOHOS memory devices. ► Increasing HfO 2 nanoparticles concentration enhances charge injection and trapping. ► Enhancement of memory performance with low temperature annealing. ► Charge injection is done without using any hot-carrier injection mechanism. ► Using injected charge density is better for comparison of scaled memory devices. - Abstract: In this work, HfO 2 nanoparticles (np-HfO 2 ) are embedded within a spin-on glass (SOG)-based oxide matrix and used as a charge trapping layer in metal–oxide–high-k–oxide–silicon (MOHOS)-type memory applications. This charge trapping layer is obtained by a simple sol–gel spin coating method after using different concentrations of np-HfO 2 and low temperature annealing (down to 425 °C) in order to obtain charge–retention characteristics with a lower thermal budget. The memory's charge trapping characteristics are quantized by measuring both the flat-band voltage shift of MOHOS capacitors (writing/erasing operations) and their programming retention times after charge injection while correlating all these data to np-HfO 2 concentration and annealing temperature. Since a large memory window has been obtained for our MOHOS memory, the relatively easy injection/annihilation (writing/erasing) of charge injected through the substrate opens the possibility to use this material as an effective charge trapping layer. It is shown that by using lower annealing temperatures for the charge trapping layer, higher densities of injected charge are obtained along with enhanced retention times. In conclusion, by using np-HfO 2 as charge trapping layer in memory devices, moderate programming and retention characteristics have been obtained by this simple and yet low-cost spin-coating method.

  3. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  4. Deep electron traps in HfO_2-based metal-oxide-semiconductor capacitors

    International Nuclear Information System (INIS)

    Salomone, L. Sambuco; Lipovetzky, J.; Carbonetto, S.H.; García Inza, M.A.; Redin, E.G.; Campabadal, F.

    2016-01-01

    Hafnium oxide (HfO_2) is currently considered to be a good candidate to take part as a component in charge-trapping nonvolatile memories. In this work, the electric field and time dependences of the electron trapping/detrapping processes are studied through a constant capacitance voltage transient technique on metal-oxide-semiconductor capacitors with atomic layer deposited HfO_2 as insulating layer. A tunneling-based model is proposed to reproduce the experimental results, obtaining fair agreement between experiments and simulations. From the fitting procedure, a band of defects is identified, located in the first 1.7 nm from the Si/HfO_2 interface at an energy level E_t = 1.59 eV below the HfO_2 conduction band edge with density N_t = 1.36 × 10"1"9 cm"−"3. A simplified analytical version of the model is proposed in order to ease the fitting procedure for the low applied voltage case considered in this work. - Highlights: • We characterized deep electron trapping/detrapping in HfO_2 structures. • We modeled the experimental results through a tunneling-based model. • We obtained an electron trap energy level of 1.59 eV below conduction band edge. • We obtained a spatial trap distribution extending 1.7 nm within the insulator. • A simplified tunneling front model is able to reproduce the experimental results.

  5. Performance improvement of charge trap flash memory by using a composition-modulated high-k trapping layer

    International Nuclear Information System (INIS)

    Tang Zhen-Jie; Li Rong; Yin Jiang

    2013-01-01

    A composition-modulated (HfO 2 ) x (Al 2 O3) 1−x charge trapping layer is proposed for charge trap flash memory by controlling the Al atom content to form a peak and valley shaped band gap. It is found that the memory device using the composition-modulated (HfO 2 ) x (Al 2 O 3 ) 1−x as the charge trapping layer exhibits a larger memory window of 11.5 V, improves data retention even at high temperature, and enhances the program/erase speed. Improvements of the memory characteristics are attributed to the special band-gap structure resulting from the composition-modulated trapping layer. Therefore, the composition-modulated charge trapping layer may be useful in future nonvolatile flash memory device application. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  6. Defect states and charge trapping characteristics of HfO2 films for high performance nonvolatile memory applications

    International Nuclear Information System (INIS)

    Zhang, Y.; Shao, Y. Y.; Lu, X. B.; Zeng, M.; Zhang, Z.; Gao, X. S.; Zhang, X. J.; Liu, J.-M.; Dai, J. Y.

    2014-01-01

    In this work, we present significant charge trapping memory effects of the metal-hafnium oxide-SiO 2 -Si (MHOS) structure. The devices based on 800 °C annealed HfO 2 film exhibit a large memory window of ∼5.1 V under ±10 V sweeping voltages and excellent charge retention properties with only small charge loss of ∼2.6% after more than 10 4  s retention. The outstanding memory characteristics are attributed to the high density of deep defect states in HfO 2 films. We investigated the defect states in the HfO 2 films by photoluminescence and photoluminescence excitation measurements and found that the defect states distributed in deep energy levels ranging from 1.1 eV to 2.9 eV below the conduction band. Our work provides further insights for the charge trapping mechanisms of the HfO 2 based MHOS devices.

  7. Analysis of Conduction and Charging Mechanisms in Atomic Layer Deposited Multilayered HfO2/Al2O3 Stacks for Use in Charge Trapping Flash Memories

    Directory of Open Access Journals (Sweden)

    Nenad Novkovski

    2018-01-01

    Full Text Available Method for characterization of electrical and trapping properties of multilayered high permittivity stacks for use in charge trapping flash memories is proposed. Application of the method to the case of multilayered HfO2/Al2O3 stacks is presented. By applying our previously developed comprehensive model for MOS structures containing high-κ dielectrics on the J-V characteristics measured in the voltage range without marked degradation and charge trapping (from −3 V to +3 V, several parameters of the structure connected to the interfacial layer and the conduction mechanisms have been extracted. We found that the above analysis gives precise information on the main characteristics and the quality of the injection layer. C-V characteristics of stressed (with write and erase pulses structures recorded in a limited range of voltages between −1 V and +1 V (where neither significant charge trapping nor visible degradation of the structures is expected to occur were used in order to provide measures of the effect of stresses with no influence of the measurement process. Both trapped charge and the distribution of interface states have been determined using modified Terman method for fresh structures and for structures stressed with write and erase cycles. The proposed method allows determination of charge trapping and interface state with high resolution, promising a precise characterization of multilayered high permittivity stacks for use in charge trapping flash memories.

  8. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    Science.gov (United States)

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  10. Ge interactions on HfO2 surfaces and kinetically driven patterning of Ge nanocrystals on HfO2

    International Nuclear Information System (INIS)

    Stanley, Scott K.; Joshi, Sachin V.; Banerjee, Sanjay K.; Ekerdt, John G.

    2006-01-01

    Germanium interactions are studied on HfO 2 surfaces, which are prepared through physical vapor deposition (PVD) and by atomic layer deposition. X-ray photoelectron spectroscopy and temperature-programed desorption are used to follow the reactions of germanium on HfO 2 . Germanium chemical vapor deposition at 870 K on HfO 2 produces a GeO x adhesion layer, followed by growth of semiconducting Ge 0 . PVD of 0.7 ML Ge (accomplished by thermally cracking GeH 4 over a hot filament) also produces an initial GeO x layer, which is stable up to 800 K. PVD above 2.0 ML deposits semiconducting Ge 0 . Temperature programed desorption experiments of ∼1.0 ML Ge from HfO 2 at 400-1100 K show GeH 4 desorption below 600 K and GeO desorption above 850 K. These results are compared to Ge on SiO 2 where GeO desorption is seen at 550 K. Exploiting the different reactivity of Ge on HfO 2 and SiO 2 allows a kinetically driven patterning scheme for high-density Ge nanoparticle growth on HfO 2 surfaces that is demonstrated

  11. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  12. Operation mode switchable charge-trap memory based on few-layer MoS2

    Science.gov (United States)

    Hou, Xiang; Yan, Xiao; Liu, Chunsen; Ding, Shijin; Zhang, David Wei; Zhou, Peng

    2018-03-01

    Ultrathin layered two-dimensional (2D) semiconductors like MoS2 and WSe2 have received a lot of attention because of their excellent electrical properties and potential applications in electronic devices. We demonstrate a charge-trap memory with two different tunable operation modes based on a few-layer MoS2 channel and an Al2O3/HfO2/Al2O3 charge storage stack. Our device shows excellent memory properties under the traditional three-terminal operation mode. More importantly, unlike conventional charge-trap devices, this device can also realize the memory performance with just two terminals (drain and source) because of the unique atomic crystal electrical characteristics. Under the two-terminal operation mode, the erase/program current ratio can reach up to 104 with a stable retention property. Our study indicates that the conventional charge-trap memory cell can also realize the memory performance without the gate terminal based on novel two dimensional materials, which is meaningful for low power consumption and high integration density applications.

  13. Enhancement of Endurance in HfO2-Based CBRAM Device by Introduction of a TaN Diffusion Blocking Layer

    KAUST Repository

    Chand, Umesh

    2017-08-05

    We propose a new method to improve resistive switching properties in HfO2 based CBRAM crossbar structure device by introducing a TaN thin diffusion blocking layer between the Cu top electrode and HfO2 switching layer. The Cu/TaN/HfO2/TiN device structure exhibits high resistance ratio of OFF/ON states without any degradation in switching during endurance test. The improvement in the endurance properties of the Cu/TaN/HfO2/TiN CBRAM device is thus attributed to the relatively low amount of Cu migration into HfO2 switching layer.

  14. Leakage current conduction mechanisms and electrical properties of atomic-layer-deposited HfO2/Ga2O3 MOS capacitors

    Science.gov (United States)

    Zhang, Hongpeng; Jia, Renxu; Lei, Yuan; Tang, Xiaoyan; Zhang, Yimen; Zhang, Yuming

    2018-02-01

    In this paper, current conduction mechanisms in HfO2/β-Ga2O3 metal-oxide-semiconductor (MOS) capacitors under positive and negative biases are investigated using the current-voltage (I-V) measurements conducted at temperatures from 298 K to 378 K. The Schottky emission is dominant under positively biased electric fields of 0.37-2.19 MV cm-1, and the extracted Schottky barrier height ranged from 0.88 eV to 0.91 eV at various temperatures. The Poole-Frenkel emission dominates under negatively biased fields of 1.92-4.83 MV cm-1, and the trap energy levels are from 0.71 eV to 0.77 eV at various temperatures. The conduction band offset (ΔE c) of HfO2/β-Ga2O3 is extracted to be 1.31  ±  0.05 eV via x-ray photoelectron spectroscopy, while a large negative sheet charge density of 1.04  ×  1013 cm-2 is induced at the oxide layer and/or HfO2/β-Ga2O3 interface. A low C-V hysteresis of 0.76 V, low interface state density (D it) close to 1  ×  1012 eV-1 cm-2, and low leakage current density of 2.38  ×  10-5 A cm-2 at a gate voltage of 7 V has been obtained, suggesting the great electrical properties of HfO2/β-Ga2O3 MOSCAP. According to the above analysis, ALD-HfO2 is an attractive candidate for high voltage β-Ga2O3 power devices.

  15. Effects of nitrogen incorporation in HfO(2) grown on InP by atomic layer deposition: an evolution in structural, chemical, and electrical characteristics.

    Science.gov (United States)

    Kang, Yu-Seon; Kim, Dae-Kyoung; Kang, Hang-Kyu; Jeong, Kwang-Sik; Cho, Mann-Ho; Ko, Dae-Hong; Kim, Hyoungsub; Seo, Jung-Hye; Kim, Dong-Chan

    2014-03-26

    We investigated the effects of postnitridation on the structural characteristics and interfacial reactions of HfO2 thin films grown on InP by atomic layer deposition (ALD) as a function of film thickness. By postdeposition annealing under NH3 vapor (PDN) at 600 °C, an InN layer formed at the HfO2/InP interface, and ionized NHx was incorporated in the HfO2 film. We demonstrate that structural changes resulting from nitridation of HfO2/InP depend on the film thickness (i.e., a single-crystal interfacial layer of h-InN formed at thin (2 nm) HfO2/InP interfaces, whereas an amorphous InN layer formed at thick (>6 nm) HfO2/InP interfaces). Consequently, the tetragonal structure of HfO2 transformed into a mixture structure of tetragonal and monoclinic because the interfacial InN layer relieved interfacial strain between HfO2 and InP. During postdeposition annealing (PDA) in HfO2/InP at 600 °C, large numbers of oxidation states were generated as a result of interfacial reactions between interdiffused oxygen impurities and out-diffused InP substrate elements. However, in the case of the PDN of HfO2/InP structures at 600 °C, nitrogen incorporation in the HfO2 film effectively blocked the out-diffusion of atomic In and P, thus suppressing the formation of oxidation states. Accordingly, the number of interfacial defect states (Dit) within the band gap of InP was significantly reduced, which was also supported by DFT calculations. Interfacial InN in HfO2/InP increased the electron-barrier height to ∼0.6 eV, which led to low-leakage-current density in the gate voltage region over 2 V.

  16. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  17. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later.

  18. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers.

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-02

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO 2 /nanoporous-Si and the TiO 2 /nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO 2 /nanoporous Si are lower than that of the HfO 2 /nanoporous Si, the former is more stable than the later.

  19. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  20. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    Science.gov (United States)

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  1. Formation of Al2O3-HfO2 Eutectic EBC Film on Silicon Carbide Substrate

    Directory of Open Access Journals (Sweden)

    Kyosuke Seya

    2015-01-01

    Full Text Available The formation mechanism of Al2O3-HfO2 eutectic structure, the preparation method, and the formation mechanism of the eutectic EBC layer on the silicon carbide substrate are summarized. Al2O3-HfO2 eutectic EBC film is prepared by optical zone melting method on the silicon carbide substrate. At high temperature, a small amount of silicon carbide decomposed into silicon and carbon. The components of Al2O3 and HfO2 in molten phase also react with the free carbon. The Al2O3 phase reacts with free carbon and vapor species of AlO phase is formed. The composition of the molten phase becomes HfO2 rich from the eutectic composition. HfO2 phase also reacts with the free carbon and HfC phase is formed on the silicon carbide substrate; then a high density intermediate layer is formed. The adhesion between the intermediate layer and the substrate is excellent by an anchor effect. When the solidification process finished before all of HfO2 phase is reduced to HfC phase, HfC-HfO2 functionally graded layer is formed on the silicon carbide substrate and the Al2O3-HfO2 eutectic structure grows from the top of the intermediate layer.

  2. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  3. Suspended HfO2 photonic crystal slab on III-nitride/Si platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Feng, Jiao; Cao, Ziping; Zhu, Hongbo

    2014-01-01

    We present here the fabrication of suspended hafnium oxide (HfO 2 ) photonic crystal slab on a III-nitride/Si platform. The calculations are performed to model the suspended HfO 2 photonic crystal slab. Aluminum nitride (AlN) film is employed as the sacrificial layer to form air gap. Photonic crystal patterns are defined by electron beam lithography and transferred into HfO 2 film, and suspended HfO 2 photonic crystal slab is achieved on a III-nitride/Si platform through wet-etching of AlN layer in the alkaline solution. The method is promising for the fabrication of suspended HfO 2 nanostructures incorporating into a III-nitride/Si platform, or acting as the template for epitaxial growth of III-nitride materials. (orig.)

  4. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  5. Low temperature formation of higher-k cubic phase HfO2 by atomic layer deposition on GeOx/Ge structures fabricated by in-situ thermal oxidation

    International Nuclear Information System (INIS)

    Zhang, R.; Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S.

    2016-01-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO 2 using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO x interfacial layer. It is found that the cubic phase is dominant in the HfO 2 film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO 2 film on a 1-nm-thick GeO x form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO 2 can be induced by the formation of six-fold crystalline GeO x structures in the underlying GeO x interfacial layer

  6. Atomic layer deposition of HfO2 on graphene through controlled ion beam treatment

    International Nuclear Information System (INIS)

    Kim, Ki Seok; Oh, Il-Kwon; Jung, Hanearl; Kim, Hyungjun; Yeom, Geun Young; Kim, Kyong Nam

    2016-01-01

    The polymer residue generated during the graphene transfer process to the substrate tends to cause problems (e.g., a decrease in electron mobility, unwanted doping, and non-uniform deposition of the dielectric material). In this study, by using a controllable low-energy Ar + ion beam, we cleaned the polymer residue without damaging the graphene network. HfO 2 grown by atomic layer deposition on graphene cleaned using an Ar + ion beam showed a dense uniform structure, whereas that grown on the transferred graphene (before Ar + ion cleaning) showed a non-uniform structure. A graphene–HfO 2 –metal capacitor fabricated by growing 20-nm thick HfO 2 on graphene exhibited a very low leakage current (<10 −11 A/cm 2 ) for Ar + ion-cleaned graphene, whereas a similar capacitor grown using the transferred graphene showed high leakage current.

  7. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    Science.gov (United States)

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  8. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  9. Difference in Thermal Degradation Behavior of ZrO2 and HfO2 Anodized Capacitors

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Yoshida, Naoto; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2004-09-01

    Microcrystalline ZrO2 and HfO2 thin film capacitors were prepared by anodizing sputter-deposited Zr and Hf films. The thermal degradation behavior of both anodized capacitors was clarified by the measurement of their capacitance properties and Auger depth profiles before and after heat treatment in air. As a result, it is confirmed that the heat-resistance property of the HfO2 anodized capacitor is superior to that of the ZrO2 capacitor. In addition, it is revealed that the thermal degradation of the ZrO2 anodized capacitor is caused by the diffusion of Zr atoms from the underlying layer into the ZrO2 anodized layer, while that of the HfO2 anodized capacitor is caused by the diffusion of oxygen atoms from the anodized layer into the underlying Hf layer.

  10. Solid phase crystallisation of HfO2 thin films

    International Nuclear Information System (INIS)

    Modreanu, M.; Sancho-Parramon, J.; O'Connell, D.; Justice, J.; Durand, O.; Servet, B.

    2005-01-01

    In this paper, we report on the solid phase crystallisation of carbon-free HfO 2 thin films deposited by plasma ion assisted deposition (PIAD). After deposition, the HfO 2 films were annealed in N 2 ambient for 3 h at 350, 550 and 750 deg. C. Several characterisation techniques including X-ray reflectometry (XRR), X-ray diffraction (XRD), spectroscopic ellipsometry (SE) and atomic force microscopy (AFM) were used for the physical characterisation of as-deposited and annealed HfO 2 . XRD has revealed that the as-deposited HfO 2 film is in an amorphous-like state with only traces of crystalline phase and that the annealed films are in a highly crystalline state. These results are in good agreement with the SE results showing an increase of refractive index by increasing the annealing temperature. XRR results show a significant density gradient over the as-deposited film thickness, which is characteristic of the PIAD method. The AFM measurements show that the HfO 2 layers have a smooth surface even after annealing at 750 deg. C. The present study demonstrates that the solid phase crystallisation of HfO 2 PIAD thin films starts at a temperature as low as 550 deg. C

  11. Chemical reaction at the interface between pentacene and HfO2

    International Nuclear Information System (INIS)

    Kang, S.J.; Yi, Y.; Kim, K.H.; Yoo, C.Y.; Moewes, A.; Cho, M.H.; Denlinger, J.D.; Whang, C.N.; Chang, G.S.

    2005-01-01

    The electronic structure and the interface formation at the interface region between pentacene and HfO2 are investigated using x-ray photoelectron spectroscopy (XPS), ultraviolet photoelectron spectroscopy (UPS), and x-ray emission spectroscopy (XES). The measured C 1s XPS spectra of pentacene indicate that chemical bonding occurs at the interface between pentacene and HfO2. The carbon of pentacene reacts with oxygen belonging to HfO2 and band bending occurs at the interface due to a redistribution of charge. The determined interface dipole and band bending between pentacene and HfO2 are 0.04 and 0.1 eV, respectively. The highest occupied molecular orbital (HOMO) level is observed at 0.68 eV below the Fermi level. This chemical reaction allows us to grow a pentacene film with large grains onto HfO2. We conclude that high performance pentacene thin film transistors can be obtained by inserting an ultrathin HfO2 layer between pentacene and a gate insulator

  12. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  13. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  14. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    Science.gov (United States)

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  15. Low-Frequency Noise in Layered ReS2 Field Effect Transistors on HfO2 and Its Application for pH Sensing.

    Science.gov (United States)

    Liao, Wugang; Wei, Wei; Tong, Yu; Chim, Wai Kin; Zhu, Chunxiang

    2018-02-28

    Layered rhenium disulfide (ReS 2 ) field effect transistors (FETs), with thickness ranging from few to dozens of layers, are demonstrated on 20 nm thick HfO 2 /Si substrates. A small threshold voltage of -0.25 V, high on/off current ratio of up to ∼10 7 , small subthreshold swing of 116 mV/dec, and electron carrier mobility of 6.02 cm 2 /V·s are obtained for the two-layer ReS 2 FETs. Low-frequency noise characteristics in ReS 2 FETs are analyzed for the first time, and it is found that the carrier number fluctuation mechanism well describes the flicker (1/f) noise of ReS 2 FETs with different thicknesses. pH sensing using a two-layer ReS 2 FET with HfO 2 as a sensing oxide is then demonstrated with a voltage sensitivity of 54.8 mV/pH and a current sensitivity of 126. The noise characteristics of the ReS 2 FET-based pH sensors are also examined, and a corresponding detection limit of 0.0132 pH is obtained. Our studies suggest the high potential of ReS 2 for future low-power nanoelectronics and biosensor applications.

  16. Mechanistic Insight into the Stability of HfO2-Coated MoS2 Nanosheet Anodes for Sodium Ion Batteries

    KAUST Repository

    Ahmed, Bilal; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    It is demonstrated for the first time that surface passivation of 2D nanosheets of MoS2 by an ultrathin and uniform layer of HfO2 can significantly improve the cyclic performance of sodium ion batteries. After 50 charge/discharge cycles, bare MoS2 and HfO2 coated MoS2 electrodes deliver the specific capacity of 435 and 636 mAh g-1, respectively, at current density of 100 mA g-1. These results imply that batteries using HfO2 coated MoS2 anodes retain 91% of the initial capacity; in contrast, bare MoS2 anodes retain only 63%. Also, HfO2 coated MoS2 anodes show one of the highest reported capacity values for MoS2. Cyclic voltammetry and X-ray photoelectron spectroscopy results suggest that HfO2 does not take part in electrochemical reaction. The mechanism of capacity retention with HfO2 coating is explained by ex situ transmission electron microscope imaging and electrical impedance spectroscopy. It is illustrated that HfO2 acts as a passivation layer at the anode/electrolyte interface and prevents structural degradation during charge/discharge process. Moreover, the amorphous nature of HfO2 allows facile diffusion of Na ions. These results clearly show the potential of HfO2 coated MoS2 anodes, which performance is significantly higher than previous reports where bulk MoS2 or composites of MoS2 with carbonaceous materials are used. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Mechanistic Insight into the Stability of HfO2-Coated MoS2 Nanosheet Anodes for Sodium Ion Batteries

    KAUST Repository

    Ahmed, Bilal

    2015-06-01

    It is demonstrated for the first time that surface passivation of 2D nanosheets of MoS2 by an ultrathin and uniform layer of HfO2 can significantly improve the cyclic performance of sodium ion batteries. After 50 charge/discharge cycles, bare MoS2 and HfO2 coated MoS2 electrodes deliver the specific capacity of 435 and 636 mAh g-1, respectively, at current density of 100 mA g-1. These results imply that batteries using HfO2 coated MoS2 anodes retain 91% of the initial capacity; in contrast, bare MoS2 anodes retain only 63%. Also, HfO2 coated MoS2 anodes show one of the highest reported capacity values for MoS2. Cyclic voltammetry and X-ray photoelectron spectroscopy results suggest that HfO2 does not take part in electrochemical reaction. The mechanism of capacity retention with HfO2 coating is explained by ex situ transmission electron microscope imaging and electrical impedance spectroscopy. It is illustrated that HfO2 acts as a passivation layer at the anode/electrolyte interface and prevents structural degradation during charge/discharge process. Moreover, the amorphous nature of HfO2 allows facile diffusion of Na ions. These results clearly show the potential of HfO2 coated MoS2 anodes, which performance is significantly higher than previous reports where bulk MoS2 or composites of MoS2 with carbonaceous materials are used. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  19. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    Science.gov (United States)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  20. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Science.gov (United States)

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  1. Thermoluminescence in films of HfO2:Dy+3

    International Nuclear Information System (INIS)

    Ceron, P.; Rivera, T.; Guzman, J.; Montes, E.; Pelaez, A.; Rojas, B.; Guzman, D.; Azorin, J.; Paredes, L.

    2014-08-01

    In this work the thermoluminescence (TL) response of films of hafnium oxide polluted with dysprosium (HfO 2 :Dy +3 ) that were irradiated in the near UV (200 nm - 400 nm). The films were deposited by means of the ultrasonics spray pyrolysis technique on a glass substrate, using different deposit temperatures (300 grades C - 600 grades C). The best TL emission corresponded to the prepared film to 450 grades C that was exposed to a spectral irradiation of 80 μJ/(cm 2 -s) with a wave longitude of 240 nm. The TL response in function of the spectral irradiation was lineal in the studied interval (24 to 288 mJ/cm 2 ), several kinetic parameters were also calculated of the shine curve as depth of the trap (E), frequency factor (s) and order to the kinetics (b). The obtained results show that the films of HfO 2 :Dy +3 could be used as radiation monitor in the region of the near UV. (Author)

  2. Optical properties of a HfO2/Si stack with a trace amount of nitrogen incorporation

    Science.gov (United States)

    Ye, Li; Tingting, Jiang; Qingqing, Sun; Pengfei, Wang; Shijin, Ding; Wei, Zhang

    2012-03-01

    HfO2 films were deposited by atomic layer deposition through alternating pulsing of Hf[N(C2H5)(CH3)]4 and H2O2. A trace amount of nitrogen was incorporated into the HfO2 through ammonia annealing. The composition, the interface stability of the HfO2/Si stack and the optical properties of the annealed films were analyzed to investigate the property evolution of HfO2 during thermal treatment. With a nitrogen concentration increase from 1.41 to 7.45%, the bandgap of the films decreased from 5.82 to 4.94 eV.

  3. Electrical Characterization of Defects Created by γ-Radiation in HfO2-Based MIS Structures for RRAM Applications

    Science.gov (United States)

    García, H.; González, M. B.; Mallol, M. M.; Castán, H.; Dueñas, S.; Campabadal, F.; Acero, M. C.; Sambuco Salomone, L.; Faigón, A.

    2018-04-01

    The γ-radiation effects on the electrical characteristics of metal-insulator-semiconductor capacitors based on HfO2, and on the resistive switching characteristics of the structures have been studied. The HfO2 was grown directly on silicon substrates by atomic layer deposition. Some of the capacitors were submitted to a γ ray irradiation using three different doses (16 kGy, 96 kGy and 386 kGy). We studied the electrical characteristics in the pristine state of the capacitors. The radiation increased the interfacial state densities at the insulator/semiconductor interface, and the slow traps inside the insulator near the interface. However, the leakage current is not increased by the irradiation, and the conduction mechanism is Poole-Frenkel for all the samples. The switching characteristics were also studied, and no significant differences were obtained in the performance of the devices after having been irradiated, indicating that the fabricated capacitors present good radiation hardness for its use as a RS element.

  4. Thermally-driven H interaction with HfO2 films deposited on Ge(100) and Si(100)

    Science.gov (United States)

    Soares, G. V.; Feijó, T. O.; Baumvol, I. J. R.; Aguzzoli, C.; Krug, C.; Radtke, C.

    2014-01-01

    In the present work, we investigated the thermally-driven H incorporation in HfO2 films deposited on Si and Ge substrates. Two regimes for deuterium (D) uptake were identified, attributed to D bonded near the HfO2/substrate interface region (at 300 °C) and through the whole HfO2 layer (400-600 °C). Films deposited on Si presented higher D amounts for all investigated temperatures, as well as, a higher resistance for D desorption. Moreover, HfO2 films underwent structural changes during annealings, influencing D incorporation. The semiconductor substrate plays a key role in this process.

  5. Effects of layer sequence and postdeposition annealing temperature on performance of La2O3 and HfO2 multilayer composite oxides on In0.53Ga0.47As for MOS capacitor application

    Science.gov (United States)

    Wu, Wen-Hao; Lin, Yueh-Chin; Chuang, Ting-Wei; Chen, Yu-Chen; Hou, Tzu-Ching; Yao, Jing-Neng; Chang, Po-Chun; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2014-03-01

    In this paper, we report on high-k composite oxides that are formed by depositing multiple layers of HfO2 and La2O3 on In0.53Ga0.47As for MOS device application. Both multilayer HfO2 (0.8 nm)/La2O3 (0.8 nm)/In0.53Ga0.47As and La2O3 (0.8 nm)/HfO2 (0.8 nm)/In0.53Ga0.47As MOS structures were investigated. The effects of oxide thickness and postdeposition annealing (PDA) temperature on the interface properties of the composite oxide MOS capacitors were studied. It was found that a low CET of 1.41 nm at 1 kHz was achieved using three-layer composite oxides. On the other hand, a small frequency dispersion of 2.8% and an excellent Dit of 7.0 × 1011 cm-2·eV-1 can be achieved using multiple layers of La2O3 (0.8 nm) and HfO2 (0.8 nm) on the In0.53Ga0.47As MOS capacitor with optimum thermal treatment and layer thickness.

  6. Chemical states and electronic structure of a HfO(-2)/Ge(001) interface

    International Nuclear Information System (INIS)

    Seo, Kang-ill; McIntyre, Paul C.; Stanford U., Materials Sci. Dept.; Sun, Shiyu; Lee, Dong-Ick; Pianetta, Piero; SLAC, SSRL; Saraswat, Krishna C.; Stanford U., Elect. Eng. Dept.

    2005-01-01

    We report the chemical bonding structure and valence band alignment at the HfO 2 /Ge (001) interface by systematically probing various core level spectra as well as valence band spectra using soft x-rays at the Stanford Synchrotron Radiation Laboratory. We investigated the chemical bonding changes as a function of depth through the dielectric stack by taking a series of synchrotron photoemission spectra as we etched through the HfO 2 film using a dilute HF-solution. We found that a very non-stoichiometric GeO x layer exists at the HfO 2 /Ge interface. The valence band spectra near the Fermi level in each different film structure were carefully analyzed, and as a result, the valence band offset between Ge and GeO x was determined to be ΔE v (Ge-GeO x ) = 2.2 ± 0.15 eV, and that between Ge and HfO 2 , ΔE v (Ge-HfO 2 ) = 2.7 ± 0.15 eV

  7. Suppression of interfacial reaction for HfO2 on silicon by pre-CF4 plasma treatment

    International Nuclear Information System (INIS)

    Lai, C.S.; Wu, W.C.; Chao, T.S.; Chen, J.H.; Wang, J.C.; Tay, L.-L.; Rowell, Nelson

    2006-01-01

    In this letter, the effects of pre-CF 4 plasma treatment on Si for sputtered HfO 2 gate dielectrics are investigated. The significant fluorine was incorporated at the HfO 2 /Si substrate interface for a sample with the CF 4 plasma pretreatment. The Hf silicide was suppressed and Hf-F bonding was observed for the CF 4 plasma pretreated sample. Compared with the as-deposited sample, the effective oxide thickness was much reduced for the pre-CF 4 plasma treated sample due to the elimination of the interfacial layer between HfO 2 and Si substrate. These improved characteristics of the HfO 2 gate dielectrics can be explained in terms of the fluorine atoms blocking oxygen diffusion through the HfO 2 film into the Si substrate

  8. Study of Direct-Contact HfO2/Si Interfaces

    Directory of Open Access Journals (Sweden)

    Noriyuki Miyata

    2012-03-01

    Full Text Available Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs.

  9. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  10. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  11. High-throughput identification of higher-κ dielectrics from an amorphous N2-doped HfO2–TiO2 library

    International Nuclear Information System (INIS)

    Chang, K.-S.; Lu, W.-C.; Wu, C.-Y.; Feng, H.-C.

    2014-01-01

    Highlights: • Amorphous N 2 -doped HfO 2 –TiO 2 libraries were fabricated using sputtering. • Structure and quality of the dielectric and interfacial layers were investigated. • κ (54), J L < 10 −6 A/cm 2 , and equivalent oxide thickness (1 nm) were identified. - Abstract: High-throughput sputtering was used to fabricate high-quality, amorphous, thin HfO 2 –TiO 2 and N 2 -doped HfO 2 –TiO 2 (HfON–TiON) gate dielectric libraries. Electron probe energy dispersive spectroscopy was used to investigate the structures, compositions, and qualities of the dielectric and interfacial layers of these libraries to determine their electrical properties. A κ value of approximately 54, a leakage current density <10 −6 A/cm 2 , and an equivalent oxide thickness of approximately 1 nm were identified in an HfON–TiON library within a composition range of 68–80 at.% Ti. This library exhibits promise for application in highly advanced metal–oxide–semiconductor (higher-κ) gate stacks

  12. Mechanical properties of ultra-thin HfO2 films studied by nano scratches tests

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Yong-Qing; Chang, Chia-Wei; Yao, Chih-Kai; Liao, Jiunn-Der

    2013-01-01

    10-nm-thick atomic layer deposited HfO 2 films were characterized in terms of wear resistance and indentation hardness to investigate the thermal annealing induced impacts on mechanical properties. The wear resistance of ultra-thin films at low loads was characterized using nano-scratch tests with an atomic force microscope. The depth of the nano-scratches decreases with increasing annealing temperature, indicating that the hardness of the annealed films increases with the annealing temperatures. Surface nanoindentation was also performed to confirm the nanoscratch test results. The hardness variation of the annealed films is due to the generation of HfSi x O y induced by the thermal annealing. X-ray photoelectron spectroscopy measurements proved that the hardness of formed HfSi x O y with increasing annealing temperatures. The existence of HfSi x O y broadens the interface, and causes the increase of the interfacial layer thickness. As a result, the surface hardness increases with the increasing HfSi x O y induced by the thermal annealing. - Highlights: ► Mechanical properties of HfO 2 films were assessed by nano-scratch and indentation. ► Scratch depth of HfO 2 films decreased with the increase of annealing temperatures. ► Nano-hardness of HfO 2 films increased with the increase of annealing temperatures

  13. Interface and oxide traps in high-κ hafnium oxide films

    International Nuclear Information System (INIS)

    Wong, H.; Zhan, N.; Ng, K.L.; Poon, M.C.; Kok, C.W.

    2004-01-01

    The origins of the interface trap generation and the effects of thermal annealing on the interface and bulk trap distributions are studied in detail. We found that oxidation of the HfO 2 /Si interface, removal of deep trap centers, and crystallization of the as-deposited film will take place during the post-deposition annealing (PDA). These processes will result in the removal of interface traps and deep oxide traps and introduce a large amount of shallow oxide traps at the grain boundaries of the polycrystalline film. Thus, trade-off has to be made in considering the interface trap density and oxide trap density when conducting PDA. In addition, the high interface trap and oxide trap densities of the HfO 2 films suggest that we may have to use the SiO 2 /HfO 2 stack or hafnium silicate structure for better device performance

  14. Analyses of desorbed H2O with temperature programmed desorption technique in sol-gel derived HfO2 thin films

    International Nuclear Information System (INIS)

    Shimizu, H.; Nemoto, D.; Ikeda, M.; Nishide, T.

    2009-01-01

    Hafnium oxide (HfO 2 ) is a promising material for the gate insulator in highly miniaturized silicon (Si) ultra-large-scale-integration (ULSI) devices (32 nm and beyond). In the field chemistry, a sol-gel processing has been used to fabricate HfO 2 thin film with the advantages of low cost, relative simplicity, and easy control of the composition of the layers formed. Temperature-programmed desorption (TPD) has been used not only for analyzing adsorbed gases on the surfaces of bulk sol-gel-derived HfO 2 of sol-gel-derived HfO 2 thin film fired at 350, 450, 550 and 700 deg C in sol-gel derived HfO 2 films in air is investigated using TPD, and also the material characterization of HfO 2 thin films is evaluated by X-ray diffraction (XRD) method. The dielectric constant of the films was also estimated using the capacitance-voltage (C-V) method. TPD is essentially a method of analyzing desorped gases from samples heated by infra-red light as a function of temperature under vacuum conditions using a detector of quadruple mass spectroscopy (QMS). Sol-gel-derived HfO 2 films were fabricated on 76-mm-diameter Si(100) wafers as follows. Hafnia sol solutions were prepared by dissolving HfCl 4 in NH 4 OH solution, followed by the of HCOOH. (author)

  15. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    Science.gov (United States)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  16. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    Science.gov (United States)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  17. Electronic excitation induced defect dynamics in HfO2 based MOS devices investigated by in-situ electrical measurements

    Science.gov (United States)

    Manikanthababu, N.; Vajandar, S.; Arun, N.; Pathak, A. P.; Asokan, K.; Osipowicz, T.; Basu, T.; Nageswara Rao, S. V. S.

    2018-03-01

    In-situ I-V and C-V characterization studies were carried out to determine the device quality of atomic layer deposited HfO2 (2.7 nm)/SiO2 (0.6 nm)/Si-based metal oxide semiconductor devices during 120 MeV Ag ion irradiation. The influence of various tunneling mechanisms has been investigated by analyzing the I-V characteristics as a function of ion fluence. The nature of the defects created is tentatively identified by the determination of the significant tunneling processes. While the ion induced annealing of defects is observed at lower fluences, ion induced intermixing and radiation damage is found to be significant at higher fluences. The C-V characteristics also reveal significant changes at the interface and oxide trap densities: an increase in the oxide layer thickness occurs through the formation of an HfSiO interlayer. The interlayer is due to the swift heavy ion induced intermixing, which has been confirmed by X-TEM and X-ray photoelectron spectroscopy measurements.

  18. Crystal structure and band gap determination of HfO2 thin films

    NARCIS (Netherlands)

    Cheynet, M.C.; Pokrant, S.; Tichelaar, F.D.; Rouvière, J.L.

    2007-01-01

    Valence electron energy loss spectroscopy (VEELS) and high resolution transmission electron microscopy (HRTEM) are performed on three different HfO2 thin films grown on Si (001) by chemical vapor deposition (CVD) or atomic layer deposition (ALD). For each sample the band gap (Eg) is determined by

  19. Study of bulk Hafnium oxide (HfO2) under compression

    Science.gov (United States)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  20. Comparative study on electrical properties of atomic layer deposited high-permittivity materials on silicon substrates

    International Nuclear Information System (INIS)

    Duenas, S.; Castan, H.; Garcia, H.; Barbolla, J.; Kukli, K.; Ritala, M.; Leskelae, M.

    2005-01-01

    Deep level transient spectroscopy, capacitance-voltage and conductance transient measurement techniques have been applied in order to evaluate the electrical quality of thin high-permittivity oxide layers on silicon. The oxides studied included HfO 2 film grown from two different oxygen-free metal precursors and Ta 2 O 5 and Nb 2 O 5 nanolaminates. The interface trap densities correlated to the oxide growth chemistry and semiconductor substrate treatment. No gap state densities induced by structural disorder were measured in the films grown on chemical SiO 2 . Trap densities were also clearly lower in HfO 2 films compared to Ta 2 O 5 -Nb 2 O 5

  1. Intrinsic electron traps in atomic-layer deposited HfO{sub 2} insulators

    Energy Technology Data Exchange (ETDEWEB)

    Cerbu, F.; Madia, O.; Afanas' ev, V. V.; Houssa, M.; Stesmans, A. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Andreev, D. V. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Bauman Moscow State Technical University—Kaluga Branch, 248000 Kaluga, Moscow obl. (Russian Federation); Fadida, S.; Eizenberg, M. [Department of Materials Science and Engineering, Technion-Israel Institute of Technology, 32000 Haifa (Israel); Breuil, L. [imec, 3001 Leuven (Belgium); Lisoni, J. G. [imec, 3001 Leuven (Belgium); Institute of Physics and Mathematics, Faculty of Science, Universidad Austral de Chile, Valdivia (Chile); Kittl, J. A. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Advanced Logic Lab, Samsung Semiconductor, Inc., Austin, 78754 Texas (United States); Strand, J.; Shluger, A. L. [Department of Physics and Astronomy, University College London, London WC1E 6BT (United Kingdom)

    2016-05-30

    Analysis of photodepopulation of electron traps in HfO{sub 2} films grown by atomic layer deposition is shown to provide the trap energy distribution across the entire oxide bandgap. The presence is revealed of two kinds of deep electron traps energetically distributed at around E{sub t} ≈ 2.0 eV and E{sub t} ≈ 3.0 eV below the oxide conduction band. Comparison of the trapped electron energy distributions in HfO{sub 2} layers prepared using different precursors or subjected to thermal treatment suggests that these centers are intrinsic in origin. However, the common assumption that these would implicate O vacancies cannot explain the charging behavior of HfO{sub 2}, suggesting that alternative defect models should be considered.

  2. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    Science.gov (United States)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  3. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  4. Improved charge trapping flash device with Al2O3/HfSiO stack as blocking layer

    International Nuclear Information System (INIS)

    Zheng Zhi-Wei; Huo Zong-Liang; Zhu Chen-Xin; Xu Zhong-Guang; Liu Jing; Liu Ming

    2011-01-01

    In this paper, we investigate an Al 2 O 3 /HfSiO stack as the blocking layer of a metal—oxide—nitride—oxide—silicon-type (MONOS) memory capacitor. Compared with a memory capacitor with a single HfSiO layer as the blocking layer or an Al 2 O 3 /HfO 2 stack as the blocking layer, the sample with the Al 2 O 3 /HfSiO stack as the blocking layer shows high program/erase (P/E) speed and good data retention characteristics. These improved performances can be explained by energy band engineering. The experimental results demonstrate that the memory device with an Al 2 O 3 /HfSiO stack as the blocking layer has great potential for further high-performance nonvolatile memory applications. (interdisciplinary physics and related areas of science and technology)

  5. Atomic scale engineering of HfO2-based dielectrics for future DRAM applications

    International Nuclear Information System (INIS)

    Dudek, Piotr

    2011-01-01

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO 2 thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO 2 (BaHfO 3 ) films and Ti-added BaHfO 3 (BaHf 0.5 Ti 0.5 O 3 ) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO 3 (k∝38) and BaHf 0.5 Ti 0.5 O 3 (k∝90) with respect to monoclinic HfO 2 (k∝19). Meanwhile the CET values scaled down to 1 nm for BaHfO 3 and ∝0.8 nm for BaHf 0.5 Ti 0.5 O 3 with respect to HfO 2 (CET=1.5 nm). The Hf 4+ ions substitution in BaHfO 3 by Ti 4+ ions led to a significant decrease of thermal budget from 900 C for BaHfO 3 to 700 C for BaHf 0.5 Ti 0.5 O 3 . Future studies need to focus on the use of appropriate metal electrodes (high work function) and on film deposition process (homogeneity) for better current leakage control. (orig.)

  6. Electrical characteristics and interface properties of ALD-HfO2/AlGaN/GaN MIS-HEMTs fabricated with post-deposition annealing

    Science.gov (United States)

    Kubo, Toshiharu; Egawa, Takashi

    2017-12-01

    HfO2/AlGaN/GaN metal-insulator-semiconductor (MIS)-type high electron mobility transistors (HEMTs) on Si substrates were fabricated by atomic layer deposition of HfO2 layers and post-deposition annealing (PDA). The current-voltage characteristics of the MIS-HEMTs with as-deposited HfO2 layers showed a low gate leakage current (I g) despite the relatively low band gap of HfO2, and a dynamic threshold voltage shift (ΔV th) was observed. After PDA above 500 °C, ΔV th was reduced from 2.9 to 0.7 V with an increase in I g from 2.2 × 10-7 to 4.8 × 10-2 mA mm-1. Effects of the PDA on the HfO2 layer and the HfO2/AlGaN interface were investigated by x-ray photoelectron spectroscopy (XPS) using synchrotron radiation. XPS data showed that oxygen vacancies exist in the as-deposited HfO2 layers and they disappeared with an increase in the PDA temperature. These results indicate that the deep electron traps that cause ΔV th are related to the oxygen vacancies in the HfO2 layers.

  7. Performance improvement of charge-trap memory by using a stacked Zr_0_._4_6Si_0_._5_4O_2/Al_2O_3 charge-trapping layer

    International Nuclear Information System (INIS)

    Tang, Zhenjie; Hu, Dan; Zhang, Xiwei; Zhao, Yage; Li, Rong

    2016-01-01

    The postdeposition annealing (PDA)-treated charge-trap flash memory capacitor with stacked Zr_0_._4_6Si_0_._5_4O_2/Al_2O_3 charge-trapping layer flanked by a SiO_2 tunneling oxide and an Al_2O_3 blocking oxide was fabricated and investigated. It is observed that the memory capacitor exhibits prominent memory characteristics with large memory windows 12.8 V in a ±10 V gate sweeping voltage range, faster program/erase speed, and good data-retention characteristics even at 125 C compared to a single charge-trapping layer (Zr_0_._4_6Si_0_._5_4O_2, Zr_0_._7_9Si_0_._2_1O_2, and Zr_0_._4_6Al_1_._0_8O_2_._5_4). The quantum wells and introduced interfacial traps of the stacked trapping layer regulate the storage and loss behavior of charges, and jointly contribute to the improved memory characteristics. Hence, the memory capacitor with a stacked trapping layer is a promising candidate in future nonvolatile charge-trap memory device design and application. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Carbon-coated ZnO mat passivation by atomic-layer-deposited HfO2 as an anode material for lithium-ion batteries.

    Science.gov (United States)

    Jung, Mi-Hee

    2017-11-01

    ZnO has had little consideration as an anode material in lithium-ion batteries compared with other transition-metal oxides due to its inherent poor electrical conductivity and large volume expansion upon cycling and pulverization of ZnO-based electrodes. A logical design and facile synthesis of ZnO with well-controlled particle sizes and a specific morphology is essential to improving the performance of ZnO in lithium-ion batteries. In this paper, a simple approach is reported that uses a cation surfactant and a chelating agent to synthesize three-dimensional hierarchical nanostructured carbon-coated ZnO mats, in which the ZnO mats are composed of stacked individual ZnO nanowires and form well-defined nanoporous structures with high surface areas. In order to improve the performance of lithium-ion batteries, HfO 2 is deposited on the carbon-coated ZnO mat electrode via atomic layer deposition. Lithium-ion battery devices based on the carbon-coated ZnO mat passivation by atomic layer deposited HfO 2 exhibit an excellent initial discharge and charge capacities of 2684.01 and 963.21mAhg -1 , respectively, at a current density of 100mAg -1 in the voltage range of 0.01-3V. They also exhibit cycle stability after 125 cycles with a capacity of 740mAhg -1 and a remarkable rate capability. Copyright © 2017 Elsevier Inc. All rights reserved.

  9. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  10. Insights into thermal diffusion of germanium and oxygen atoms in HfO2/GeO2/Ge gate stacks and their suppressed reaction with atomically thin AlOx interlayers

    International Nuclear Information System (INIS)

    Ogawa, Shingo; Asahara, Ryohei; Minoura, Yuya; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji; Sako, Hideki; Kawasaki, Naohiko; Yamada, Ichiko; Miyamoto, Takashi

    2015-01-01

    The thermal diffusion of germanium and oxygen atoms in HfO 2 /GeO 2 /Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that 18 O-tracers composing the GeO 2 underlayers diffuse within the HfO 2 overlayers based on Fick's law with the low activation energy of about 0.5 eV. Although out-diffusion of the germanium atoms through HfO 2 also proceeded at the low temperatures of around 200 °C, the diffusing germanium atoms preferentially segregated on the HfO 2 surfaces, and the reaction was further enhanced at high temperatures with the assistance of GeO desorption. A technique to insert atomically thin AlO x interlayers between the HfO 2 and GeO 2 layers was proven to effectively suppress both of these independent germanium and oxygen intermixing reactions in the gate stacks

  11. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    Science.gov (United States)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  12. Effects of annealing temperature on the characteristics of ALD-deposited HfO2 in MIM capacitors

    International Nuclear Information System (INIS)

    Jeong, S.-W.; Lee, H.J.; Kim, K.S.; You, M.T.; Roh, Y.; Noguchi, T.; Xianyu, W.; Jung, J.

    2006-01-01

    We have investigated the annealing effects of HfO 2 films deposited by an atomic layer deposition (ALD) method on the electrical and physical properties in the Si/SiO 2 /Pt/ALD-HfO 2 /Pd metal-insulator-metal (MIM) capacitors. If the annealing temperature for HfO 2 films was restricted below 500 deg. C, an annealing step using a rapid thermal processor (RTP) improves the electrical properties such as the dissipation factor and the dielectric constant. On the other hand, annealing at 700 deg. C degrades the electrical characteristics in general; the dissipation factor increases over the frequency range of 1∼4 MHz, and the leakage current increases up to 2 orders at the low electric field regions. We found that the degradation of electrical properties is due to the grain growth in the HfO 2 film (i.e., poly-crystallization of the film) by the high temperature annealing processing. We suggested that the annealing temperature must be restricted below 500 deg. C to obtain the high quality high-k film for the MIM capacitors

  13. Characterization, integration and reliability of HfO2 and LaLuO3 high-κ/metal gate stacks for CMOS applications

    International Nuclear Information System (INIS)

    Nichau, Alexander

    2013-01-01

    . A lower limit found was EOT=5 Aa for Al doping inside TiN. The doping of TiN on LaLuO 3 is proven by electron energy loss spectroscopy (EELS) studies to modify the interfacial silicate layer to La-rich silicates or even reduce the layer. The oxide quality in Si/HfO 2 /TiN gate stacks is characterized by charge pumping and carrier mobility measurements on 3d MOSFETs a.k.a. FinFETs. The oxide quality in terms of the number of interface (and oxide) traps on top- and sidewall of FinFETs is compared for three different annealing processes. A high temperature anneal of HfO 2 improves significantly the oxide quality and mobility. The gate oxide integrity (GOI) of gate stacks below 1 nm EOT is determined by time-dependent dielectric breakdown (TDDB) measurements on FinFETs with HfO 2 /TiN gate stacks. A successful EOT scaling has always to consider the oxide quality and resulting reliability. Degraded oxide quality leads to mobility degradation and earlier soft-breakdown, i.e. leakage current increase.

  14. Design and Fabrication of Interdigital Nanocapacitors Coated with HfO2

    Directory of Open Access Journals (Sweden)

    Gabriel González

    2015-01-01

    Full Text Available In this article nickel interdigital capacitors were fabricated on top of silicon substrates. The capacitance of the interdigital capacitor was optimized by coating the electrodes with a 60 nm layer of HfO2. An analytical solution of the capacitance was compared to electromagnetic simulations using COMSOL and with experimental measurements. Results show that modeling interdigital capacitors using Finite Element Method software such as COMSOL is effective in the design and electrical characterization of these transducers.

  15. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  16. Nanopore fabricated in pyramidal HfO2 film by dielectric breakdown method

    Science.gov (United States)

    Wang, Yifan; Chen, Qi; Deng, Tao; Liu, Zewen

    2017-10-01

    The dielectric breakdown method provides an innovative solution to fabricate solid-state nanopores on insulating films. A nanopore generation event via this method is considered to be caused by random charged traps (i.e., structural defects) and high electric fields in the membrane. Thus, the position and number of nanopores on planar films prepared by the dielectric breakdown method is hard to control. In this paper, we propose to fabricate nanopores on pyramidal HfO2 films (10-nm and 15-nm-thick) to improve the ability to control the location and number during the fabrication process. Since the electric field intensity gets enhanced at the corners of the pyramid-shaped film, the probability of nanopore occurrence at vertex and edge areas increases. This priority of appearance provides us chance to control the location and number of nanopores by monitoring a sudden irreversible discrete increase in current. The experimental results showed that the probability of nanopore occurrence decreases in an order from the vertex area, the edge area to the side face area. The sizes of nanopores ranging from 30 nm to 10 nm were obtained. Nanopores fabricated on the pyramid-shaped HfO2 film also showed an obvious ion current rectification characteristic, which might improve the nanopore performance as a biomolecule sequencing platform.

  17. Perpendicular magnetic anisotropy of CoFeB\\Ta bilayers on ALD HfO2

    Directory of Open Access Journals (Sweden)

    Bart F. Vermeulen

    2017-05-01

    Full Text Available Perpendicular magnetic anisotropy (PMA is an essential condition for CoFe thin films used in magnetic random access memories. Until recently, interfacial PMA was mainly known to occur in materials stacks with MgO\\CoFe(B interfaces or using an adjacent crystalline heavy metal film. Here, PMA is reported in a CoFeB\\Ta bilayer deposited on amorphous high-κ dielectric (relative permittivity κ=20 HfO2, grown by atomic layer deposition (ALD. PMA with interfacial anisotropy energy Ki up to 0.49 mJ/m2 appears after annealing the stacks between 200°C and 350°C, as shown with vibrating sample magnetometry. Transmission electron microscopy shows that the decrease of PMA starting from 350°C coincides with the onset of interdiffusion in the materials. High-κ dielectrics are potential enablers for giant voltage control of magnetic anisotropy (VCMA. The absence of VCMA in these experiments is ascribed to a 0.6 nm thick magnetic dead layer between HfO2 and CoFeB. The results show PMA can be easily obtained on ALD high-κ dielectrics.

  18. SIMS study of oxygen diffusion in monoclinic HfO2

    Science.gov (United States)

    Mueller, Michael P.; De Souza, Roger A.

    2018-01-01

    The diffusion of oxygen in dense ceramics of monoclinic HfO2 was studied by means of (18O/16O) isotope exchange annealing and subsequent determination of isotope depth profiles by Secondary Ion Mass Spectrometry. Anneals were performed in the temperature range of 573 ≤T /K ≤ 973 at an oxygen partial pressure of p O2=200 mbar . All measured isotope profiles exhibited two features: the first feature, closer to the surface, was attributed mainly to slow oxygen diffusion in an impurity silicate phase; the second feature, deeper in the sample, was attributed to oxygen diffusion in bulk monoclinic HfO2 . The activation enthalpy of oxygen tracer diffusion in bulk HfO2 was found to be ΔHD∗≈0.5 eV .

  19. Structural, morphological, optical and photoluminescence properties of HfO2 thin films

    International Nuclear Information System (INIS)

    Ma, C.Y.; Wang, W.J.; Wang, J.; Miao, C.Y.; Li, S.L.; Zhang, Q.Y.

    2013-01-01

    Nanocrystalline monoclinic HfO 2 films with an average crystal size of 4.2–14.8 nm were sputter deposited under controlled temperatures and their structural characteristics and optical and photoluminescence properties have been evaluated. Structural investigations indicate that monoclinic HfO 2 films grown at higher temperatures above 400 °C are highly oriented along the (− 111) direction. The lattice expansion increases with diminishing HfO 2 crystalline size below 6.8 nm while maximum lattice expansion occurs with highly oriented monoclinic HfO 2 of crystalline size about 14.8 nm. The analysis of atomic force microscopy shows that the film growth at 600 °C can be attributed to the surface-diffusion-dominated growth. The intensity of the shoulderlike band that initiates at ∼ 5.7 eV and saturates at 5.94 eV shows continued increase with increasing crystalline size, which is intrinsic to nanocrystalline monoclinic HfO 2 films. Optical band gap varies in the range 5.40 ± 0.03–5.60 ± 0.03 eV and is slightly decreased with the increase in crystalline size. The luminescence band at 4.0 eV of HfO 2 films grown at room temperature can be ascribed to the vibronic transition of excited OH · radical while the emission at 3.2–3.3 eV for the films grown at all temperatures was attributed to the radiative recombination at impurity and/or defect centers. - Highlights: • Nanocrystalline monoclinic HfO 2 films were sputter deposited. • Structural, optical and photoluminescence properties were studied. • To analyze the scaling behavior using the power spectral density • Optical and photoluminescence properties strongly depend on film growth temperature

  20. Al-, Y-, and La-doping effects favoring intrinsic and field induced ferroelectricity in HfO2: A first principles study

    Science.gov (United States)

    Materlik, Robin; Künneth, Christopher; Falkowski, Max; Mikolajick, Thomas; Kersch, Alfred

    2018-04-01

    III-valent dopants have shown to be most effective in stabilizing the ferroelectric, crystalline phase in atomic layer deposited, polycrystalline HfO2 thin films. On the other hand, such dopants are commonly used for tetragonal and cubic phase stabilization in ceramic HfO2. This difference in the impact has not been elucidated so far. The prospect is a suitable doping to produce ferroelectric HfO2 ceramics with a technological impact. In this paper, we investigate the impact of Al, Y, and La doping, which have experimentally proven to stabilize the ferroelectric Pca21 phase in HfO2, in a comprehensive first-principles study. Density functional theory calculations reveal the structure, formation energy, and total energy of various defects in HfO2. Most relevant are substitutional electronically compensated defects without oxygen vacancy, substitutional mixed compensated defects paired with a vacancy, and ionically compensated defect complexes containing two substitutional dopants paired with a vacancy. The ferroelectric phase is strongly favored with La and Y in the substitutional defect. The mixed compensated defect favors the ferroelectric phase as well, but the strongly favored cubic phase limits the concentration range for ferroelectricity. We conclude that a reduction of oxygen vacancies should significantly enhance this range in Y doped HfO2 thin films. With Al, the substitutional defect hardly favors the ferroelectric phase before the tetragonal phase becomes strongly favored with the increasing concentration. This could explain the observed field induced ferroelectricity in Al-doped HfO2. Further Al defects are investigated, but do not favor the f-phase such that the current explanation remains incomplete for Al doping. According to the simulation, doping alone shows clear trends, but is insufficient to replace the monoclinic phase as the ground state. To explain this fact, some other mechanism is needed.

  1. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    Science.gov (United States)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  2. Performance improvement of charge-trap memory by using a stacked Zr{sub 0.46}Si{sub 0.54}O{sub 2}/Al{sub 2}O{sub 3} charge-trapping layer

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Zhenjie; Hu, Dan; Zhang, Xiwei; Zhao, Yage [College of Physics and Electronic Engineering, Anyang Normal University, Anyang 455000 (China); Li, Rong [School of Mathematics and Statistics, Anyang Normal University, Anyang 455000 (China)

    2016-11-15

    The postdeposition annealing (PDA)-treated charge-trap flash memory capacitor with stacked Zr{sub 0.46}Si{sub 0.54}O{sub 2}/Al{sub 2}O{sub 3} charge-trapping layer flanked by a SiO{sub 2} tunneling oxide and an Al{sub 2}O{sub 3} blocking oxide was fabricated and investigated. It is observed that the memory capacitor exhibits prominent memory characteristics with large memory windows 12.8 V in a ±10 V gate sweeping voltage range, faster program/erase speed, and good data-retention characteristics even at 125 C compared to a single charge-trapping layer (Zr{sub 0.46}Si{sub 0.54}O{sub 2}, Zr{sub 0.79}Si{sub 0.21}O{sub 2}, and Zr{sub 0.46}Al{sub 1.08}O{sub 2.54}). The quantum wells and introduced interfacial traps of the stacked trapping layer regulate the storage and loss behavior of charges, and jointly contribute to the improved memory characteristics. Hence, the memory capacitor with a stacked trapping layer is a promising candidate in future nonvolatile charge-trap memory device design and application. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Biotransformation of 2,3,3,3-tetrafluoropropene (HFO-1234yf)

    International Nuclear Information System (INIS)

    Schuster, Paul; Bertermann, Ruediger; Snow, Timothy A.; Han Xing; Rusch, George M.; Jepson, Gary W.; Dekant, Wolfgang

    2008-01-01

    2,3,3,3-Tetrafluoropropene (HFO-1234yf) is a non-ozone-depleting fluorocarbon replacement with a low global warming potential which has been developed as refrigerant. The biotransformation of HFO-1234yf was investigated after inhalation exposure. Male Sprague-Dawley rats were exposed to air containing 2000, 10,000, or 50,000 ppm HFO-1234yf for 6 h and male B6C3F1 mice were exposed to 50,000 ppm HFO-1234yf for 3.5 h in a dynamic exposure chamber (n = 5/concentration). After the end of the exposure, animals were individually housed in metabolic cages and urines were collected at 6 or 12-hour intervals for 48 h. For metabolite identification, urine samples were analyzed by 1 H-coupled and decoupled 19 F-NMR and by LC/MS-MS or GC/MS. Metabolites were identified by 19 F-NMR chemical shifts, signal multiplicity, 1 H- 19 F coupling constants and by comparison with synthetic reference compounds. In all urine samples, the predominant metabolites were two diastereomers of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine. In 19 F-NMR, the signal intensity of these metabolites represented more than 85% (50,000 ppm) of total 19 F related signals in the urine samples. Trifluoroacetic acid, 3,3,3-trifluorolactic acid, 3,3,3-trifluoro-1-hydroxyacetone, 3,3,3-trifluoroacetone and 3,3,3-trifluoro-1,2-dihydroxypropane were present as minor metabolites. Quantification of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine by LC/MS-MS showed that most of this metabolite (90%) was excreted within 18 h after the end of exposure (t 1/2 app. 6 h). In rats, the recovery of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine excreted within 48 h in urine was determined as 0.30 ± 0.03, 0.63 ± 0.16, and 2.43 ± 0.86 μmol at 2000, 10,000 and 50,000 ppm, respectively suggesting only a low extent (<< 1% of dose received) of biotransformation of HFO-1234yf. In mice, the recovery of this metabolite was 1.774 ± 0.4 μmol. Metabolites identified after in vitro incubations of HFO

  4. Preparation and characterization of Ce-doped HfO2 nanoparticles

    International Nuclear Information System (INIS)

    Gálvez-Barboza, S.; González, L.A.; Puente-Urbina, B.A.; Saucedo-Salazar, E.M.; García-Cerda, L.A.

    2015-01-01

    Highlights: • Ce-doped HfO 2 nanoparticles were prepared by a modified solgel method. • Ce-doped HfO 2 nanoparticles have a semispherical shape with sizes between 6 and 11.5 nm. • The samples doped with 10% in weight of Ce directly crystallized in a cubic structure. • A quick, straightforward and effective route for the preparation of Ce-doped nanoparticles. - Abstract: A modified solgel method to synthesize Ce-doped HfO 2 nanoparticles was carried out using a precursor material prepared with cerium nitrate, hafnium chloride, citric acid and ethylene glycol. The obtained precursor material was calcined at 500 and 700 °C for 2 h in air. The influence of the concentration of Ce and the calcination temperature was studied to observe the structural and morphological changes of the obtained materials. For the characterization, X-ray diffraction (XRD), transmission electron microscopy (TEM) and Raman scattering (RS) were employed. The XRD patterns shown that the Ce-doped HfO 2 undergoes a structural transformation from monoclinic to cubic phase, which is significantly dependent on the Ce content and calcination temperature. TEM images have also confirmed the existence of semispherical nanoparticles with sizes between 6 and 11.5 nm

  5. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  6. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2018-05-01

    Full Text Available In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment to 54.6 cm2/V∙s (with CF4 plasma treatment, which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability.

  7. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  8. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition.

    Science.gov (United States)

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-06-17

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

  9. MOHOS-type memory performance using HfO{sub 2} nanoparticles as charge trapping layer and low temperature annealing

    Energy Technology Data Exchange (ETDEWEB)

    Molina, Joel, E-mail: jmolina@inaoep.mx [National Institute of Astrophysics, Optics and Electronics. Electronics Department, Luis Enrique Erro 1, Tonantzintla, Puebla 72000 (Mexico); Ortega, Rafael; Calleja, Wilfrido; Rosales, Pedro; Zuniga, Carlos; Torres, Alfonso [National Institute of Astrophysics, Optics and Electronics. Electronics Department, Luis Enrique Erro 1, Tonantzintla, Puebla 72000 (Mexico)

    2012-09-20

    Highlights: Black-Right-Pointing-Pointer HfO{sub 2} nanoparticles used as charge trapping layer in MOHOS memory devices. Black-Right-Pointing-Pointer Increasing HfO{sub 2} nanoparticles concentration enhances charge injection and trapping. Black-Right-Pointing-Pointer Enhancement of memory performance with low temperature annealing. Black-Right-Pointing-Pointer Charge injection is done without using any hot-carrier injection mechanism. Black-Right-Pointing-Pointer Using injected charge density is better for comparison of scaled memory devices. - Abstract: In this work, HfO{sub 2} nanoparticles (np-HfO{sub 2}) are embedded within a spin-on glass (SOG)-based oxide matrix and used as a charge trapping layer in metal-oxide-high-k-oxide-silicon (MOHOS)-type memory applications. This charge trapping layer is obtained by a simple sol-gel spin coating method after using different concentrations of np-HfO{sub 2} and low temperature annealing (down to 425 Degree-Sign C) in order to obtain charge-retention characteristics with a lower thermal budget. The memory's charge trapping characteristics are quantized by measuring both the flat-band voltage shift of MOHOS capacitors (writing/erasing operations) and their programming retention times after charge injection while correlating all these data to np-HfO{sub 2} concentration and annealing temperature. Since a large memory window has been obtained for our MOHOS memory, the relatively easy injection/annihilation (writing/erasing) of charge injected through the substrate opens the possibility to use this material as an effective charge trapping layer. It is shown that by using lower annealing temperatures for the charge trapping layer, higher densities of injected charge are obtained along with enhanced retention times. In conclusion, by using np-HfO{sub 2} as charge trapping layer in memory devices, moderate programming and retention characteristics have been obtained by this simple and yet low-cost spin-coating method.

  10. Self-diffusion of Er and Hf inpure and HfO2-doped polycrystalline Er2O3

    International Nuclear Information System (INIS)

    Scheidecker, R.W.

    1979-01-01

    Using a tracer technique, self-diffusion of Er and Hf was measured over the approximate temperature interval of 1600 to 1970 0 C in pure and HfO 2 -doped polycryatalline Er 2 O 3 . Up to about 10 m/o HfO 2 dopant level, the Er self-diffusion coefficients followed a relationship based on cation vacancies. Above 10 m/o HfO 2 , deviation from this relationship occurred, apparently due to clustering of cation vacancies and oxygen interstitials around the dopant hafnia ion. The activation energy for the self-diffusion of Er in pure Er 2 O 3 was 82.2 Kcal/mole and increased with the HfO 2 dopant level present. Self-diffusion of Hf was measured in pure Er 2 O 3 having two impurity levels, and a separation of the grain boundary. The volume diffusion of Hf showed both extrinsic and intrinsic behavior with the transition temperature increasing with the impurity level present in Er 2 O 3 . The activation energy for Hf volume diffusion in the intrinsic region was high, i.e. 235 -+ 9.5 Kcal/mole. The grain boundary diffusion was apparently extrinsic over the entire temperature interval Very low Hf self diffusion rates were found in both pure and HfO 2 doped Er 2 O 3 compositions. Despite a clustering effect, the HfO 2 dopant increased the Hf volume diffusion coefficients

  11. Graphene-edge dielectrophoretic tweezers for trapping of biomolecules.

    Science.gov (United States)

    Barik, Avijit; Zhang, Yao; Grassi, Roberto; Nadappuram, Binoy Paulose; Edel, Joshua B; Low, Tony; Koester, Steven J; Oh, Sang-Hyun

    2017-11-30

    The many unique properties of graphene, such as the tunable optical, electrical, and plasmonic response make it ideally suited for applications such as biosensing. As with other surface-based biosensors, however, the performance is limited by the diffusive transport of target molecules to the surface. Here we show that atomically sharp edges of monolayer graphene can generate singular electrical field gradients for trapping biomolecules via dielectrophoresis. Graphene-edge dielectrophoresis pushes the physical limit of gradient-force-based trapping by creating atomically sharp tweezers. We have fabricated locally backgated devices with an 8-nm-thick HfO 2 dielectric layer and chemical-vapor-deposited graphene to generate 10× higher gradient forces as compared to metal electrodes. We further demonstrate near-100% position-controlled particle trapping at voltages as low as 0.45 V with nanodiamonds, nanobeads, and DNA from bulk solution within seconds. This trapping scheme can be seamlessly integrated with sensors utilizing graphene as well as other two-dimensional materials.

  12. Interface engineered HfO2-based 3D vertical ReRAM

    International Nuclear Information System (INIS)

    Hudec, Boris; Wang, I-Ting; Lai, Wei-Li; Chang, Che-Chia; Hou, Tuo-Hung; Jančovič, Peter; Fröhlich, Karol; Mičušík, Matej; Omastová, Mária

    2016-01-01

    We demonstrate a double-layer 3D vertical resistive random access memory (ReRAM) stack implementing a Pt/HfO 2 /TiN memory cell. The HfO 2 switching layer is grown by atomic layer deposition on the sidewall of a SiO 2 /TiN/SiO 2 /TiN/SiO 2 multilayer pillar. A steep vertical profile was achieved using CMOS-compatible TiN dry etching. We employ in situ TiN bottom interface engineering by ozone, which results in (a) significant forming voltage reduction which allows for forming-free operation in AC pulsed mode, and (b) non-linearity tuning of low resistance state by current compliance during Set operation. The vertical ReRAM shows excellent read and write disturb immunity between vertically stacked cells, retention over 10 4 s and excellent switching stability at 400 K. Endurance of 10 7 write cycles was achieved using 100 ns wide AC pulses while fast switching speed using pulses of only 10 ns width is also demonstrated. The active switching region was evaluated to be located closer to the bottom interface which allows for the observed high endurance. (paper)

  13. Pressure-induced phase transformation of HfO2

    International Nuclear Information System (INIS)

    Arashi, H.

    1992-01-01

    This paper reports on the pressure dependence of the Raman spectra of HfO 2 that was measured by a micro-Raman technique using a single-crystal specimen in the pressure range from 0 to 10 GPa at room temperature. The symmetry assignment of Raman bands of the monoclinic phase was experimentally accomplished from the polarization measurements for the single crystal. With increased pressure, a phase transformation for the monoclinic phase took place at 4.3 ± 0.3 GPa. Nineteen Raman bands were observed for the high-pressure phase. The spectral structure of the Raman bands for the high-pressure phase was similar with those reported previously for ZrO 2 . The space group for the high pressure phase of HfO 2 was determined as Pbcm, which was the same as that of the high-pressure phase for ZrO 2 on the basis of the number and the spectral structure of the Raman bands

  14. High-temperature x-ray diffraction study of HfTiO4-HfO2 solid solutions

    International Nuclear Information System (INIS)

    Carpenter, D.A.

    1975-01-01

    High-temperature x-ray diffraction techniques were used to determine the axial thermal expansion curves of HfTiO 4 -HfO 2 solid solutions as a function of composition. Data show increasing anisotropy with increasing HfO 2 content. An orthorhombic-to-monoclinic phase transformation was detected near room temperature for compositions near the high HfO 2 end of the orthorhombic phase field and for compositions within the two-phase region (HfTiO 4 solid solution plus HfO 2 solid solution). An orthorhombic-to-cubic phase transformation is indicated by data from oxygen-deficient materials at greater than 1873 0 K. (U.S.)

  15. Thermal Conductivity and Water Vapor Stability of Ceramic HfO2-Based Coating Materials

    Science.gov (United States)

    Zhu, Dong-Ming; Fox, Dennis S.; Bansal, Narottam P.; Miller, Robert A.

    2004-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal/environmental barrier coating materials for gas turbine ceramic matrix composite (CMC) combustor liner applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature phase stability of plasma-sprayed coatings and/or hot-pressed HfO2-5mol%Y2O3, HfO2-15mol%Y2O3 and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasma-sprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC Hexoloy or CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications will also be discussed.

  16. Transparent Flash Memory using Single Ta2O5 Layer for both Charge Trapping and Tunneling Dielectrics

    KAUST Repository

    Hota, Mrinal Kanti

    2017-06-08

    We report reproducible multibit transparent flash memory in which a single solution-derived Ta2O5 layer is used simultaneously as charge trapping and tunneling layer. This is different from conventional flash cells, where two different dielectric layers are typically used. Under optimized programming/erasing operations, the memory device shows excellent programmable memory characteristics with a maximum memory window of ~10 V. Moreover, the flash memory device shows a stable 2-bit memory performance, good reliability, including data retention for more than 104 sec and endurance performance for more than 100 cycles. The use of a common charge trapping and tunneling layer can simplify advanced flash memory fabrication.

  17. Transparent Flash Memory using Single Ta2O5 Layer for both Charge Trapping and Tunneling Dielectrics

    KAUST Repository

    Hota, Mrinal Kanti; Alshammari, Fwzah H.; Salama, Khaled N.; Alshareef, Husam N.

    2017-01-01

    We report reproducible multibit transparent flash memory in which a single solution-derived Ta2O5 layer is used simultaneously as charge trapping and tunneling layer. This is different from conventional flash cells, where two different dielectric layers are typically used. Under optimized programming/erasing operations, the memory device shows excellent programmable memory characteristics with a maximum memory window of ~10 V. Moreover, the flash memory device shows a stable 2-bit memory performance, good reliability, including data retention for more than 104 sec and endurance performance for more than 100 cycles. The use of a common charge trapping and tunneling layer can simplify advanced flash memory fabrication.

  18. Issues concerning the determination of solubility products of sparingly soluble crystalline solids. Solubility of HfO2(cr)

    International Nuclear Information System (INIS)

    Rai, Dhanpat; Kitamura, Akira; Rosso, Kevin M.; Sasaki, Takayuki; Kobayashi, Taishi

    2016-01-01

    Solubility studies were conducted with HfO 2 (cr) solid as a function HCl and ionic strength ranging from 2.0 to 0.004 mol kg -1 . These studies involved (1) using two different amounts of the solid phase, (2) acid washing the bulk solid phase, (3) preheating the solid phase to 1400 C, and (4) heating amorphous HfO 2 (am) suspensions to 90 C to ascertain whether the HfO 2 (am) converts to HfO 2 (cr) and to determine the solubility from the oversaturation direction. Based on the results of these treatments it is concluded that the HfO 2 (cr) contains a small fraction of less crystalline, but not amorphous, material [HfO 2 (lcr)] and this, rather than the HfO 2 (cr), is the solubility-controlling phase in the range of experimental variables investigated in this study. The solubility data are interpreted using both the Pitzer and SIT models and they provide log 10 K 0 values of -(59.75±0.35) and -(59.48±0.41), respectively, for the solubility product of HfO 2 (lcr)[HfO 2 (lcr) + 2H 2 O ↔ Hf 4+ + 4OH - ]. The log 10 of the solubility product of HfO 2 (cr) is estimated to be < -63. The observation of a small fraction of less crystalline higher solubility material is consistent with the general picture that mineral surfaces are often structurally and/or compositionally imperfect leading to a higher solubility than the bulk crystalline solid. This study stresses the urgent need, during interpretation of solubility data, of taking precautions to make certain that the observed solubility behavior for sparingly-soluble solids is assigned to the proper solid phase.

  19. Structure and properties of a model conductive filament/host oxide interface in HfO2-based ReRAM

    Science.gov (United States)

    Padilha, A. C. M.; McKenna, K. P.

    2018-04-01

    Resistive random-access memory (ReRAM) is a promising class of nonvolatile memory capable of storing information via its resistance state. In the case of hafnium oxide-based devices, experimental evidence shows that a conductive oxygen-deficient filament is formed and broken inside of the device by oxygen migration, leading to switching of its resistance state. However, little is known about the nature of this conductive phase, its interface with the host oxide, or the associated interdiffusion of oxygen, presenting a challenge to understanding the switching mechanism and device properties. To address these problems, we present atomic-scale first-principles simulations of a prototypical conductive phase (HfO), the electronic properties of its interface with HfO2, as well as stability with respect to oxygen diffusion across the interface. We show that the conduction-band offset between HfO and HfO2 is 1.3 eV, smaller than typical electrode-HfO2 band offsets, suggesting that positive charging and band bending should occur at the conductive filament-HfO2 interface. We also show that transfer of oxygen across the interface, from HfO2 into HfO, costs around 1.2 eV per atom and leads to a gradual opening of the HfO band gap, and hence disruption of the electrical conductivity. These results provide invaluable insights into understanding the switching mechanism for HfO2-based ReRAM.

  20. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  1. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  2. Fabrication of periodic arrays of metallic nanoparticles by block copolymer templates on HfO_2 substrates

    International Nuclear Information System (INIS)

    Frascaroli, Jacopo; Seguini, Gabriele; Spiga, Sabina; Perego, Michele; Boarino, Luca

    2015-01-01

    Block copolymer-based templates can be exploited for the fabrication of ordered arrays of metal nanoparticles (NPs) with a diameter down to a few nanometers. In order to develop this technique on metal oxide substrates, we studied the self-assembly of polymeric templates directly on the HfO_2 surface. Using a random copolymer neutralization layer, we obtained an effective HfO_2 surface neutralization, while the effects of surface cleaning and annealing temperature were carefully examined. Varying the block copolymer molecular weight, we produced regular nanoporous templates with feature size variable between 10 and 30 nm and a density up to 1.5 × 10"1"1 cm"−"2. With the adoption of a pattern transfer process, we produced ordered arrays of Pt and Pt/Ti NPs with diameters of 12, 21 and 29 nm and a constant size dispersion (σ) of 2.5 nm. For the smallest template adopted, the NP diameter is significantly lower than the original template dimension. In this specific configuration, the granularity of the deposited film probably influences the pattern transfer process and very small NPs of 12 nm were achieved without a significant broadening of the size distribution. (paper)

  3. Enhanced memory performance by tailoring the microstructural evolution of (ZrO{sub 2}){sub 0.6}(SiO{sub 2}){sub 0.4} charge trapping layer in the nanocrystallites-based charge trap flash memory cells

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Zhenjie; Xu, Hanni; Xia, Yidong; Yin, Jiang; Li, Aidong; Liu, Zhiguo [Nanjing University, Department of Materials Science and Engineering and National Laboratory of Solid State Microstructures, Nanjing (China); Zhu, Xinhua [Nanjing University, Department of Physics and National and Laboratory of Solid State Microstructures, Nanjing (China); Yan, Feng [Nanjing University, School of Electronics Science and Engineering, Nanjing (China)

    2012-07-15

    ZrO{sub 2} nanocrystallites based charge trap memory cells by incorporating a (ZrO{sub 2}){sub 0.6}(SiO{sub 2}){sub 0.4} film as a charge trapping layer and amorphous Al{sub 2}O{sub 3} as tunneling and blocking layer were prepared and investigated. The precipitation reaction in charge trapping layer forming ZrO{sub 2} nanocrystallites during rapid thermal annealing was investigated by transmission electron microscopy. The density and size of ZrO{sub 2} nanocrystallites are the critical factors for controlling the charge storage characteristics. The ZrO{sub 2} nanocrystallites based memory cells after postannealing at 800 C for 60 s exhibit the best electrical characteristics and a low charge loss {proportional_to}5 % after 10{sup 5} write/erase cycles operation. (orig.)

  4. Customized binary and multi-level HfO2-x-based memristors tuned by oxidation conditions.

    Science.gov (United States)

    He, Weifan; Sun, Huajun; Zhou, Yaxiong; Lu, Ke; Xue, Kanhao; Miao, Xiangshui

    2017-08-30

    The memristor is a promising candidate for the next generation non-volatile memory, especially based on HfO 2-x , given its compatibility with advanced CMOS technologies. Although various resistive transitions were reported independently, customized binary and multi-level memristors in unified HfO 2-x material have not been studied. Here we report Pt/HfO 2-x /Ti memristors with double memristive modes, forming-free and low operation voltage, which were tuned by oxidation conditions of HfO 2-x films. As O/Hf ratios of HfO 2-x films increase, the forming voltages, SET voltages, and R off /R on windows increase regularly while their resistive transitions undergo from gradually to sharply in I/V sweep. Two memristors with typical resistive transitions were studied to customize binary and multi-level memristive modes, respectively. For binary mode, high-speed switching with 10 3 pulses (10 ns) and retention test at 85 °C (>10 4 s) were achieved. For multi-level mode, the 12-levels stable resistance states were confirmed by ongoing multi-window switching (ranging from 10 ns to 1 μs and completing 10 cycles of each pulse). Our customized binary and multi-level HfO 2-x -based memristors show high-speed switching, multi-level storage and excellent stability, which can be separately applied to logic computing and neuromorphic computing, further suitable for in-memory computing chip when deposition atmosphere may be fine-tuned.

  5. Atomic-layer deposited IrO2 nanodots for charge-trap flash-memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Cha, Young-Kwan; Seo, Bum-Seok; Park, Sangjin; Park, Ju-Hee; Shin, Sangmin; Seol, Kwang Soo; Park, Jong-Bong; Jung, Young-Soo; Park, Youngsoo; Park, Yoondong; Yoo, In-Kyeong; Choi, Suk-Ho

    2007-01-01

    Charge-trap flash- (CTF) memory structures have been fabricated by employing IrO 2 nanodots (NDs) grown by atomic-layer deposition. A band of isolated IrO 2 NDs of about 3 nm lying almost parallel to Si/SiO 2 interface is confirmed by transmission electron microscopy and x-ray photoelectron spectroscopy. The memory device with IrO 2 NDs shows much larger capacitance-voltage (C-V) hysteresis and memory window compared with the control sample without IrO 2 NDs. After annealing at 800 deg. C for 20 min, the ND device shows almost no change in the width of C-V hysteresis and the ND distribution. These results indicate that the IrO 2 NDs embedded in SiO 2 can be utilized as thermally stable, discrete charge traps, promising for metal oxide-ND-based CTF memory devices

  6. Thermal expansion studies on HfO2-Gd2O3 system

    International Nuclear Information System (INIS)

    Panneerselvam, G.; Antony, M.P.; Nagarajan, K.

    2014-01-01

    A series of solid solutions containing GdO 1.5 in HfO 2 , (Hf 1-y Gd y ) O 2 (y = 0.15, 0.2, 0.3, 0.41 and 0.505) were prepared by solid state method. Structural characterization and computation of lattice parameter was carried out using room temperature X-ray diffraction measurements

  7. Field-enhanced route to generating anti-Frenkel pairs in HfO2

    Science.gov (United States)

    Schie, Marcel; Menzel, Stephan; Robertson, John; Waser, Rainer; De Souza, Roger A.

    2018-03-01

    The generation of anti-Frenkel pairs (oxygen vacancies and oxygen interstitials) in monoclinic and cubic HfO2 under an applied electric field is examined. A thermodynamic model is used to derive an expression for the critical field strength required to generate an anti-Frenkel pair. The critical field strength of EaFcr˜101GVm-1 obtained for HfO2 exceeds substantially the field strengths routinely employed in the forming and switching operations of resistive switching HfO2 devices, suggesting that field-enhanced defect generation is negligible. Atomistic simulations with molecular static (MS) and molecular dynamic (MD) approaches support this finding. The MS calculations indicated a high formation energy of Δ EaF≈8 eV for the infinitely separated anti-Frenkel pair, and only a decrease to Δ EaF≈6 eV for the adjacent anti-Frenkel pair. The MD simulations showed no defect generation in either phase for E <3 GVm-1 , and only sporadic defect generation in the monoclinic phase (at E =3 GVm-1 ) with fast (trec<4 ps ) recombination. At even higher E but below EaFcr both monoclinic and cubic structures became unstable as a result of field-induced deformation of the ionic potential wells. Further MD investigations starting with preexisting anti-Frenkel pairs revealed recombination of all pairs within trec<1 ps , even for the case of neutral vacancies and charged interstitials, for which formally there is no electrostatic attraction between the defects. In conclusion, we find no physically reasonable route to generating point-defects in HfO2 by an applied field.

  8. Phosphorus recovery from biogas slurry by ultrasound/H2O2 digestion coupled with HFO/biochar adsorption process.

    Science.gov (United States)

    He, Xuemeng; Zhang, Tao; Ren, Hongqiang; Li, Guoxue; Ding, Lili; Pawlowski, Lucjan

    2017-02-01

    Phosphorus (P) recovery from biogas slurry has recently attracted considerable interest. In this work, ultrasound/H 2 O 2 digestion coupled with ferric oxide hydrate/biochar (HFO/biochar) adsorption process was performed to promote P dissolution, release, and recovery from biogas slurry. The results showed that the optimal total phosphorus release efficiency was achieved at an inorganic phosphorus/total phosphorus ratio of 95.0% at pH 4, 1mL of added H 2 O 2 , and ultrasonication for 30min. The P adsorption by the HFO/biochar followed pseudo second-order kinetics and was mainly controlled by chemical processes. The Langmuir-Freundlich model matched the experimental data best for P adsorption by HFO/biochar at 298 and 308K, whereas the Freundlich model matched best at 318K. The maximum amount of P adsorbed was 220mg/g. The process was endothermic, spontaneous, and showed an increase in disorder at the solid-liquid interface. The saturated adsorbed HFO/biochar continually releases P and is most suitable for use in an alkaline environment. The amount of P released reached 29.1mg/g after five extractions. P mass balance calculation revealed that 11.3% of the total P can be made available. Copyright © 2016. Published by Elsevier Ltd.

  9. Laser conditioning effect on HfO2/SiO2 film

    International Nuclear Information System (INIS)

    Wei Yaowei; Zhang Zhe; Liu Hao; Ouyang Sheng; Zheng Yi; Tang Gengyu; Chen Songlin; Ma Ping

    2013-01-01

    Laser conditioning is one of the important methods to improve the laser damage threshold of film optics. Firstly, a large aperture laser was used to irradiate the HfO 2 /SiO 2 reflectors, which were evaporated from hafnia and silica by e-beam. Secondly, a laser calorimeter was used to test the film absorption before and after laser irradiation. Focused ion beam (FIB) was few reported using on laser film, it was used to study the damage morphology and explore the cause of damage. The shooting of the partial ejection on nodule was obtained for the first time, which provided the basis for study the damage process. The results show that film absorption was decreased obviously after the laser irradiation, laser conditioning can raise the laser damage threshold by the 'cleaning mechanism'. For the HfO 2 /SiO 2 reflectors, laser conditioning was effective to eject the nodules on substrate. It resulted from the nodule residue not to affect the subsequent laser. In addition, laser conditioning was not effective to the nodule in the film, which might be from the material spatter in coating process. In this case, other method could be used to get rid of the nodules. (authors)

  10. Wide band antireflective coatings Al2O3 / HfO2 / MgF2 for UV region

    Science.gov (United States)

    Winkowski, P.; Marszałek, Konstanty W.

    2013-07-01

    Deposition technology of the three layers antireflective coatings consists of hafnium compound are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5x10-5 mbar in presence of oxygen and fluoride films by thermal evaporation. Substrate temperature was 250°C. Coatings were deposited onto optical lenses made from quartz glass (Corning HPFS). Thickness and deposition rate were controlled by thickness measuring system Inficon XTC/2. Simulations leading to optimization of thickness and experimental results of optical measurements carried during and after deposition process were presented. Physical thickness measurements were made during deposition process and were equal to 43 nm/74 nm/51 nm for Al2O3 / HfO2 / MgF2 respectively. Optimization was carried out for ultraviolet region from 230nm to the beginning of visible region 400 nm. In this region the average reflectance of the antireflective coating was less than 0.5% in the whole range of application.

  11. Surface Passivation of MoO3 Nanorods by Atomic Layer Deposition Towards High Rate Durable Li Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal; Shahid, Muhammad; Nagaraju, Doddahalli H.; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    We demonstrate an effective strategy to overcome the degradation of MoO3 nanorod anodes in Lithium (Li) ion batteries at high rate cycling. This is achieved by conformal nanoscale surface passivation of the MoO3 nanorods by HfO2 using atomic layer deposition (ALD). At high current density such as 1500 mA/g, the specific capacity of HfO2 coated MoO3 electrodes is 68% higher than bare MoO3 electrodes after 50 charge/discharge cycles. After 50 charge/discharge cycles, HfO2 coated MoO3 electrodes exhibited specific capacity of 657 mAh/g, on the other hand, bare MoO3 showed only 460 mAh/g. Furthermore, we observed that HfO2 coated MoO3 electrodes tend to stabilize faster than bare MoO3 electrodes because nanoscale HfO2 layer prevents structural degradation of MoO3 nanorods. Additionally, the growth temperature of MoO3 nanorods and the effect of HfO2 layer thickness was studied and found to be important parameters for optimum battery performance. The growth temperature defines the microstructural features and HfO2 layer thickness defines the diffusion coefficient of Li–ions through the passivation layer to the active material. Furthermore, ex–situ HRTEM, X–ray photoelectron spectroscopy (XPS), Raman spectroscopy and X–ray diffraction was carried out to explain the capacity retention mechanism after HfO2 coating.

  12. Surface Passivation of MoO3 Nanorods by Atomic Layer Deposition Towards High Rate Durable Li Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2015-06-03

    We demonstrate an effective strategy to overcome the degradation of MoO3 nanorod anodes in Lithium (Li) ion batteries at high rate cycling. This is achieved by conformal nanoscale surface passivation of the MoO3 nanorods by HfO2 using atomic layer deposition (ALD). At high current density such as 1500 mA/g, the specific capacity of HfO2 coated MoO3 electrodes is 68% higher than bare MoO3 electrodes after 50 charge/discharge cycles. After 50 charge/discharge cycles, HfO2 coated MoO3 electrodes exhibited specific capacity of 657 mAh/g, on the other hand, bare MoO3 showed only 460 mAh/g. Furthermore, we observed that HfO2 coated MoO3 electrodes tend to stabilize faster than bare MoO3 electrodes because nanoscale HfO2 layer prevents structural degradation of MoO3 nanorods. Additionally, the growth temperature of MoO3 nanorods and the effect of HfO2 layer thickness was studied and found to be important parameters for optimum battery performance. The growth temperature defines the microstructural features and HfO2 layer thickness defines the diffusion coefficient of Li–ions through the passivation layer to the active material. Furthermore, ex–situ HRTEM, X–ray photoelectron spectroscopy (XPS), Raman spectroscopy and X–ray diffraction was carried out to explain the capacity retention mechanism after HfO2 coating.

  13. Formation of Pentacene wetting layer on the SiO2 surface and charge trap in the wetting layer

    International Nuclear Information System (INIS)

    Kim, Chaeho; Jeon, D.

    2008-01-01

    We studied the early-stage growth of vacuum-evaporated pentacene film on a native SiO 2 surface using atomic force microscopy and in-situ spectroscopic ellipsometry. Pentacene deposition prompted an immediate change in the ellipsometry spectra, but atomic force microscopy images of the early stage films did not show a pentacene-related morphology other than the decrease in the surface roughness. This suggested that a thin pentacene wetting layer was formed by pentacene molecules lying on the surface before the crystalline islands nucleated. Growth simulation based on the in situ spectroscopic ellipsometry spectra supported this conclusion. Scanning capacitance microscopy measurement indicated the existence of trapped charges in the SiO 2 and pentacene wetting layer

  14. Oxygen vacancy defect engineering using atomic layer deposited HfAlOx in multi-layered gate stack

    Science.gov (United States)

    Bhuyian, M. N.; Sengupta, R.; Vurikiti, P.; Misra, D.

    2016-05-01

    This work evaluates the defects in high quality atomic layer deposited (ALD) HfAlOx with extremely low Al (estimated by the high temperature current voltage measurement shows that the charged oxygen vacancies, V+/V2+, are the primary source of defects in these dielectrics. When Al is added in HfO2, the V+ type defects with a defect activation energy of Ea ˜ 0.2 eV modify to V2+ type to Ea ˜ 0.1 eV with reference to the Si conduction band. When devices were stressed in the gate injection mode for 1000 s, more V+ type defects are generated and Ea reverts back to ˜0.2 eV. Since Al has a less number of valence electrons than do Hf, the change in the co-ordination number due to Al incorporation seems to contribute to the defect level modifications. Additionally, the stress induced leakage current behavior observed at 20 °C and at 125 °C demonstrates that the addition of Al in HfO2 contributed to suppressed trap generation process. This further supports the defect engineering model as reduced flat-band voltage shifts were observed at 20 °C and at 125 °C.

  15. Influence of O2 flow rate on HfO2 gate dielectrics for back-gated graphene transistors

    International Nuclear Information System (INIS)

    Ganapathi, Kolla Lakshmi; Bhat, Navakanta; Mohan, Sangeneni

    2014-01-01

    HfO 2  thin films deposited on Si substrate using electron beam evaporation, are evaluated for back-gated graphene transistors. The amount of O 2  flow rate, during evaporation is optimized for 35 nm thick HfO 2  films, to achieve the best optical, chemical and electrical properties. It has been observed that with increasing oxygen flow rate, thickness of the films increased and refractive index decreased due to increase in porosity resulting from the scattering of the evaporant. The films deposited at low O 2  flow rates (1 and 3 SCCM) show better optical and compositional properties. The effects of post-deposition annealing and post-metallization annealing in forming gas ambience (FGA) on the optical and electrical properties of the films have been analyzed. The film deposited at 3 SCCM O 2  flow rate shows the best properties as measured on MOS capacitors. To evaluate the performance of device properties, back-gated bilayer graphene transistors on HfO 2  films deposited at two O 2  flow rates of 3 and 20 SCCM have been fabricated and characterized. The transistor with HfO 2  film deposited at 3 SCCM O 2  flow rate shows better electrical properties consistent with the observations on MOS capacitor structures. This suggests that an optimum oxygen pressure is necessary to get good quality films for high performance devices. (paper)

  16. Investigation of various properties of HfO2-TiO2 thin film composites deposited by multi-magnetron sputtering system

    Science.gov (United States)

    Mazur, M.; Poniedziałek, A.; Kaczmarek, D.; Wojcieszak, D.; Domaradzki, J.; Gibson, D.

    2017-11-01

    In this work the properties of hafnium dioxide (HfO2), titanium dioxide (TiO2) and mixed HfO2-TiO2 thin films with various amount of titanium addition, deposited by magnetron sputtering were described. Structural, surface, optical and mechanical properties of deposited coatings were analyzed. Based on X-ray diffraction and Raman scattering measuremets it was observed that there was a significant influence of titanium concentration in mixed TiO2-HfO2 thin films on their microstructure. Increase of Ti content in prepared mixed oxides coatings caused, e.g. a decrease of average crystallite size and amorphisation of the coatings. As-deposited hafnia and titania thin films exhibited nanocrystalline structure of monoclinic phase and mixed anatase-rutile phase for HfO2 and TiO2 thin films, respectively. Atomic force microscopy investigations showed that the surface of deposited thin films was densely packed, crack-free and composed of visible grains. Surface roughness and the value of water contact angle decreased with the increase of Ti content in mixed oxides. Results of optical studies showed that all deposited thin films were well transparent in a visible light range. The effect of the change of material composition on the cut-off wavelength, refractive index and packing density was also investigated. Performed measurements of mechanical properties revealed that hardness and Young's elastic modulus of thin films were dependent on material composition. Hardness of thin films increased with an increase of Ti content in thin films, from 4.90 GPa to 13.7 GPa for HfO2 and TiO2, respectively. The results of the scratch resistance showed that thin films with proper material composition can be used as protective coatings in optical devices.

  17. Wet thermal annealing effect on TaN/HfO2/Ge metal—oxide—semiconductor capacitors with and without a GeO2 passivation layer

    International Nuclear Information System (INIS)

    Liu Guan-Zhou; Li Cheng; Lu Chang-Bao; Tang Rui-Fan; Tang Meng-Rao; Wu Zheng; Yang Xu; Huang Wei; Lai Hong-Kai; Chen Song-Yan

    2012-01-01

    Wet thermal annealing effects on the properties of TaN/HfO 2 /Ge metal—oxide—semiconductor (MOS) structures with and without a GeO 2 passivation layer are investigated. The physical and the electrical properties are characterized by X-ray photoemission spectroscopy, high-resolution transmission electron microscopy, capacitance—voltage (C—V) and current—voltage characteristics. It is demonstrated that wet thermal annealing at relatively higher temperature such as 550 °C can lead to Ge incorporation in HfO 2 and the partial crystallization of HfO 2 , which should be responsible for the serious degradation of the electrical characteristics of the TaN/HfO 2 /Ge MOS capacitors. However, wet thermal annealing at 400 °C can decrease the GeO x interlayer thickness at the HfO 2 /Ge interface, resulting in a significant reduction of the interface states and a smaller effective oxide thickness, along with the introduction of a positive charge in the dielectrics due to the hydrolyzable property of GeO x in the wet ambient. The pre-growth of a thin GeO 2 passivation layer can effectively suppress the interface states and improve the C—V characteristics for the as-prepared HfO 2 gated Ge MOS capacitors, but it also dissembles the benefits of wet thermal annealing to a certain extent

  18. Characterization of luminescent samarium doped HfO2 coatings synthesized by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Chacon-Roa, C; Guzman-Mendoza, J; Aguilar-Frutis, M; Garcia-Hipolito, M; Alvarez-Fragoso, O; Falcony, C

    2008-01-01

    Trivalent samarium (Sm 3+ ) doped hafnium oxide (HfO 2 ) films were deposited using the spray pyrolysis deposition technique. The films were deposited on Corning glass substrates at temperatures ranging from 300 to 550 deg. C using chlorides as raw materials. Films, mostly amorphous, were obtained when deposition temperatures were below 350 deg. C. However, for temperatures higher than 400 deg. C, the films became polycrystalline, presenting the HfO 2 monoclinic phase. Scanning electron microscopy of the films revealed a rough surface morphology with spherical particles. Also, electron energy dispersive analysis was performed on these films. The photoluminescence and cathodoluminescence characteristics of the HfO 2 : SmCl 3 films, measured at room temperature, exhibited four main bands centred at 570, 610, 652 and 716 nm, which are due to the well-known intra-4f transitions of the Sm 3+ ion. It was found that the overall emission intensity rose as the deposition temperature was increased. Furthermore, a concentration quenching of the luminescence intensity was also observed

  19. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  20. Temperature-Dependent Physical and Memory Characteristics of Atomic-Layer-Deposited RuOx Metal Nanocrystal Capacitors

    Directory of Open Access Journals (Sweden)

    S. Maikap

    2011-01-01

    Full Text Available Physical and memory characteristics of the atomic-layer-deposited RuOx metal nanocrystal capacitors in an n-Si/SiO2/HfO2/RuOx/Al2O3/Pt structure with different postdeposition annealing temperatures from 850–1000°C have been investigated. The RuOx metal nanocrystals with an average diameter of 7 nm and a highdensity of 0.7 × 1012/cm2 are observed by high-resolution transmission electron microscopy after a postdeposition annealing temperature at 1000°C. The density of RuOx nanocrystal is decreased (slightly by increasing the annealing temperatures, due to agglomeration of multiple nanocrystals. The RuO3 nanocrystals and Hf-silicate layer at the SiO2/HfO2 interface are confirmed by X-ray photoelectron spectroscopy. For post-deposition annealing temperature of 1000°C, the memory capacitors with a small equivalent oxide thickness of ~9 nm possess a large hysteresis memory window of >5 V at a small sweeping gate voltage of ±5 V. A promising memory window under a small sweeping gate voltage of ~3 V is also observed due to charge trapping in the RuOx metal nanocrystals. The program/erase mechanism is modified Fowler-Nordheim (F-N tunneling of the electrons and holes from Si substrate. The electrons and holes are trapped in the RuOx nanocrystals. Excellent program/erase endurance of 106 cycles and a large memory window of 4.3 V with a small charge loss of ~23% at 85°C are observed after 10 years of data retention time, due to the deep-level traps in the RuOx nanocrystals. The memory structure is very promising for future nanoscale nonvolatile memory applications.

  1. The influence of thermal treatment on the phase development in HfO2-Al2O3 and ZrO2-Al2O3 systems

    International Nuclear Information System (INIS)

    Stefanic, G.; Music, S.; Trojko, R.

    2005-01-01

    Amorphous precursors of HfO 2 -AlO 1.5 and ZrO 2 -AlO 1.5 systems covering the whole concentration range were co-precipitated from aqueous solutions of the corresponding salts. The thermal behaviour of the amorphous precursors was examined by differential thermal analysis, X-ray powder diffraction (XRD), laser Raman spectroscopy and scanning electron microscopy. The crystallization temperature of both systems increased with increase in the AlO 1.5 content, from 530 to 940 deg. C in the HfO 2 -AlO 1.5 system, and from 405 to 915 deg. C in the ZrO 2 -AlO 1.5 system. The results of phase analysis indicate an extended capability for the incorporation of Al 3+ ions in the metastable HfO 2 - and ZrO 2 -type solid solutions obtained after crystallization of amorphous co-gels. Precise determination of lattice parameters, performed using whole-powder-pattern decomposition method, showed that the axial ratio c f /a f in the ZrO 2 - and HfO 2 -type solid solutions with 10 mol% or more of Al 3+ approach 1. The tetragonal symmetry of these samples, as determined by laser Raman spectroscopy, was attributed to the displacement of the oxygen sublattice from the ideal fluorite positions. It was found that the lattice parameters of the ZrO 2 -type solid solutions decreased with increasing Al 3+ content up to ∼10 mol%, whereas above 10 mol%, further increase of the Al 3+ content has very small influence on the unit-cell volume of both HfO 2 - and ZrO 2 -type solid solutions. The reason for such behaviour was discussed. The solubility of Hf 4+ and Zr 4+ ions in the aluminium oxides lattice appeared to be negligible

  2. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  3. Effect of Dielectric Interface on the Performance of MoS2 Transistors.

    Science.gov (United States)

    Li, Xuefei; Xiong, Xiong; Li, Tiaoyang; Li, Sichao; Zhang, Zhenfeng; Wu, Yanqing

    2017-12-27

    Because of their wide bandgap and ultrathin body properties, two-dimensional materials are currently being pursued for next-generation electronic and optoelectronic applications. Although there have been increasing numbers of studies on improving the performance of MoS 2 field-effect transistors (FETs) using various methods, the dielectric interface, which plays a decisive role in determining the mobility, interface traps, and thermal transport of MoS 2 FETs, has not been well explored and understood. In this article, we present a comprehensive experimental study on the effect of high-k dielectrics on the performance of few-layer MoS 2 FETs from 300 to 4.3 K. Results show that Al 2 O 3 /HfO 2 could boost the mobility and drain current. Meanwhile, MoS 2 transistors with Al 2 O 3 /HfO 2 demonstrate a 2× reduction in oxide trap density compared to that of the devices with the conventional SiO 2 substrate. Also, we observe a negative differential resistance effect on the device with 1 μm-channel length when using conventional SiO 2 as the gate dielectric due to self-heating, and this is effectively eliminated by using the Al 2 O 3 /HfO 2 gate dielectric. This dielectric engineering provides a highly viable route to realizing high-performance transition metal dichalcogenide-based FETs.

  4. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    Science.gov (United States)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  5. Effect of heat treatment on properties of HfO2 film deposited by ion-beam sputtering

    Science.gov (United States)

    Liu, Huasong; Jiang, Yugang; Wang, Lishuan; Li, Shida; Yang, Xiao; Jiang, Chenghui; Liu, Dandan; Ji, Yiqin; Zhang, Feng; Chen, Deying

    2017-11-01

    The effects of atmosphere heat treatment on optical, stress, and microstructure properties of an HfO2 film deposited by ion-beam sputtering were systematically researched. The relationships among annealing temperature and refractive index, extinction coefficient, physical thickness, forbidden-band width, tape trailer width, Urbach energy, crystal phase structure, and stress were assessed. The results showed that 400 °C is the transformation point, and the microstructure of the HfO2 film changed from an amorphous into mixed-phase structure. Multistage phonons appeared on the HfO2 film, and the trends of the refractive index, extinction coefficient, forbidden-band width change, and Urbach energy shifted from decrease to increase. With the elevation of the annealing temperature, the film thickness increased monotonously, the compressive stress gradually turned to tensile stress, and the transformation temperature point for the stress was between 200 °C and 300 °C. Therefore, the change in the stress is the primary cause for the shifts in thin-film thickness.

  6. Properties of phases in HfO2-TiO2 system

    International Nuclear Information System (INIS)

    Red'ko, V.P.; Terekhovskij, P.B.; Majster, I.M.; Shevchenko, A.V.; Lopato, L.M.; Dvernyakova, A.A.

    1990-01-01

    A study was made on axial and linear coefficients of thermal expansion (CTE) of HfO 2 -TiO 2 system samples in concentration range of 25-50 mol% TiO 2 . Samples, containing 35 and 37 mol% TiO 2 , are characterized by the lowest values of linear CTE. Dispersion of the basic substances doesn't affect CTE value. Correlation with axial and linear CTE of samples in ZrO 2 -TiO 2 system was conducted. Presence of anisotropy of change of lattice parameters was supported for samples, containing 37.5 and 40 mol% TiO 2 . Polymorphous transformations for hafnium titanate were not revealed

  7. Theoretical prediction of ion conductivity in solid state HfO2

    Science.gov (United States)

    Zhang, Wei; Chen, Wen-Zhou; Sun, Jiu-Yu; Jiang, Zhen-Yi

    2013-01-01

    A theoretical prediction of ion conductivity for solid state HfO2 is carried out in analogy to ZrO2 based on the density functional calculation. Geometric and electronic structures of pure bulks exhibit similarity for the two materials. Negative formation enthalpy and negative vacancy formation energy are found for YSH (yttria-stabilized hafnia) and YSZ (yttria-stabilized zirconia), suggesting the stability of both materials. Low activation energies (below 0.7 eV) of diffusion are found in both materials, and YSH's is a little higher than that of YSZ. In addition, for both HfO2 and ZrO2, the supercells with native oxygen vacancies are also studied. The so-called defect states are observed in the supercells with neutral and +1 charge native vacancy but not in the +2 charge one. It can give an explanation to the relatively lower activation energies of yttria-doped oxides and +2 charge vacancy supercells. A brief discussion is presented to explain the different YSH ion conductivities in the experiment and obtained by us, and we attribute this to the different ion vibrations at different temperatures.

  8. Impedance Characterization of the Capacitive field-Effect pH-Sensor Based on a thin-Layer Hafnium Oxide Formed by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michael LEE

    2014-05-01

    Full Text Available As a sensing element, silicon dioxide (SiO2 has been applied within ion-sensitive field effect transistors (ISFET. However, a requirement of increasing pH-sensitivity and stability has observed an increased number of insulating materials that obtain high-k gate being applied as FETs. The increased high-k gate reduces the required metal oxide layer and, thus, the fabrication of thin hafnium oxide (HfO2 layers by atomic layer deposition (ALD has grown with interest in recent years. This metal oxide presents advantageous characteristics that can be beneficial for the advancements within miniaturization of complementary metal oxide semiconductor (CMOS technology. In this article, we describe a process for fabrication of HfO2 based on ALD by applying water (H2O as the oxygen precursor. As a first, electrochemical impedance spectroscopy (EIS measurements were performed with varying pH (2-10 to demonstrate the sensitivity of HfO2 as a potential pH sensing material. The Nyquist plot demonstrates a high clear shift of the polarization resistance (Rp between pH 6-10 (R2 = 0.9986, Y = 3,054X + 12,100. At acidic conditions (between pH 2-10, the Rp change was small due to the unmodified oxide gate (R2 = 0.9655, Y = 2,104X + 4,250. These preliminary results demonstrate the HfO2 substrate functioned within basic to neutral conditions and establishes a great potential for applying HfO2 as a dielectric material for future pH measuring FET sensors.

  9. Effect of Advanced Plasma Source bias voltage on properties of HfO2 films prepared by plasma ion assisted electron evaporation from metal hafnium

    International Nuclear Information System (INIS)

    Zhu, Meiping; Yi, Kui; Arhilger, Detlef; Qi, Hongji; Shao, Jianda

    2013-01-01

    HfO 2 films, using metal hafnium as starting material, are deposited by plasma-ion assisted electron evaporation with different Advanced Plasma Source (APS) bias voltages. The refractive index and extinction coefficient are calculated, the chemical state and composition, as well as the stress and aging behavior is investigated. Laser induced damage threshold (LIDT) and damage mechanism are also evaluated and discussed. Optical, structural, mechanical and laser induced damage properties of HfO 2 films are found to be sensitive to APS bias voltage. The film stress can be tuned by varying the APS bias voltage. Damage morphologies indicate the LIDT of the HfO 2 films at 1064 nm and 532 nm are dominated by the nodular-defect density in coatings, while the 355 nm LIDT is dominated by the film absorption. HfO 2 films with higher 1064 nm LIDT than samples evaporated from hafnia are achieved with bias voltage of 100 V. - Highlights: • HfO 2 films are evaporated with different Advanced Plasma Source (APS) bias voltages. • Properties of HfO 2 films are sensitive to APS bias voltage. • With a bias voltage of 100 V, HfO 2 coatings without any stress can be achieved. • Higher 1064 nm laser induced damage threshold is achieved at a bias voltage of 100 V

  10. Oxygen vacancy effects in HfO2-based resistive switching memory: First principle study

    Directory of Open Access Journals (Sweden)

    Yuehua Dai

    2016-08-01

    Full Text Available The work investigated the shape and orientation of oxygen vacancy clusters in HfO2-base resistive random access memory (ReRAM by using the first-principle method based on the density functional theory. Firstly, the formation energy of different local Vo clusters was calculated in four established orientation systems. Then, the optimized orientation and charger conductor shape were identified by comparing the isosurface plots of partial charge density, formation energy, and the highest isosurface value of oxygen vacancy. The calculated results revealed that the [010] orientation was the optimal migration path of Vo, and the shape of system D4 was the best charge conductor in HfO2, which effectively influenced the SET voltage, formation voltage and the ON/OFF ratio of the device. Afterwards, the PDOS of Hf near Vo and total density of states of the system D4_010 were obtained, revealing the composition of charge conductor was oxygen vacancy instead of metal Hf. Furthermore, the migration barriers of the Vo hopping between neighboring unit cells were calculated along four different orientations. The motion was proved along [010] orientation. The optimal circulation path for Vo migration in the HfO2 super-cell was obtained.

  11. Ultrathin HfON/SiO2 dual tunneling layer for improving the electrical properties of metal–oxide–nitride–oxide–silicon memory

    International Nuclear Information System (INIS)

    Liu, L.; Xu, J.P.; Chen, J.X.; Ji, F.; Huang, X.D.; Lai, P.T.

    2012-01-01

    A high-k gate stack structure with ultrathin HfON/SiO 2 as dual tunneling layer (DTL), AlN as charge storage layer (CSL) and HfAlO as blocking layer (BL) is proposed to make a charge-trapping-type metal–oxide–nitride–oxide–silicon non-volatile memory device by employing in-situ sputtering method. The validity of the structure is examined and confirmed by transmission electron microscopy. The memory window, program/erase, endurance and retention properties are investigated and compared with similar gate stack structure with Si 3 N 4 /SiO 2 as DTL, HfO 2 as CSL and Al 2 O 3 as BL. Results show that a large memory window of 3.55 V at a program/erase (P/E) voltage of + 8 V/− 15 V, high P/E speed, and good endurance and retention characteristic can be achieved using the Au/ HfAlO/AlN/(HfON/SiO 2 )/Si gate stack structure. The main mechanisms lie in the enhanced electron injection through the ultrathin high-k HfON/SiO 2 DTL with suitable band offset, high trapping efficiency of the high-k AlN material, and effective blocking role of the high-k HfAlO BL. - Highlights: ► An Au/HfAlO/AlN/(HfON/SiO 2 )/Si high-k gate stack structure is proposed. ► A band-engineered dual tunneling layer (HfON/SiO 2 ) is proposed and prepared. ► A good trade-off among the memory characteristics is obtained. ► In-situ sputtering method is employed to fabricate the gate stack structure.

  12. Influence of Optimization of Process Parameters on Threshold Voltage for Development of HfO2/TiSi2 18 nm PMOS

    Directory of Open Access Journals (Sweden)

    Atan N.

    2016-01-01

    Full Text Available Manufacturing a 18-nm transistor requires a variety of parameters, materials, temperatures, and methods. In this research, HfO2 was used as the gate dielectric ad TiO2 was used as the gate material. The transistor HfO2/TiSi2 18-nm PMOS was invented using SILVACO TCAD. Ion implantation was adopted in the fabrication process for the method’s practicality and ability to be used to suppress short channel effects. The study involved ion implantation methods: compensation implantation, halo implantation energy, halo tilt, and source–drain implantation. Taguchi method is the best optimization process for a threshold voltage of HfO2/TiSi2 18-nm PMOS. In this case, the method adopted was Taguchi orthogonal array L9. The process parameters (ion implantations and noise factors were evaluated by examining the Taguchi’s signal-to-noise ratio (SNR and nominal-the-best for the threshold voltage (VTH. After optimization, the result showed that the VTH value of the 18-nm PMOS device was -0.291339.

  13. Simulation study of HEMT structures with HfO2 cap layer for mitigating inverse piezoelectric effect related device failures

    Directory of Open Access Journals (Sweden)

    Deepthi Nagulapally

    2015-01-01

    Full Text Available The Inverse Piezoelectric Effect (IPE is thought to contribute to possible device failure of GaN High Electron Mobility Transistors (HEMTs. Here we focus on a simulation study to probe the possible mitigation of the IPE by reducing the internal electric fields and related elastic energy through the use of high-k materials. Inclusion of a HfO2 “cap layer” above the AlGaN barrier particularly with a partial mesa structure is shown to have potential advantages. Simulations reveal even greater reductions in the internal electric fields by using “field plates” in concert with high-k oxides.

  14. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    Science.gov (United States)

    Sales, T. S. N.; Cavalcante, F. H. M.; Bosch-Santos, B.; Pereira, L. F. D.; Cabrera-Pasca, G. A.; Freitas, R. S.; Saxena, R. N.; Carbonari, A. W.

    2017-05-01

    In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2) nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM) and scanning electron microscopy (SEM) with electron back scattering diffraction (EBSD), and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%).

  15. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    Directory of Open Access Journals (Sweden)

    T. S. N. Sales

    2017-05-01

    Full Text Available In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2 nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD, transmission electron microscopy (TEM and scanning electron microscopy (SEM with electron back scattering diffraction (EBSD, and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%.

  16. High temperature X-ray diffraction studies on HfO2-Gd2O3 system

    International Nuclear Information System (INIS)

    Panneerselvam, G.; Antony, M.P.; Ananthasivan, K.; Joseph, M.

    2016-01-01

    High temperature X-ray diffraction (HTXRD) technique is an important experimental tool for measuring thermal expansion of materials of interest. A series of solid solutions containing GdO 1.5 in HfO 2 ,Hf 1-y Gd y )O 2 (y = 0.15, 0.2, 0.3, 0.41 and 0.505) were prepared by solid state method. Structural characterization and computation of lattice parameter was carried out by using room temperature X-ray diffraction measurements. The room temperature lattice parameter estimated for (Hf 1-y Gd y )O 2 (y=0.15, 0.2, 0.3, 0.41 and 0.505) are 0.51714 nm, 0.51929 nm, 0.52359nm, 0.52789nm and 0.53241 nm, respectively. Thermal expansion coefficients and percentage linear thermal expansion of the HfO 2 -Gd 2 O 3 solid solutions containing 20 and 41 mol% GdO 1.5 were determined using HTXRD in the temperature range 298 to 1673K. The mean linear thermal expansion coefficients of the solid solutions containing 20 and 41 mol. %Gd are 11.65 x 10 -6 K -1 and 12.07 x 10 -6 K -1 , respectively. (author)

  17. Modeling and Implementation of HfO2-based Ferroelectric Tunnel Junctions

    Science.gov (United States)

    Pringle, Spencer Allen

    HfO2-based ferroelectric tunnel junctions (FTJs) represent a unique opportunity as both a next-generation digital non-volatile memory and as synapse devices in braininspired logic systems, owing to their higher reliability compared to filamentary resistive random-access memory (ReRAM) and higher speed and lower power consumption compared to competing devices, including phase-change memory (PCM) and state-of-the-art FTJ. Ferroelectrics are often easier to deposit and have simpler material structure than films for magnetic tunnel junctions (MTJs). Ferroelectric HfO2 also enables complementary metal-oxide-semiconductor (CMOS) compatibility, since lead zirconate titanate (PZT) and BaTiO3-based FTJs often are not. No other groups have yet demonstrated a HfO2-based FTJ (to best of the author's knowledge) or applied it to a suitable system. For such devices to be useful, system designers require models based on both theoretical physical analysis and experimental results of fabricated devices in order to confidently design control systems. Both the CMOS circuitry and FTJs must then be designed in layout and fabricated on the same die. This work includes modeling of proposed device structures using a custom python script, which calculates theoretical potential barrier heights as a function of material properties and corresponding current densities (ranging from 8x103 to 3x10-2 A/cm 2 with RHRS/RLRS ranging from 5x105 to 6, depending on ferroelectric thickness). These equations were then combined with polynomial fits of experimental timing data and implemented in a Verilog-A behavioral analog model in Cadence Virtuoso. The author proposes tristate CMOS control systems, and circuits, for implementation of FTJ devices as digital memory and presents simulated performance. Finally, a process flow for fabrication of FTJ devices with CMOS is presented. This work has therefore enabled the fabrication of FTJ devices at RIT and the continued investigation of them as applied to any

  18. Ti–Al–O nanocrystal charge trapping memory cells fabricated by atomic layer deposition

    International Nuclear Information System (INIS)

    Cao, Zheng-Yi; Li, Ai-Dong; Li, Xin; Cao, Yan-Qiang; Wu, Di

    2014-01-01

    Charge trapping memory cells using Ti–Al–O (TAO) film as charge trapping layer and amorphous Al 2 O 3 as the tunneling and blocking layers were fabricated on Si substrates by atomic layer deposition method. As-deposited TAO films were annealed at 700 °C, 800 °C and 900 °C for 3 min in N 2 with a rapid thermal annealing process to form nanocrystals. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructure and band diagram of the heterostructures. The electrical characteristics and charge storage properties of the Al 2 O 3 /TAO/Al 2 O 3 /Si stack structures were also evaluated. Compared to 700 °C and 900 °C samples, the memory cells annealed at 800 °C exhibit better memory performance with larger memory window of 4.8 V at ± 6 V sweeping, higher program/erase speed and excellent endurance. - Highlights: • The charge trapping memory cells were fabricated by atomic layer deposition method. • The anneal temperature plays a key role in forming nanocrystals. • The memory cells annealed at 800 °C exhibit better memory performance. • The band alignment is beneficial to enhance the retention characteristics

  19. Studies of the hyperfine interaction in semiconducting or isolating oxides on the examples HfO2, Ga2O3, and Al2O3

    International Nuclear Information System (INIS)

    Steffens, Michael

    2014-01-01

    On the example of the three oxide compounds of the hafnium, gallium, and aluminium among others the method of the perturbed γ-γ angular correlation (PAC) was applied in dependence on the sample temperature. Applied were thereby the PAC probe nuclei 111 Cd and 181 Ga, which were inserted in the samples by ion implantation or proced by neutron activation in the samples. In HfO 2 thereby especially the hyperfine interaction of thin layers with thicknesses from 2.7 to 17 nm and 100 nm were studied. Strongly disagreeing field gradients and a great influence of the sample surface on the measurement are shown. It could be shown that ν qO x should scale with the layer thickness of the oxide and that the temperature-dependent behaviour, which is influenced by the thermal expansion of the lattice, underlies also this scaling. Conditioned by the neighbourhood to the surface at high temperature oxygen can escape from the samples and so degrade the oxide. The studied Ga 2 O 3 layers were produced by oxidation of GaN at 1223 K in air. The structure of the oxide layer was thereby stepwise pursued with the PAC and could be modelled with an exponential time dependence. The oxidation was repeated with several samples at equal absolute oxidation time but different partition in intermediate steps. Altogether the result were shown as reproducable, the occuring differences of the hyperfine interactions are probably given by external quantities fluctuating in the oxidation. The measurement of the Al 2 O 3 sample in the PAC furnace and cryostat represents mainly a reproduction of the preceding experiments of Penner et al. In this materials the attempt held the spotlight to manipulate the temperature-dependent behaviour of the hyperfine interaction by additional doping. Over the experiments of the single materials was set the more precise consideration of dynamic hyperfine interactions on the probe nucleus 111 Cd. In the spin-correlation functions R(t) these were manifested by an

  20. Deuterium trapping in the carbon-silicon co-deposition layers prepared by RF sputtering in D2 atmosphere

    Science.gov (United States)

    Zhang, Hongliang; Zhang, Weiyuan; Su, Ranran; Tu, Hanjun; Shi, Liqun; Hu, Jiansheng

    2018-04-01

    Deuterated carbon-silicon layers co-deposited on graphite and silicon substrates by radio frequency magnetron sputtering in pure D2 plasma were produced to study deuterium trapping and characteristics of the C-Si layers. The C-Si co-deposited layers were examined by ion beam analysis (IBA), Raman spectroscopy (RS), infrared absorption (IR) spectroscopy, thermal desorption spectroscopy (TDS) and scanning electron microscopy (SEM). It was found that the growth rate of the C-Si co-deposition layer decreased with increasing temperature from 350 K to 800 K, the D concentration and C/Si ratios increased differently on graphite and silicon substrates. TDS shows that D desorption is mainly as D2, HD, HDO, CD4, and C2D4 and release peaks occurred at temperatures of less than 900 K. RS and IR analysis reveal that the structure of the C-Si layers became more disordered with increasing temperatures. Rounded areas of peeling with 1-2 μm diameters were observed on the surface.

  1. Intermixing between HfO2 and GeO2 films deposited on Ge(001) and Si(001): Role of the substrate

    International Nuclear Information System (INIS)

    Soares, G. V.; Krug, C.; Miotti, L.; Bastos, K. P.; Lucovsky, G.; Baumvol, I. J. R.; Radtke, C.

    2011-01-01

    Thermally driven atomic transport in HfO 2 /GeO 2 /substrate structures on Ge(001) and Si(001) was investigated in N 2 ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO 2 /Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO 2 /GeO 2 stacks are stable only if isolated from the Ge substrate.

  2. Trapping, self-trapping and the polaron family

    International Nuclear Information System (INIS)

    Stoneham, A M; Gavartin, J; Shluger, A L; Kimmel, A V; Ramo, D Munoz; Roennow, H M; Aeppli, G; Renner, C

    2007-01-01

    The earliest ideas of the polaron recognized that the coupling of an electron to ionic vibrations would affect its apparent mass and could effectively immobilize the carrier (self-trapping). We discuss how these basic ideas have been generalized to recognize new materials and new phenomena. First, there is an interplay between self-trapping and trapping associated with defects or with fluctuations in an amorphous solid. In high dielectric constant oxides, like HfO 2 , this leads to oxygen vacancies having as many as five charge states. In colossal magnetoresistance manganites, this interplay makes possible the scanning tunnelling microscopy (STM) observation of polarons. Second, excitons can self-trap and, by doing so, localize energy in ways that can modify the material properties. Third, new materials introduce new features, with polaron-related ideas emerging for uranium dioxide, gate dielectric oxides, Jahn-Teller systems, semiconducting polymers and biological systems. The phonon modes that initiate self-trapping can be quite different from the longitudinal optic modes usually assumed to dominate. Fourth, there are new phenomena, like possible magnetism in simple oxides, or with the evolution of short-lived polarons, like muons or excitons. The central idea remains that of a particle whose properties are modified by polarizing or deforming its host solid, sometimes profoundly. However, some of the simpler standard assumptions can give a limited, indeed misleading, description of real systems, with qualitative inconsistencies. We discuss representative cases for which theory and experiment can be compared in detail

  3. Hf layer thickness dependence of resistive switching characteristics of Ti/Hf/HfO2/Au resistive random access memory device

    Science.gov (United States)

    Nakajima, Ryo; Azuma, Atsushi; Yoshida, Hayato; Shimizu, Tomohiro; Ito, Takeshi; Shingubara, Shoso

    2018-06-01

    Resistive random access memory (ReRAM) devices with a HfO2 dielectric layer have been studied extensively owing to the good reproducibility of their SET/RESET switching properties. Furthermore, it was reported that a thin Hf layer next to a HfO2 layer stabilized switching properties because of the oxygen scavenging effect. In this work, we studied the Hf thickness dependence of the resistance switching characteristics of a Ti/Hf/HfO2/Au ReRAM device. It is found that the optimum Hf thickness is approximately 10 nm to obtain good reproducibility of SET/RESET voltages with a small RESET current. However, when the Hf thickness was very small (∼2 nm), the device failed after the first RESET process owing to the very large RESET current. In the case of a very thick Hf layer (∼20 nm), RESET did not occur owing to the formation of a leaky dielectric layer. We observed the occurrence of multiple resistance states in the RESET process of the device with a Hf thickness of 10 nm by increasing the RESET voltage stepwise.

  4. Thickness measurement of a thin hetero-oxide film with an interfacial oxide layer by X-ray photoelectron spectroscopy

    Science.gov (United States)

    Kim, Kyung Joong; Lee, Seung Mi; Jang, Jong Shik; Moret, Mona

    2012-02-01

    The general equation Tove = L cos θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.

  5. Band Alignment and Optical Properties of (ZrO20.66(HfO20.34 Gate Dielectrics Thin Films on p-Si (100

    Directory of Open Access Journals (Sweden)

    Dahlang Tahir

    2011-11-01

    Full Text Available (ZrO20.66(HfO20.34 dielectric films on p-Si (100 were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gaps were obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for (ZrO20.66(HfO20.34 dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of ZrO2. In addition, The dielectric function ε (k, ω, index of refraction n and the extinction coefficient k for the (ZrO20.66(HfO20.34 thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-ε (k,ω-REELS software package. These optical properties are similar with ZrO2 dielectric thin films.

  6. Effects of trap density on drain current LFN and its model development for E-mode GaN MOS-HEMT

    Science.gov (United States)

    Panda, D. K.; Lenka, T. R.

    2017-12-01

    In this paper the drain current low-frequency noise (LFN) of E-mode GaN MOS-HEMT is investigated for different gate insulators such as SiO2, Al2O3/Ga2O3/GdO3, HfO2/SiO2, La2O3/SiO2 and HfO2 with different trap densities by IFM based TCAD simulation. In order to analyze this an analytical model of drain current low frequency noise is developed. The model is developed by considering 2DEG carrier fluctuations, mobility fluctuations and the effects of 2DEG charge carrier fluctuations on the mobility. In the study of different gate insulators it is observed that carrier fluctuation is the dominant low frequency noise source and the non-uniform exponential distribution is critical to explain LFN behavior, so the analytical model is developed by considering uniform distribution of trap density. The model is validated with available experimental data from literature. The effect of total number of traps and gate length scaling on this low frequency noise due to different gate dielectrics is also investigated.

  7. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  8. Tunneling current in HfO2 and Hf0.5Zr0.5O2-based ferroelectric tunnel junction

    Science.gov (United States)

    Dong, Zhipeng; Cao, Xi; Wu, Tong; Guo, Jing

    2018-03-01

    Ferroelectric tunnel junctions (FTJs) have been intensively explored for future low power data storage and information processing applications. Among various ferroelectric (FE) materials studied, HfO2 and H0.5Zr0.5O2 (HZO) have the advantage of CMOS process compatibility. The validity of the simple effective mass approximation, for describing the tunneling process in these materials, is examined by computing the complex band structure from ab initio simulations. The results show that the simple effective mass approximation is insufficient to describe the tunneling current in HfO2 and HZO materials, and quantitative accurate descriptions of the complex band structures are indispensable for calculation of the tunneling current. A compact k . p Hamiltonian is parameterized to and validated by ab initio complex band structures, which provides a method for efficiently and accurately computing the tunneling current in HfO2 and HZO. The device characteristics of a metal/FE/metal structure and a metal/FE/semiconductor (M-F-S) structure are investigated by using the non-equilibrium Green's function formalism with the parameterized effective Hamiltonian. The result shows that the M-F-S structure offers a larger resistance window due to an extra barrier in the semiconductor region at off-state. A FTJ utilizing M-F-S structure is beneficial for memory design.

  9. Effects of Gate Stack Structural and Process Defectivity on High-k Dielectric Dependence of NBTI Reliability in 32 nm Technology Node PMOSFETs

    Directory of Open Access Journals (Sweden)

    H. Hussin

    2014-01-01

    Full Text Available We present a simulation study on negative bias temperature instability (NBTI induced hole trapping in E′ center defects, which leads to depassivation of interface trap precursor in different geometrical structures of high-k PMOSFET gate stacks using the two-stage NBTI model. The resulting degradation is characterized based on the time evolution of the interface and hole trap densities, as well as the resulting threshold voltage shift. By varying the physical thicknesses of the interface silicon dioxide (SiO2 and hafnium oxide (HfO2 layers, we investigate how the variation in thickness affects hole trapping/detrapping at different stress temperatures. The results suggest that the degradations are highly dependent on the physical gate stack parameters for a given stress voltage and temperature. The degradation is more pronounced by 5% when the thicknesses of HfO2 are increased but is reduced by 11% when the SiO2 interface layer thickness is increased during lower stress voltage. However, at higher stress voltage, greater degradation is observed for a thicker SiO2 interface layer. In addition, the existence of different stress temperatures at which the degradation behavior differs implies that the hole trapping/detrapping event is thermally activated.

  10. Impact of AlO x layer on resistive switching characteristics and device-to-device uniformity of bilayered HfO x -based resistive random access memory devices

    Science.gov (United States)

    Chuang, Kai-Chi; Chung, Hao-Tung; Chu, Chi-Yan; Luo, Jun-Dao; Li, Wei-Shuo; Li, Yi-Shao; Cheng, Huang-Chung

    2018-06-01

    An AlO x layer was deposited on HfO x , and bilayered dielectric films were found to confine the formation locations of conductive filaments (CFs) during the forming process and then improve device-to-device uniformity. In addition, the Ti interposing layer was also adopted to facilitate the formation of oxygen vacancies. As a result, the resistive random access memory (RRAM) device with TiN/Ti/AlO x (1 nm)/HfO x (6 nm)/TiN stack layers demonstrated excellent device-to-device uniformity although it achieved slightly larger resistive switching characteristics, which were forming voltage (V Forming) of 2.08 V, set voltage (V Set) of 1.96 V, and reset voltage (V Reset) of ‑1.02 V, than the device with TiN/Ti/HfO x (6 nm)/TiN stack layers. However, the device with a thicker 2-nm-thick AlO x layer showed worse uniformity than the 1-nm-thick one. It was attributed to the increased oxygen atomic percentage in the bilayered dielectric films of the 2-nm-thick one. The difference in oxygen content showed that there would be less oxygen vacancies to form CFs. Therefore, the random growth of CFs would become severe and the device-to-device uniformity would degrade.

  11. TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films

    International Nuclear Information System (INIS)

    Lomenzo, Patrick D.; Nishida, Toshikazu; Takmeel, Qanit; Zhou, Chuanzhen; Fancher, Chris M.; Jones, Jacob L.; Lambers, Eric; Rudawski, Nicholas G.; Moghaddam, Saeed

    2015-01-01

    Ferroelectric HfO 2 -based thin films, which can exhibit ferroelectric properties down to sub-10 nm thicknesses, are a promising candidate for emerging high density memory technologies. As the ferroelectric thickness continues to shrink, the electrode-ferroelectric interface properties play an increasingly important role. We investigate the TaN interface properties on 10 nm thick Si-doped HfO 2 thin films fabricated in a TaN metal-ferroelectric-metal stack which exhibit highly asymmetric ferroelectric characteristics. To understand the asymmetric behavior of the ferroelectric characteristics of the Si-doped HfO 2 thin films, the chemical interface properties of sputtered TaN bottom and top electrodes are probed with x-ray photoelectron spectroscopy. Ta-O bonds at the bottom electrode interface and a significant presence of Hf-N bonds at both electrode interfaces are identified. It is shown that the chemical heterogeneity of the bottom and top electrode interfaces gives rise to an internal electric field, which causes the as-grown ferroelectric domains to preferentially polarize to screen positively charged oxygen vacancies aggregated at the oxidized bottom electrode interface. Electric field cycling is shown to reduce the internal electric field with a concomitant increase in remanent polarization and decrease in relative permittivity. Through an analysis of pulsed transient switching currents, back-switching is observed in Si-doped HfO 2 thin films with pinched hysteresis loops and is shown to be influenced by the internal electric field

  12. The effect of a HfO2 insulator on the improvement of breakdown voltage in field-plated GaN-based HEMT

    International Nuclear Information System (INIS)

    Mao Wei; Hao Yue; Ma Xiao-Hua; Wang Chong; Zhang Jin-Cheng; Liu Hong-Xia; Bi Zhi-Wei; Xu Sheng-Rui; Yang Lin-An; Yang Ling; Zhang Kai; Zhang Nai-Qian; Pei Yi; Yang Cui

    2011-01-01

    A GaN/Al 0.3 Ga 0.7 N/AlN/GaN high-electron mobility transistor utilizing a field plate (with a 0.3 μm overhang towards the drain and a 0.2 μm overhang towards the source) over a 165-nm sputtered HfO 2 insulator (HfO 2 -FP-HEMT) is fabricated on a sapphire substrate. Compared with the conventional field-plated HEMT, which has the same geometric structure but uses a 60-nm SiN insulator beneath the field plate (SiN-FP-HEMT), the HfO 2 -FP-HEMT exhibits a significant improvement of the breakdown voltage (up to 181 V) as well as a record field-plate efficiency (up to 276 V/μm). This is because the HfO 2 insulator can further improve the modulation of the field plate on the electric field distribution in the device channel, which is proved by the numerical simulation results. Based on the simulation results, a novel approach named the proportional design is proposed to predict the optimal dielectric thickness beneath the field plate. It can simplify the field-plated HEMT design significantly. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  13. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    Science.gov (United States)

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  14. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2017-02-24

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications. The SnO2/MXene anode exploits the high Li-ion capacity offered by SnO2, while maintaining the structural and mechanical integrity by the conductive MXene platform. The atomic layer deposition (ALD) conditions used to deposit SnO2 on MXene terminated with oxygen, fluorine, and hydroxyl-groups were found to be critical for preventing MXene degradation during ALD. We demonstrate that SnO2/MXene electrodes exhibit excellent electrochemical performance as Li-ion battery anodes, where conductive MXene sheets act to buffer the volume changes associated with lithiation and delithiation of SnO2. The cyclic performance of the anodes is further improved by depositing a very thin passivation layer of HfO2, in the same ALD reactor, on the SnO2/MXene anode. This is shown by high-resolution transmission electron microscopy to also improve the structural integrity of SnO2 anode during cycling. The HfO2 coated SnO2/MXene electrodes demonstrate a stable specific capacity of 843 mAh/g when used as Li-ion battery anodes.

  15. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  16. Formation and disruption of conductive filaments in a HfO2/TiN structure

    International Nuclear Information System (INIS)

    Brivio, S; Tallarida, G; Cianci, E; Spiga, S

    2014-01-01

    The process of the formation and disruption of nanometric conductive filaments in a HfO 2 /TiN structure is investigated by conductive atomic force microscopy. The preforming state evidences nonhomogeneous conduction at high fields through conductive paths, which are associated with pre-existing defects and develop into conductive filaments with a forming procedure. The disruption of the same filaments is demonstrated as well, according to a bipolar operation. In addition, the conductive tip of the microscopy is exploited to perform electrical operations on single conductive spots, which evidences that neighboring conductive filaments are not electrically independent. We propose a picture that describes the evolution of the shape of the conductive filaments in the processes of their formation and disruption, which involves the development of conductive branches from a common root; this root resides in the pre-existing defects that lay at the HfO 2 /TiN interface. (paper)

  17. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  18. Role of Ti and Pt electrodes on resistance switching variability of HfO2-based Resistive Random Access Memory

    International Nuclear Information System (INIS)

    Cabout, T.; Buckley, J.; Cagli, C.; Jousseaume, V.; Nodin, J.-F.; Salvo, B. de; Bocquet, M.; Muller, Ch.

    2013-01-01

    This paper deals with the role of platinum or titanium–titanium nitride electrodes on variability of resistive switching characteristics and electrical performances of HfO 2 -based memory elements. Capacitor-like Pt/HfO 2 (10 nm)/Pt and Ti/HfO 2 (10 nm)/TiN structures were fabricated on top of a tungsten pillar bottom electrode and integrated in-between two interconnect metal lines. First, quasi-static measurements were performed to apprehend the role of electrodes on electroforming, set and reset operations and their corresponding switching parameters. Memory elements with Pt as top and bottom electrodes exhibited a non-polar behavior with sharp decrease of current during reset operation while Ti/HfO 2 /TiN capacitors showed a bipolar switching behavior, with a gradual reset. In a second step, statistical distributions of switching parameters (voltage and resistance) were extracted from data obtained on few hundreds of capacitors. Even if the resistance in low resistive state and reset voltage was found to be comparable for both types of electrodes, the progressive reset operation observed on samples with Ti/TiN electrodes led to a lower variability of resistance in high resistive state and concomitantly of set voltage. In addition Ti–TiN electrodes enabled gaining: (i) lower forming and set voltages with significantly narrower capacitor-to-capacitor distributions; (ii) a better data retention capability (10 years at 65 °C instead of 10 years at 50 °C for Pt electrodes); (iii) satisfactory dynamic performances with lower set and reset voltages for ramp speed ranging from 10 −2 to 10 7 V/s. The significant improvement of switching behavior with Ti–TiN electrodes is mainly attributed to the formation of a native interface layer between HfO 2 oxide and Ti top electrode. - Highlights: ► HfO2 based capacitor-like structures were fabricated with Pt and Ti based electrodes. ► Influence of electrode materials on switching parameter variability is assessed.

  19. Poling effect of a charge-trapping layer in glass waveguides

    DEFF Research Database (Denmark)

    Ren, Yitao; Marckmann, Carl Johan; Jacobsen, Rune Shim

    2004-01-01

    Germanium-doped multi-layer waveguides containing a silicon oxy-nitride layer as a charge trapper are thermally poled in an air environment. Compared to the waveguides without the trapping layer, the induced linear electro-optic coefficient increases more than 20%. A comparable rise in the intern...

  20. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  1. Abnormal Multiple Charge Memory States in Exfoliated Few-Layer WSe2 Transistors.

    Science.gov (United States)

    Chen, Mikai; Wang, Yifan; Shepherd, Nathan; Huard, Chad; Zhou, Jiantao; Guo, L J; Lu, Wei; Liang, Xiaogan

    2017-01-24

    To construct reliable nanoelectronic devices based on emerging 2D layered semiconductors, we need to understand the charge-trapping processes in such devices. Additionally, the identified charge-trapping schemes in such layered materials could be further exploited to make multibit (or highly desirable analog-tunable) memory devices. Here, we present a study on the abnormal charge-trapping or memory characteristics of few-layer WSe 2 transistors. This work shows that multiple charge-trapping states with large extrema spacing, long retention time, and analog tunability can be excited in the transistors made from mechanically exfoliated few-layer WSe 2 flakes, whereas they cannot be generated in widely studied few-layer MoS 2 transistors. Such charge-trapping characteristics of WSe 2 transistors are attributed to the exfoliation-induced interlayer deformation on the cleaved surfaces of few-layer WSe 2 flakes, which can spontaneously form ambipolar charge-trapping sites. Our additional results from surface characterization, charge-retention characterization at different temperatures, and density functional theory computation strongly support this explanation. Furthermore, our research also demonstrates that the charge-trapping states excited in multiple transistors can be calibrated into consistent multibit data storage levels. This work advances the understanding of the charge memory mechanisms in layered semiconductors, and the observed charge-trapping states could be further studied for enabling ultralow-cost multibit analog memory devices.

  2. A comparative study of amorphous InGaZnO thin-film transistors with HfOxNy and HfO2 gate dielectrics

    International Nuclear Information System (INIS)

    Zou, Xiao; Tong, Xingsheng; Fang, Guojia; Yuan, Longyan; Zhao, Xingzhong

    2010-01-01

    High-κ HfO x N y and HfO 2 films are applied to amorphous InGaZnO (a-IGZO) devices as gate dielectric using radio-frequency reactive sputtering. The electrical characteristics and reliability of a-IGZO metal–insulator–semiconductor (MIS) capacitors and thin-film transistors (TFTs) are then investigated. Experimental results indicate that the nitrogen incorporation into HfO 2 can effectively improve the interface quality and enhance the reliability of the devices. Electrical properties with an interface-state density of 5.2 × 10 11 eV −1 cm −2 , capacitance equivalent thickness of 1.65 nm, gate leakage current density of 3.4 × 10 −5 A cm −2 at V fb +1 V, equivalent permittivity of 23.6 and hysteresis voltage of 110 mV are obtained for an Al/HfO x N y /a-IGZO MIS capacitor. Superior performance of HfO x N y /a-IGZO TFTs has also been achieved with a low threshold voltage of 0.33 V, a high saturation mobility of 12.1 cm 2 V −1 s −1 and a large on–off current ratio up to 7 × 10 7 (W/L = 500/20 µm) at 3 V

  3. Studies of Ink Trapping III Direct Detection of Small Air Bubbles in Ink Layer

    Directory of Open Access Journals (Sweden)

    Ikuo Naito

    2006-12-01

    Full Text Available Ink trappings were studied by using polyethylene terephthalate (PET film with black inks for offset proofing and synthetic paper. By observing printed matter from reverse side through the PET film, we detected many air bubbles in the ink layer and between the ink layer and the PET film. They are classified roughly to two groups, small number of large ones (φ = 2 - 5 μm and many small ones (φ = 0.5 - 1.0 μm. The former ones were fixed air bubbles during the trapping. The latter ones decreased according to increase the amount of ink trapped (y. Because number of the air bubbles (Nair bubble increased with increasing the ink distribution time, they seemed to be yielded by suspension of air into the ink layer during ink distribution. By observing printed surface, we also detected many ink peaks (immediately after the trapping and pinholes (at 24 h. The numbers of the ink peaks and pinholes (Nink peak and Npinhole, respectively decreased also with increasing the y value and increased with increasing the ink distribution time. We studied effects of nip width on these values (distribution time = 2 min.; nip width = 2, 3 and 4 mm. The Nair bubble value decreased with increasing nip width contrary to increase the Nink peak and Npinhole values. The effects can be represented by differences in the values of 2 and 4 mm nip widths. At y = 2 gm-2, the difference in the Nair bubble value is about one third (synthetic paper ink or a half (offset proofing ink of the difference in the Nink peak values.

  4. Periodic molybdenum disc array for light trapping in amorphous silicon layer

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Jiwei; Deng, Changkai [International Center of Quantum and Molecular Structures, Materials Genome Institute, and Department of Physics, Shanghai University, 99 Shangda Road, Shanghai, 200444 China (China); Shanghai Advanced Research Institute, Chinese Academy of Sciences, 99 Haike Road, Shanghai, 201210 China (China); Yang, Kang; Chen, Haiyan, E-mail: chenhy@sari.ac.cn; Li, Dongdong; Chen, Xiaoyuan [Shanghai Advanced Research Institute, Chinese Academy of Sciences, 99 Haike Road, Shanghai, 201210 China (China); Ren, Wei, E-mail: renwei@shu.edu.cn [International Center of Quantum and Molecular Structures, Materials Genome Institute, and Department of Physics, Shanghai University, 99 Shangda Road, Shanghai, 200444 China (China)

    2016-05-15

    We demonstrate the light trapping effect in amorphous silicon (a-Si:H) layer by inserting a layer of periodic molybdenum disc array (MDA) between the a-Si:H layer and the quartz substrate, which forms a three-layer structure of Si/MDA/SiO{sub 2}. The MDA layer was fabricated by a new cost-effective method based on nano-imprint technology. Further light absorption enhancement was realized through altering the topography of MDA by annealing it at 700°C. The mechanism of light absorption enhancement in a-Si:H interfaced with MDA was analyzed, and the electric field distribution and light absorption curve of the different layers in the Si/MDA structure under light illumination of different wavelengths were simulated by employing numerical finite difference time domain (FDTD) solutions.

  5. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface

    International Nuclear Information System (INIS)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-01-01

    Silicon nanowire field effect transistor sensors with SiO 2 /HfO 2 as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO 2 as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  6. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    Science.gov (United States)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  7. Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy

    International Nuclear Information System (INIS)

    Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.

    2014-01-01

    Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices

  8. Surface modelling on heavy atom crystalline compounds: HfO2 and UO2 fluorite structures

    International Nuclear Information System (INIS)

    Evarestov, Robert; Bandura, Andrei; Blokhin, Eugeny

    2009-01-01

    The study of the bulk and surface properties of cubic (fluorite structure) HfO 2 and UO 2 was performed using the hybrid Hartree-Fock density functional theory linear combination of atomic orbitals simulations via the CRYSTAL06 computer code. The Stuttgart small-core pseudopotentials and corresponding basis sets were used for the core-valence interactions. The influence of relativistic effects on the structure and properties of the systems was studied. It was found that surface properties of Mott-Hubbard dielectric UO 2 differ from those found for other metal oxides with the closed-shell configuration of d-electrons

  9. Theoretical study of charge trapping levels in silicon nitride using the LDA-1/2 self-energy correction scheme for excited states

    International Nuclear Information System (INIS)

    Patrocinio, Weslley S.; Ribeiro, Mauro; Fonseca, Leonardo R.C.

    2012-01-01

    Silicon nitride, with a permittivity mid-way between SiO 2 and common high-k materials such as HfO 2 , is widely used in microelectronics as an insulating layer on top of oxides where it serves as an impurity barrier with the positive side effect of increasing the dielectric constant of the insulator when it is SiO 2 . It is also employed as charge storage in nonvolatile memory devices thanks to its high concentration of charge traps. However, in the case of memories, it is still unclear which defects are responsible for charge trapping and what is the impact of defect concentration on the structural and electronic properties of SiN x . Indeed, for the amorphous phase the band gap was measured in the range 5.1–5.5 eV, with long tails in the density of states penetrating the gap region. It is still not clear which defects are responsible for the tails. On the other hand, the K-center defects have been associated with charge trapping, though its origin is assigned to one Si back bond. To investigate the contribution of defect states to the band edge tails and band gap states, we adopted the β phase of stoichiometric silicon nitride (β-Si 3 N 4 ) as our model material and calculated its electronic properties employing ab initio DFT/LDA simulations with self-energy correction to improve the location of defect states in the SiN x band gap through the correction of the band gap underestimation typical of DFT/LDA. We considered some important defects in SiN x , as the Si anti-site and the N vacancy with H saturation, in two defect concentrations. The location of our calculated defect levels in the band gap correlates well with the available experimental data, offering a structural explanation to the measured band edge tails and charge trapping characteristics.

  10. Coexistence of different charge states in Ta-doped monoclinic HfO2: Theoretical and experimental approaches

    DEFF Research Database (Denmark)

    Taylor, M.A.; Alonso, R.E.; Errico, L.A.

    2010-01-01

    A combination of experiments and ab initio quantum-mechanical calculations has been applied to examine hyperfine interactions in Ta-doped hafnium dioxide. Although the properties of monoclinic HfO2 have been the subject of several earlier studies, some aspects remain open. In particular, time dif...

  11. Alumina and Hafnia ALD Layers for a Niobium-Doped Titanium Oxide Photoanode

    Directory of Open Access Journals (Sweden)

    Naji Al Dahoudi

    2012-01-01

    Full Text Available Niobium-doped titanium dioxide (TiO2 nanoparticles were used as a photoanode in dye-sensitized solar cells (DSCs. They showed a high photocurrent density due to their higher conductivity; however, a low open-circuit voltage was exhibited due to the back-reaction of photogenerated electrons. Atomic layer deposition is a useful technique to form a conformal ultrathin layer of Al2O3 and HfO, which act as an energy barrier to suppress the back electrons from reaching the redox medium. This resulted in an increase of the open-circuit voltage and therefore led to higher performance. HfO showed an improvement of the light-to-current conversion efficiency by 74%, higher than the 21% enhancement obtained by utilizing Al2O3 layers.

  12. Light-erasable embedded charge-trapping memory based on MoS2 for system-on-panel applications

    Science.gov (United States)

    He, Long-Fei; Zhu, Hao; Xu, Jing; Liu, Hao; Nie, Xin-Ran; Chen, Lin; Sun, Qing-Qing; Xia, Yang; Wei Zhang, David

    2017-11-01

    The continuous scaling and challenges in device integrations in modern portable electronic products have aroused many scientific interests, and a great deal of effort has been made in seeking solutions towards a more microminiaturized package assembled with smaller and more powerful components. In this study, an embedded light-erasable charge-trapping memory with a high-k dielectric stack (Al2O3/HfO2/Al2O3) and an atomically thin MoS2 channel has been fabricated and fully characterized. The memory exhibits a sufficient memory window, fast programming and erasing (P/E) speed, and high On/Off current ratio up to 107. Less than 25% memory window degradation is observed after projected 10-year retention, and the device functions perfectly after 8000 P/E operation cycles. Furthermore, the programmed device can be fully erased by incident light without electrical assistance. Such excellent memory performance originates from the intrinsic properties of two-dimensional (2D) MoS2 and the engineered back-gate dielectric stack. Our integration of 2D semiconductors in the infrastructure of light-erasable charge-trapping memory is very promising for future system-on-panel applications like storage of metadata and flexible imaging arrays.

  13. Relevance of sub-surface chip layers for the lifetime of magnetically trapped atoms

    DEFF Research Database (Denmark)

    Zhang, H. B.; Henkel, C; Haller, E.

    2005-01-01

    on the thickness of that layer, as long as the layers below have a much smaller conductivity; essentially the same magnetic noise would be obtained with a metallic membrane suspended in vacuum. Based on our theory we give general scaling laws of how to reduce the effect of surface magnetic noise on the trapped...... measurements where the center of a side guide trap is laterally shifted with respect to the current carrying wire using additional bias fields. Comparing the experiment to theory, we find a fair agreement and demonstrate that for a chip whose topmost layer is metallic, the magnetic noise depends essentially...

  14. Influence of standing-wave electric field pattern on the laser damage resistance of HfO sub 2 thin films

    CERN Document Server

    Protopapa, M L; De Tomasi, F; Di Giulio, M; Perrone, M R; Scaglione, S

    2002-01-01

    The standing-wave electric field pattern that forms inside an optical coating as a consequence of laser irradiation is one of the factors influencing the coating laser-induced damage threshold. The influence of the standing-wave electric field profile on the damage resistance to ultraviolet radiation of hafnium dioxide (HfO sub 2) thin films was investigated in this work. To this end, HfO sub 2 thin films of different thicknesses deposited by the electron beam evaporation technique at the same deposition conditions were analyzed. Laser damage thresholds of the samples were measured at 308 nm (XeCl laser) by the photoacoustic beam deflection technique and microscopic inspections. The dependence of the laser damage threshold on the standing-wave electric field pattern was analyzed.

  15. Trap effect of an ultrathin DCJTB layer in organic light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Wang Yuanmin [Institute of Optoelectronic Technology, Key Laboratory for Information Storage, Displays and Materials, Beijing Jiaotong University, Beijing 100044 (China); Teng Feng [Institute of Optoelectronic Technology, Key Laboratory for Information Storage, Displays and Materials, Beijing Jiaotong University, Beijing 100044 (China)]. E-mail: advanced9898@126.com; Xu Zheng [Institute of Optoelectronic Technology, Key Laboratory for Information Storage, Displays and Materials, Beijing Jiaotong University, Beijing 100044 (China); Hou Yanbing [Institute of Optoelectronic Technology, Key Laboratory for Information Storage, Displays and Materials, Beijing Jiaotong University, Beijing 100044 (China); Yang Shengyi [Institute of Optoelectronic Technology, Key Laboratory for Information Storage, Displays and Materials, Beijing Jiaotong University, Beijing 100044 (China); Xu Xurong [Institute of Optoelectronic Technology, Key Laboratory for Information Storage, Displays and Materials, Beijing Jiaotong University, Beijing 100044 (China)

    2005-08-15

    An improved performance of organic light-emitting diodes has been obtained by using 4-(dicyanomethylene)-2-t-butyl-6-(1,1,7,7-tetramethyljulolidyl-9-enyl) -4Hpyran (DCJTB) as an ultrathin emitting layer. When 0.1 nm DCJTB was inserted between the hole-transporting layer and electron-transporting layer, for an unoptimized device indium-tin oxide (ITO)/naphtylphenyliphenyl diamine (NPB)/DCJTB (0.1 nm)/8-hydroxyquinoline aluminum (Alq{sub 3})/Al, the maximum brightness was 1531 cd m{sup -2} at 15 V. Compared with doped devices ITO/NPB/Alq{sub 3}:DCJTB (1%)/Alq{sub 3}/LiF/Al, a higher efficiency has been achieved. Compared with the conventional device ITO/NPB/Alq{sub 3}/Al, the inserted device has a slightly higher current efficiency and lower turn-on voltage. We suggest the ultrathin DCJTB layer acts as trap for carriers, and the accumulated holes at the hole-transport layer/electron-transport layer interface have enhanced the electric field in the electron-transport layer and improved the electron injection at the cathode.

  16. Slow electron acoustic double layer (SEADL) structures in bi-ion plasma with trapped electrons

    Science.gov (United States)

    Shan, Shaukat Ali; Imtiaz, Nadia

    2018-05-01

    The properties of ion acoustic double layer (IADL) structures in bi-ion plasma with electron trapping are investigated by using the quasi-potential analysis. The κ-distributed trapped electrons number density expression is truncated to some finite order of the electrostatic potential. By utilizing the reductive perturbation method, a modified Schamel equation which describes the evolution of the slow electron acoustic double layer (SEADL) with the modified speed due to the presence of bi-ion species is investigated. The Sagdeev-like potential has been derived which accounts for the effect of the electron trapping and superthermality in a bi-ion plasma. It is found that the superthermality index, the trapping efficiency of electrons, and ion to electron temperature ratio are the inhibiting parameters for the amplitude of the slow electron acoustic double layers (SEADLs). However, the enhanced population of the cold ions is found to play a supportive role for the low frequency DLs in bi-ion plasmas. The illustrations have been presented with the help of the bi-ion plasma parameters in the Earth's ionosphere F-region.

  17. High temperature study on the thermal properties of few-layer Mo0.5W0.5S2 and effects of capping layers

    Directory of Open Access Journals (Sweden)

    Hong Gu

    Full Text Available We investigated the thermal properties of few-layer Mo0.5W0.5S2 using a series of samples with different kinds of capping layers. Temperature-dependent Raman measurements were conducted in the range of 300–500 K, with power-dependent measurements also carried out. It indicated, for the few-layer Mo0.5W0.5S2, the temperature coefficients of the WS2-like E12g mode, MoS2-like E12g mode and A1g mode were −0.0155 cm−1/K, −0.0146 cm−1/K, and −0.0130 cm−1/K, respectively. And the thermal conductivity was estimated to be 44.8 W/mK. Moreover, the Mo0.5W0.5S2 samples coated with capping layers (ZrO2, HfO2 both showed a better thermal stability and a larger thermal conductivity than the one without. The results revealed that the capping layer should be an important factor in the thermal property. Keywords: Mo0.5W0.5S2, TMDs, Thermal properties, High temperature, Capping layers, Raman

  18. Probing the thermal decomposition behaviors of ultrathin HfO2 films by an in situ high temperature scanning tunneling microscope.

    Science.gov (United States)

    Xue, Kun; Wang, Lei; An, Jin; Xu, Jianbin

    2011-05-13

    The thermal decomposition of ultrathin HfO(2) films (∼0.6-1.2 nm) on Si by ultrahigh vacuum annealing (25-800 °C) is investigated in situ in real time by scanning tunneling microscopy. Two distinct thickness-dependent decomposition behaviors are observed. When the HfO(2) thickness is ∼ 0.6 nm, no discernible morphological changes are found below ∼ 700 °C. Then an abrupt reaction occurs at 750 °C with crystalline hafnium silicide nanostructures formed instantaneously. However, when the thickness is about 1.2 nm, the decomposition proceeds gradually with the creation and growth of two-dimensional voids at 800 °C. The observed thickness-dependent behavior is closely related to the SiO desorption, which is believed to be the rate-limiting step of the decomposition process.

  19. Electron trapping in neutron-irradiated very thin films of Al2O3

    International Nuclear Information System (INIS)

    Srivastava, P.C.; Bardhan, A.R.

    1979-01-01

    Oxide layers of thicknesses less than 100 A have been prepared by thermal-oxidation of a base metal electrode film of aluminium. These films were then neutron-irradiated from a laboratory Ra-Be source to a fluence of approximately 10 11 neutrons cm -2 and the sandwich structure, Al-Al 2 O 3 -Au, was completed by depositing a thin metal film of gold over the irradiated oxide layer. D.C. steady and transient flow through the sandwich structures have been studied. Results obtained in the experiments with irradiated sandwiches have been compared with unirradiated ones to show that traps are introduced because of the damage caused by the incident neutrons. Transient voltage measurement across the junction gives a trap density of approximately 10 18 cm -3 . A capture cross-section of the order 10 -28 cm 2 is estimated for the traps. It is found that the (identified) traps are uniformly distributed within an energy of 0.099 eV below the conduction band edge of aluminium oxide. The physical nature of the traps is discussed by comparing the capture cross-sections of the physically known trapping centres. The possibility of vacancies or F-centres acting as traps (for the identified ones) has been suggested. (author)

  20. Simulation of trapping properties of high κ material as the charge storage layer for flash memory application

    International Nuclear Information System (INIS)

    Yeo, Yee Ngee; Wang Yingqian; Samanta, Santanu Kumar; Yoo, Won Jong; Samudra, Ganesh; Gao, Dongyue; Chong, Chee Ching

    2006-01-01

    We investigated the trapping properties of high κ material as the charge storage layer in non-volatile flash memory devices using a two-dimensional device simulator, Medici. The high κ material is sandwiched between two silicon oxide layers, resulting in the Silicon-Oxide-High κ-Oxide-Silicon (SOHOS) structure. The trap energy levels of the bulk electron traps in high κ material were determined. The programming and erasing voltage and time using Fowler Nordheim tunneling were estimated by simulation. The effect of deep level traps on erasing was investigated. Also, the effect of bulk traps density, thickness of block oxide and thickness of high κ material on the threshold voltage of the device was simulated

  1. Memory characteristics of silicon nitride with silicon nanocrystals as a charge trapping layer of nonvolatile memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Yang, Hyundeok; Chang, Man; Baek, Sungkweon; Hwang, Hyunsang; Jeon, Sanghun; Kim, Juhyung; Kim, Chungwoo

    2005-01-01

    Silicon nitride with silicon nanocrystals formed by low-energy silicon plasma immersion ion implantation has been investigated as a charge trapping layer of a polycrystalline silicon-oxide-nitride-oxide-silicon-type nonvolatile memory device. Compared with the control sample without silicon nanocrystals, silicon nitride with silicon nanocrystals provides excellent memory characteristics, such as larger width of capacitance-voltage hysteresis, higher program/erase speed, and lower charge loss rate at elevated temperature. These improved memory characteristics are derived by incorporation of silicon nanocrystals into the charge trapping layer as additional accessible charge traps with a deeper effective trap energy level

  2. MoO3 trapping layers with CF4 plasma treatment in flash memory applications

    International Nuclear Information System (INIS)

    Kao, Chuyan Haur; Chen, Hsiang; Chen, Su-Zhien; Chen, Chian Yu; Lo, Kuang-Yu; Lin, Chun Han

    2014-01-01

    Highlights: • MoO 3 -based flash memories have been fabricated. • CF4 plasma treatment could enhance good memory performance. • Material analyses confirm that plasma treatment eliminated defects. • Fluorine atoms might fix the dangling bonds. - Abstract: In this research, we used MoO 3 with CF 4 plasma treatment as charge trapping layer in metal-oxide-high-k -oxide-Si-type memory. We analyzed material properties and electrical characteristics with multiple analyses. The plasma treatment could increase the trapping density, reduce the leakage current, expand band gap, and passivate the defect to enhance the memory performance. The MoO 3 charge trapping layer memory with suitable CF 4 plasma treatment is promising for future nonvolatile memory applications

  3. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    Science.gov (United States)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  4. Effect of current compliance and voltage sweep rate on the resistive switching of HfO2/ITO/Invar structure as measured by conductive atomic force microscopy

    International Nuclear Information System (INIS)

    Wu, You-Lin; Liao, Chun-Wei; Ling, Jing-Jenn

    2014-01-01

    The electrical characterization of HfO 2 /ITO/Invar resistive switching memory structure was studied using conductive atomic force microscopy (AFM) with a semiconductor parameter analyzer, Agilent 4156C. The metal alloy Invar was used as the metal substrate to ensure good ohmic contact with the substrate holder of the AFM. A conductive Pt/Ir AFM tip was placed in direct contact with the HfO 2 surface, such that it acted as the top electrode. Nanoscale current-voltage (I-V) characteristics of the HfO 2 /ITO/Invar structure were measured by applying a ramp voltage through the conductive AFM tip at various current compliances and ramp voltage sweep rates. It was found that the resistance of the low resistance state (RLRS) decreased with increasing current compliance value, but resistance of high resistance state (RHRS) barely changed. However, both the RHRS and RLRS decreased as the voltage sweep rate increased. The reasons for this dependency on current compliance and voltage sweep rate are discussed.

  5. In2Ga2ZnO7 oxide semiconductor based charge trap device for NAND flash memory

    Science.gov (United States)

    Hwang, Eun Suk; Kim, Jun Shik; Jeon, Seok Min; Lee, Seung Jun; Jang, Younjin; Cho, Deok-Yong; Hwang, Cheol Seong

    2018-04-01

    The programming characteristics of charge trap flash memory device adopting amorphous In2Ga2ZnO7 (a-IGZO) oxide semiconductors as channel layer were evaluated. Metal-organic chemical vapor deposition (MOCVD) and RF-sputtering processes were used to grow a 45 nm thick a-IGZO layer on a 20 nm thick SiO2 (blocking oxide)/p++-Si (control gate) substrate, where 3 nm thick atomic layer deposited Al2O3 (tunneling oxide) and 5 nm thick low-pressure CVD Si3N4 (charge trap) layers were intervened between the a-IGZO and substrate. Despite the identical stoichiometry and other physicochemical properties of the MOCVD and sputtered a-IGZO, a much faster programming speed of MOCVD a-IGZO was observed. A comparable amount of oxygen vacancies was found in both MOCVD and sputtered a-IGZO, confirmed by x-ray photoelectron spectroscopy and bias-illumination-instability test measurements. Ultraviolet photoelectron spectroscopy analysis revealed a higher Fermi level (E F) of the MOCVD a-IGZO (∼0.3 eV) film than that of the sputtered a-IGZO, which could be ascribed to the higher hydrogen concentration in the MOCVD a-IGZO film. Since the programming in a flash memory device is governed by the tunneling of electrons from the channel to charge trapping layer, the faster programming performance could be the result of a higher E F of MOCVD a-IGZO.

  6. Uniform Self-rectifying Resistive Switching Behavior via Preformed Conducting Paths in a Vertical-type Ta2O5/HfO2-x Structure with a Sub-μm(2) Cell Area.

    Science.gov (United States)

    Yoon, Jung Ho; Yoo, Sijung; Song, Seul Ji; Yoon, Kyung Jean; Kwon, Dae Eun; Kwon, Young Jae; Park, Tae Hyung; Kim, Hye Jin; Shao, Xing Long; Kim, Yumin; Hwang, Cheol Seong

    2016-07-20

    To replace or succeed the present NAND flash memory, resistive switching random access memory (ReRAM) should be implemented in the vertical-type crossbar array configuration. The ReRAM cell must have a highly reproducible resistive switching (RS) performance and an electroforming-free, self-rectifying, low-power-consumption, multilevel-switching, and easy fabrication process with a deep sub-μm(2) cell area. In this work, a Pt/Ta2O5/HfO2-x/TiN RS memory cell fabricated in the form of a vertical-type structure was presented as a feasible contender to meet the above requirements. While the fundamental RS characteristics of this material based on the electron trapping/detrapping mechanisms have been reported elsewhere, the influence of the cell scaling size to 0.34 μm(2) on the RS performance by adopting the vertical integration scheme was carefully examined in this work. The smaller cell area provided much better switching uniformity while all the other benefits of this specific material system were preserved. Using the overstressing technique, the nature of RS through the localized conducting path was further examined, which elucidated the fundamental difference between the present material system and the general ionic-motion-related bipolar RS mechanism.

  7. The charge storage characteristics of ZrO2 nanocrystallite-based charge trap nonvolatile memory

    International Nuclear Information System (INIS)

    Tang Zhen-Jie; Li Rong; Yin Jiang

    2013-01-01

    ZrO 2 nanocrystallite-based charge trap flash memory capacitors incorporating a (ZrO 2 ) 0.6 (SiO 2 ) 0.4 pseudobinary high-k oxide film as the charge trapping layer were prepared and investigated. The precipitation reaction in the charge trapping layer, forming ZrO 2 nanocrystallites during rapid thermal annealing, was investigated by transmission electron microscopy and X-ray diffraction. It was observed that a ZrO 2 nanocrystallite-based memory capacitor after post-annealing at 850 °C for 60 s exhibits a maximum memory window of about 6.8 V, good endurance and a low charge loss of ∼25% over a period of 10 years (determined by extrapolating the charge loss curve measured experimentally), even at 85 °C. Such 850 °C-annealed memory capacitors appear to be candidates for future nonvolatile flash memory device applications

  8. Critical Parameters and Critical-Region (p,ρ ,T) Data of trans-1,1,1,3-Tetrafluorobut-2-ene [HFO-1354mzy(E)

    Science.gov (United States)

    Kimura, Takeru; Kayukawa, Yohei; Miyamoto, Hiroyuki; Saito, Kiyoshi

    2017-08-01

    This study presents the experimental measurement of the pρ T properties and critical parameters of a low GWP type refrigerant, trans-1,1,1,3-Tetrafluorobut-2-ene (HFO-1354mzy(E)). The sample purity of the substance was 99 area %. p ρ T property measurements and visual observations of the meniscus of HFO-1354mzy(E) were carried out using a metal-bellows volumometer with an optical cell. The critical temperature was determined by observation of the critical opalescence. The critical pressure and critical density were determined as the inflection point of the isothermal p ρ T property data at the critical temperature. For more precise clarification of the thermodynamic surface in the vicinity of the critical point, additional p ρ T property measurements were carried out on three isotherms in the supercritical region. The expanded uncertainties (k = 2) in the temperature, pressure, and density measurements were estimated to be less than 3 mK, 1.2 kPa, and 0.32 \\hbox {kg} \\cdot \\hbox {m}^{-3}, respectively. The expanded uncertainties of the critical parameters were estimated to be less than 13 mK, 1.4 kPa, and 2.3 \\hbox {kg} \\cdot \\hbox {m}^{-3}, respectively. These values are the first reported for HFO-1354mzy(E) and are necessary for the development of its equation of state in the near future.

  9. Determination of diffuse double layer protonation constants for hydrous ferric oxide (HFO): supporting evidence for the Dzombak and Morel compilation

    CSIR Research Space (South Africa)

    Pretorius, PJ

    1998-01-01

    Full Text Available of the experimental system suggests that titration points below pH 4 should not be used for the determination of protonation constants because of potential HFO dissolution. Surface protonation constant, PZC and binding site estimates agree excellently with currently...

  10. A Numerical Study of Spray Characteristics in Medium Speed Engine Fueled by Different HFO/n-Butanol Blends

    Directory of Open Access Journals (Sweden)

    Hashem Nowruzi

    2014-01-01

    Full Text Available In the present study, nonreacting and nonevaporating spray characteristics of heavy fuel oil (HFO/n-butanol blends are numerically investigated under two different high pressure injections in medium speed engines. An Eulerian-Lagrangian multiphase scheme is used to simulate blend of C14H30 as HFO and 0%, 10%, 15%, and 20% by volume of n-butanol. OpenFOAM CFD toolbox is modified and implemented to study the effect of different blends of HFO/n-butanol on the spray characteristics at 600 and 1000 bar. To validate the presented simulations, current numerical results are compared against existing experimental data and good compliance is achieved. Based on the numerical findings, addition of n-butanol to HFO increases the particles volume in parcels at 600 bar. It was also found that blend fuels increase the number of spray particles and the average velocity of spray compared to pure HFO. Moreover, under injection pressure of 1000 bar, HFO/n-butanol blends compared to pure HFO fuel decrease particles volume in parcels of spray. Another influence of HFO/n-butanol blends is the decrease in average of particles diameter in parcels. Meanwhile, the effect of HFO/n-butanol on spray length is proved to be negligible. Finally, it can be concluded that higher injection pressure improves the spray efficiency.

  11. Double vacancy on BN layer: A natural trap for Hydrogen Molecule

    International Nuclear Information System (INIS)

    Arellano, J S

    2015-01-01

    A pair of vacancies, one of boron and other of nitrogen atom at a flat layer becomes a natural trap to capture a hydrogen molecule at the center of the cavity defined by the empty space left by the lack of a nitrogen and a boron atom at the perfect BN layer formed by 16 N atoms and 16 B atoms. The adsorption of the hydrogen molecule is compared with the equivalent graphene layer with a pair of carbon vacancies. The little increase in the BN cell parameter respect to the graphene cell parameter, besides the differences between N, B and C atoms helps to explain the easier adsorption on the defective BN layer

  12. Characteristics of multilevel storage and switching dynamics in resistive switching cell of Al2O3/HfO2/Al2O3 sandwich structure

    Science.gov (United States)

    Liu, Jian; Yang, Huafeng; Ma, Zhongyuan; Chen, Kunji; Zhang, Xinxin; Huang, Xinfan; Oda, Shunri

    2018-01-01

    We reported an Al2O3/HfO2/Al2O3 sandwich structure resistive switching device with significant improvement of multilevel cell (MLC) operation capability, which exhibited that four stable and distinct resistance states (one low resistance state and three high resistance states) can be achieved by controlling the Reset stop voltages (V Reset-stop) during the Reset operation. The improved MLC operation capability can be attributed to the R HRS/R LRS ratio enhancement resulting from increasing of the series resistance and decreasing of leakage current by inserting two Al2O3 layers. For the high-speed switching applications, we studied the initial switching dynamics by using the measurements of the pulse width and amplitude dependence of Set and Reset switching characteristics. The results showed that under the same pulse amplitude conditions, the initial Set progress is faster than the initial Reset progress, which can be explained by thermal-assisted electric field induced rupture model in the oxygen vacancies conductive filament. Thus, proper combination of varying pulse amplitude and width can help us to optimize the device operation parameters. Moreover, the device demonstrated ultrafast program/erase speed (10 ns) and good pulse switching endurance (105 cycles) characteristics, which are suitable for high-density and fast-speed nonvolatile memory applications.

  13. HFO operation with CR injection

    Energy Technology Data Exchange (ETDEWEB)

    Poensgen, Christian [MAN-Diesel und Turbo SE, Augsburg (Germany)

    2011-07-01

    In 1996 MAN Diesel and Turbo SE started the development of a CR-system for medium speed engines for HFO operation up to fuel viscosity of 700 cSt. 2004 the first field test engine, a 7L 32/40 GenSet was put into service as a retrofit and collected up to now more than 20.000 running hours operated on HFO on a large container vessel. Meanwhile several L32/40 CR GenSets, L32/44 CR, V48/60 CR and L21/31 CR engines collected more than 100000 running hours in HFO operation before MAN Diesel started up the serial production of the new 32/44 CR and 48/60 CR engines. All of these engines are still in service. The paper will give an overview about the field experience and countermeasures which were necessary to develop a reliable product which fulfills the customers' demands concerning low fuel oil consumption, invisible smoke over the whole load range, low emission levels and maintenance costs. The experience was made in a wide range of applications such as GenSet, Cruise Vessel main propulsion and ferry main propulsion running 24h/day. The field test engines reached an availability of more than 90% per year. The paper also will point out the win/win situation for the the manufacturer and customer to participate in the development of the CR technology. For customers satisfaction MAN Diesel provides help for easy handling like online access per satellite connection, easy leakage detection and operator training at site or at the new built academies. The flexibility of the CR-system is the base frame for the future development of engines which fulfills IMO TIER II and IMO TIER III with high efficiency. The necessary reliability, a must, has been proven in the field under real conditions. (orig.)

  14. Self-trapped excitonic green emission from layered semiconductors

    International Nuclear Information System (INIS)

    Miah, M. Idrish

    2009-01-01

    Crystals of layered semiconductor are grown by Bridgman technique and are studied them under two-photon excitation by a Q-switched 20-ns pulse laser. The photoluminescence (PL) emission spectra of the crystals are measured at various pumping powers and temperatures. The PL spectra appear broad and structureless emissions with their peaks in the green spectral region. The characteristic emissions are from self-trapped excitons of the crystals. An analysis of the spectra measured at various pumping powers shows a quadratic dependence of the PL peak intensity on the power, confirming a biphotonic process of the two-photon pumping. The temperature dependence shows an enhancement of the nonlinear response at low temperatures. The activation energy is estimated and found to be 2.4 meV. The roles of the bound excitons in the observed PL are discussed briefly.

  15. Self-trapped excitonic green emission from layered semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Miah, M. Idrish, E-mail: m.miah@griffith.edu.au [Nanoscale Science and Technology Centre, Griffith University, Nathan, Brisbane, QLD 4111 (Australia); School of Biomolecular and Physical Sciences, Griffith University, Nathan, Brisbane, QLD 4111 (Australia); Department of Physics, University of Chittagong, Chittagong 4331 (Bangladesh)

    2009-08-15

    Crystals of layered semiconductor are grown by Bridgman technique and are studied them under two-photon excitation by a Q-switched 20-ns pulse laser. The photoluminescence (PL) emission spectra of the crystals are measured at various pumping powers and temperatures. The PL spectra appear broad and structureless emissions with their peaks in the green spectral region. The characteristic emissions are from self-trapped excitons of the crystals. An analysis of the spectra measured at various pumping powers shows a quadratic dependence of the PL peak intensity on the power, confirming a biphotonic process of the two-photon pumping. The temperature dependence shows an enhancement of the nonlinear response at low temperatures. The activation energy is estimated and found to be 2.4 meV. The roles of the bound excitons in the observed PL are discussed briefly.

  16. Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric

    Science.gov (United States)

    Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna

    2016-10-01

    In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.

  17. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    Science.gov (United States)

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  18. High-k shallow traps observed by charge pumping with varying discharging times

    International Nuclear Information System (INIS)

    Ho, Szu-Han; Chen, Ching-En; Tseng, Tseung-Yuen; Chang, Ting-Chang; Lu, Ying-Hsin; Lo, Wen-Hung; Tsai, Jyun-Yu; Liu, Kuan-Ju; Wang, Bin-Wei; Cao, Xi-Xin; Chen, Hua-Mao; Cheng, Osbert; Huang, Cheng-Tung; Chen, Tsai-Fu

    2013-01-01

    In this paper, we investigate the influence of falling time and base level time on high-k bulk shallow traps measured by charge pumping technique in n-channel metal-oxide-semiconductor field-effect transistors with HfO 2 /metal gate stacks. N T -V high level characteristic curves with different duty ratios indicate that the electron detrapping time dominates the value of N T for extra contribution of I cp traps. N T is the number of traps, and I cp is charge pumping current. By fitting discharge formula at different temperatures, the results show that extra contribution of I cp traps at high voltage are in fact high-k bulk shallow traps. This is also verified through a comparison of different interlayer thicknesses and different Ti x N 1−x metal gate concentrations. Next, N T -V high level characteristic curves with different falling times (t falling time ) and base level times (t base level ) show that extra contribution of I cp traps decrease with an increase in t falling time . By fitting discharge formula for different t falling time , the results show that electrons trapped in high-k bulk shallow traps first discharge to the channel and then to source and drain during t falling time . This current cannot be measured by the charge pumping technique. Subsequent measurements of N T by charge pumping technique at t base level reveal a remainder of electrons trapped in high-k bulk shallow traps

  19. Analytical constraints on layered gas trapping and smoothing of atmospheric variability in ice under low-accumulation conditions

    Directory of Open Access Journals (Sweden)

    K. Fourteau

    2017-12-01

    Full Text Available We investigate for the first time the loss and alteration of past atmospheric information from air trapping mechanisms under low-accumulation conditions through continuous CH4 (and CO measurements. Methane concentration changes were measured over the Dansgaard–Oeschger event 17 (DO-17,  ∼  60 000 yr BP in the Antarctic Vostok 4G-2 ice core. Measurements were performed using continuous-flow analysis combined with laser spectroscopy. The results highlight many anomalous layers at the centimeter scale that are unevenly distributed along the ice core. The anomalous methane mixing ratios differ from those in the immediate surrounding layers by up to 50 ppbv. This phenomenon can be theoretically reproduced by a simple layered trapping model, creating very localized gas age scale inversions. We propose a method for cleaning the record of anomalous values that aims at minimizing the bias in the overall signal. Once the layered-trapping-induced anomalies are removed from the record, DO-17 appears to be smoother than its equivalent record from the high-accumulation WAIS Divide ice core. This is expected due to the slower sinking and densification speeds of firn layers at lower accumulation. However, the degree of smoothing appears surprisingly similar between modern and DO-17 conditions at Vostok. This suggests that glacial records of trace gases from low-accumulation sites in the East Antarctic plateau can provide a better time resolution of past atmospheric composition changes than previously expected. We also developed a numerical method to extract the gas age distributions in ice layers after the removal of the anomalous layers based on comparison with a weakly smoothed record. It is particularly adapted for the conditions of the East Antarctic plateau, as it helps to characterize smoothing for a large range of very low-temperature and low-accumulation conditions.

  20. High reflectivity YDH/SiO2 distributed Bragg reflector for UV-C wavelength regime

    KAUST Repository

    Alias, Mohd Sharizal

    2018-02-15

    A distributed Bragg reflector (DBR) composed of Y2O3-doped HfO2 (YDH)/SiO2 layers with high reflectivity spectrum centered at a wavelength of ~240 nm is deposited using radio-frequency magnetron sputtering. Before the DBR deposition, optical properties for a single layer of YDH, SiO2, and HfO2 thin films were studied using spectroscopic ellipsometry and spectrophotometry. To investigate the performance of YDH as a material for the high refractive index layer in the DBR, a comparison of its optical properties was made with HfO2 thin films. Due to larger optical bandgap, the YDH thin films demonstrated higher transparency, lower extinction coefficient, and lower absorption coefficient in the UV-C regime (especially for wavelengths below 250 nm) compared to the HfO2 thin films. The deposited YDH/SiO2 DBR consisting of 15 periods achieved a reflectivity higher than 99.9% at the wavelength of ~240 nm with a stopband of ~50 nm. The high reflectivity and broad stopband of YDH/SiO2 DBRs will enable further advancement of various photonic devices such as vertical-cavity surface-emitting lasers, resonant-cavity light-emitting diodes, and resonant-cavity photodetectors operating in the UV-C wavelength regime.

  1. On the Control of the Fixed Charge Densities in Al2O3-Based Silicon Surface Passivation Schemes.

    Science.gov (United States)

    Simon, Daniel K; Jordan, Paul M; Mikolajick, Thomas; Dirnstorfer, Ingo

    2015-12-30

    A controlled field-effect passivation by a well-defined density of fixed charges is crucial for modern solar cell surface passivation schemes. Al2O3 nanolayers grown by atomic layer deposition contain negative fixed charges. Electrical measurements on slant-etched layers reveal that these charges are located within a 1 nm distance to the interface with the Si substrate. When inserting additional interface layers, the fixed charge density can be continuously adjusted from 3.5 × 10(12) cm(-2) (negative polarity) to 0.0 and up to 4.0 × 10(12) cm(-2) (positive polarity). A HfO2 interface layer of one or more monolayers reduces the negative fixed charges in Al2O3 to zero. The role of HfO2 is described as an inert spacer controlling the distance between Al2O3 and the Si substrate. It is suggested that this spacer alters the nonstoichiometric initial Al2O3 growth regime, which is responsible for the charge formation. On the basis of this charge-free HfO2/Al2O3 stack, negative or positive fixed charges can be formed by introducing additional thin Al2O3 or SiO2 layers between the Si substrate and this HfO2/Al2O3 capping layer. All stacks provide very good passivation of the silicon surface. The measured effective carrier lifetimes are between 1 and 30 ms. This charge control in Al2O3 nanolayers allows the construction of zero-fixed-charge passivation layers as well as layers with tailored fixed charge densities for future solar cell concepts and other field-effect based devices.

  2. Rapid formation of nanocrystalline HfO2 powders from amorphous hafnium hydroxide under ultrasonically assisted hydrothermal treatment

    International Nuclear Information System (INIS)

    Meskin, Pavel E.; Sharikov, Felix Yu.; Ivanov, Vladimir K.; Churagulov, Bulat R.; Tretyakov, Yury D.

    2007-01-01

    Peculiarities of hafnium hydroxide hydrothermal decomposition were studied by in situ heat flux calorimetry for the first time. It was shown that this process occurs in one exothermal stage (ΔH = -17.95 kJ mol -1 ) at 180-250 deg. C resulting in complete crystallization of amorphous phase with formation of pure monoclinic HfO 2 . It was found that the rate of m-HfO 2 formation can be significantly increased by combining hydrothermal treatment with simultaneous ultrasonic activation

  3. HfO2 - rare earth oxide systems in the region with high content of rare earth oxide

    International Nuclear Information System (INIS)

    Shevchenko, A.V.; Lopato, L.M.

    1982-01-01

    Using the methods of annealing and hardenings (10 2 -10 4 deg/s cooling rate) and differential thermal analysis elements of state diagrams of HfO 2 - rare earth oxide (rare earths-La, Pr, Nd, Sm, Gd, Tb, Dy, Y, Er, Yb, Lu, Sc) systems from 1800 deg C up to melting in the range of 60-100 mol% rare earth oxide concentration were constructed. Regularities of HfQ 2 addition effect on high-temperature polymorphic transformations of rare earth oxides were studied. Results of investigation were discussed from viewpoint of crystal chemistry

  4. Intrinsic charge trapping in amorphous oxide films: status and challenges

    Science.gov (United States)

    Strand, Jack; Kaviani, Moloud; Gao, David; El-Sayed, Al-Moatasem; Afanas’ev, Valeri V.; Shluger, Alexander L.

    2018-06-01

    We review the current understanding of intrinsic electron and hole trapping in insulating amorphous oxide films on semiconductor and metal substrates. The experimental and theoretical evidences are provided for the existence of intrinsic deep electron and hole trap states stemming from the disorder of amorphous metal oxide networks. We start from presenting the results for amorphous (a) HfO2, chosen due to the availability of highest purity amorphous films, which is vital for studying their intrinsic electronic properties. Exhaustive photo-depopulation spectroscopy measurements and theoretical calculations using density functional theory shed light on the atomic nature of electronic gap states responsible for deep electron trapping observed in a-HfO2. We review theoretical methods used for creating models of amorphous structures and electronic structure calculations of amorphous oxides and outline some of the challenges in modeling defects in amorphous materials. We then discuss theoretical models of electron polarons and bi-polarons in a-HfO2 and demonstrate that these intrinsic states originate from low-coordinated ions and elongated metal-oxygen bonds in the amorphous oxide network. Similarly, holes can be captured at under-coordinated O sites. We then discuss electron and hole trapping in other amorphous oxides, such as a-SiO2, a-Al2O3, a-TiO2. We propose that the presence of low-coordinated ions in amorphous oxides with electron states of significant p and d character near the conduction band minimum can lead to electron trapping and that deep hole trapping should be common to all amorphous oxides. Finally, we demonstrate that bi-electron trapping in a-HfO2 and a-SiO2 weakens Hf(Si)–O bonds and significantly reduces barriers for forming Frenkel defects, neutral O vacancies and O2‑ ions in these materials. These results should be useful for better understanding of electronic properties and structural evolution of thin amorphous films under carrier injection

  5. Ab initio study of mechanical and thermo-acoustic properties of tough ceramics: applications to HfO2 in its cubic and orthorhombic phase

    International Nuclear Information System (INIS)

    Ponce, C A; Casali, R A; Caravaca, M A

    2008-01-01

    By means of the ab initio all-electron new full-potential linear-muffin-tin orbitals method, calculations were made for elastic constants C 11 , C 12 and C 44 for Si, ZrO 2 and HfO 2 in their cubic phase, and constants C 11 , C 22 , C 33 , C 12 , C 13 , C 23 , C 44 , C 55 and C 66 for HfO 2 in its orthorhombic phase. Using the Voigt and Reuss theory, estimations were made for polycrystals of their bulk, shear and Young moduli, and Poisson coefficients. The speed of elastic wave propagations and Debye temperatures were estimated for polycrystals built from Si and the above mentioned compounds. The semicore 4f 14 electrons should be included in the valence set of Hf atom in this all-electron approach if accurate results for elastic properties under pressures are looked for

  6. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  7. First principle simulations on the effects of oxygen vacancy in HfO2-based RRAM

    Directory of Open Access Journals (Sweden)

    Yuehua Dai

    2015-01-01

    Full Text Available HfO2-based resistive random access memory (RRAM takes advantage of oxygen vacancy (V o defects in its principle of operation. Since the change in resistivity of the material is controlled by the level of oxygen deficiency in the material, it is significantly important to study the performance of oxygen vacancies in formation of conductive filament. Excluding effects of the applied voltage, the Vienna ab initio simulation package (VASP is used to investigate the orientation and concentration mechanism of the oxygen vacancies based on the first principle. The optimal value of crystal orientation [010] is identified by means of the calculated isosurface plots of partial charge density, formation energy, highest isosurface value, migration barrier, and energy band of oxygen vacancy in ten established orientation systems. It will effectively influence the SET voltage, forming voltage, and the ON/OFF ratio of the device. Based on the results of orientation dependence, different concentration models are established along crystal orientation [010]. The performance of proposed concentration models is evaluated and analyzed in this paper. The film is weakly conductive for the samples deposited in a mixture with less than 4.167at.% of V o contents, and the resistive switching (RS phenomenon cannot be observed in this case. The RS behavior improves with an increase in the V o contents from 4.167at.% to 6.25at.%; nonetheless, it is found difficult to switch to a stable state. However, a higher V o concentration shows a more favorable uniformity and stability for HfO2-based RRAM.

  8. Low-Temperature Deposition of Layered SnSe2 for Heterojunction Diodes

    KAUST Repository

    Serna, Martha I.

    2018-04-27

    Tin diselenide (SnSe) has been recently investigated as an alternative layered metal dichalcogenide due to its unique electrical and optoelectronics properties. Although there are several reports on the deposition of layered crystalline SnSe films by chemical and physical methods, synthesis methods like pulsed laser deposition (PLD) are not reported. An attractive feature of PLD is that it can be used to grow 2D films over large areas. In this report, a deposition process to grow stoichiometric SnSe on different substrates such as single crystals (Sapphire) and amorphous oxides (SiO and HfO) is reported. A detailed process flow for the growth of 2D SnSe at temperatures of 300 °C is presented, which is substantially lower than temperatures used in chemical vapor deposition and molecular beam epitaxy. The 2D SnSe films exhibit a mobility of ≈4.0 cm V s, and are successfully used to demonstrate SnSe/p-Si heterojunction diodes. The diodes show I /I ratios of 10-10 with a turn on voltage of <0.5 V, and ideality factors of 1.2-1.4, depending on the SnSe film growth conditions.

  9. Electrical performance of multilayer MoS2 transistors on high-κ Al2O3 coated Si substrates

    Directory of Open Access Journals (Sweden)

    Tao Li

    2015-05-01

    Full Text Available The electrical performance of MoS2 can be engineered by introducing high-κ dielectrics, while the interactions between high-κ dielectrics and MoS2 need to be studied. In this study, multilayer MoS2 field-effect transistors (FETs with a back-gated configuration were fabricated on high-κ Al2O3 coated Si substrates. Compared with MoS2 FETs on SiO2, the field-effect mobility (μFE and subthreshold swing (SS were remarkably improved in MoS2/Al2O3/Si. The improved μFE was thought to result from the dielectric screening effect from high-κ Al2O3. When a HfO2 passivation layer was introduced on the top of MoS2/Al2O3/Si, the field-effect mobility was further enhanced, which was thought to be concerned with the decreased contact resistance between the metal and MoS2. Meanwhile, the interface trap density increased from 2.4×1012 eV−1cm−2 to 6.3×1012 eV−1cm−2. The increase of the off-state current and the negative shift of the threshold voltage may be related to the increase of interface traps.

  10. Biotransformation of 2,3,3,3-tetrafluoropropene (HFO-1234yf) in male, pregnant and non-pregnant female rabbits after single high dose inhalation exposure

    International Nuclear Information System (INIS)

    Schmidt, Tobias; Bertermann, Rüdiger; Rusch, George M.; Hoffman, Gary M.; Dekant, Wolfgang

    2012-01-01

    2,3,3,3-Tetrafluoropropene (HFO-1234yf) is a novel refrigerant intended for use in mobile air conditioning. It showed a low potential for toxicity in rodents studies with most NOAELs well above 10,000 ppm in guideline compliant toxicity studies. However, a developmental toxicity study in rabbits showed mortality at exposure levels of 5,500 ppm and above. No lethality was observed at exposure levels of 2,500 and 4,000 ppm. Nevertheless, increased subacute inflammatory heart lesions were observed in rabbits at all exposure levels. Since the lethality in pregnant animals may be due to altered biotransformation of HFO-1234yf and to evaluate the potential risk to pregnant women facing a car crash, this study compared the acute toxicity and biotransformation of HFO-1234yf in male, female and pregnant female rabbits. Animals were exposed to 50,000 ppm and 100,000 ppm for 1 h. For metabolite identification by 19 F NMR and LC/MS-MS, urine was collected for 48 h after inhalation exposure. In all samples, the predominant metabolites were S-(3,3,3-trifluoro-2-hydroxypropanyl)-mercaptolactic acid and N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine. Since no major differences in urinary metabolite pattern were observed between the groups, only N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine excretion was quantified. No significant differences in recovery between non-pregnant (43.10 ± 22.35 μmol) and pregnant female (50.47 ± 19.72 μmol) rabbits were observed, male rabbits exposed to 100,000 ppm for one hour excreted 86.40 ± 38.87 μmol. Lethality and clinical signs of toxicity were not observed in any group. The results suggest that the lethality of HFO-1234yf in pregnant rabbits unlikely is due to changes in biotransformation patterns or capacity in pregnant rabbits. -- Highlights: ► No lethality and clinical signs were observed. ► No differences in metabolic pattern between pregnant and non-pregnant rabbits. ► Rapid and similar metabolite

  11. Biotransformation of 2,3,3,3-tetrafluoropropene (HFO-1234yf) in male, pregnant and non-pregnant female rabbits after single high dose inhalation exposure

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Tobias [Institut für Toxikologie, Universität Würzburg, Versbacher Str. 9, 97078 Würzburg (Germany); Bertermann, Rüdiger [Institut für Anorganische Chemie, Universität Würzburg, Am Hubland, 97074 Würzburg (Germany); Rusch, George M. [Honeywell, P.O. Box 1057, Morristown, NJ 07962–1057 (United States); Hoffman, Gary M. [Huntingdon Life Sciences., East Millstone, NJ (United States); Dekant, Wolfgang, E-mail: dekant@toxi.uni-wuerzburg.de [Institut für Toxikologie, Universität Würzburg, Versbacher Str. 9, 97078 Würzburg (Germany)

    2012-08-15

    2,3,3,3-Tetrafluoropropene (HFO-1234yf) is a novel refrigerant intended for use in mobile air conditioning. It showed a low potential for toxicity in rodents studies with most NOAELs well above 10,000 ppm in guideline compliant toxicity studies. However, a developmental toxicity study in rabbits showed mortality at exposure levels of 5,500 ppm and above. No lethality was observed at exposure levels of 2,500 and 4,000 ppm. Nevertheless, increased subacute inflammatory heart lesions were observed in rabbits at all exposure levels. Since the lethality in pregnant animals may be due to altered biotransformation of HFO-1234yf and to evaluate the potential risk to pregnant women facing a car crash, this study compared the acute toxicity and biotransformation of HFO-1234yf in male, female and pregnant female rabbits. Animals were exposed to 50,000 ppm and 100,000 ppm for 1 h. For metabolite identification by {sup 19}F NMR and LC/MS-MS, urine was collected for 48 h after inhalation exposure. In all samples, the predominant metabolites were S-(3,3,3-trifluoro-2-hydroxypropanyl)-mercaptolactic acid and N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine. Since no major differences in urinary metabolite pattern were observed between the groups, only N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine excretion was quantified. No significant differences in recovery between non-pregnant (43.10 ± 22.35 μmol) and pregnant female (50.47 ± 19.72 μmol) rabbits were observed, male rabbits exposed to 100,000 ppm for one hour excreted 86.40 ± 38.87 μmol. Lethality and clinical signs of toxicity were not observed in any group. The results suggest that the lethality of HFO-1234yf in pregnant rabbits unlikely is due to changes in biotransformation patterns or capacity in pregnant rabbits. -- Highlights: ► No lethality and clinical signs were observed. ► No differences in metabolic pattern between pregnant and non-pregnant rabbits. ► Rapid and similar metabolite

  12. Defect layer in SiO2-Sic interface proved by a slow positron beam

    International Nuclear Information System (INIS)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Miyashita, A.; Suzuki, R.; Ohdaira, T.

    2006-01-01

    The structure of the SiO 2 -4ph-SiC interface layer produced by dry oxidation has been studied by positron annihilation spectroscopy using slow positron beams. From Doppler broadening measurements, the interface layer was clearly distinguished from the SiO 2 and SiC layers and was observed to be defective. At the interface layer, a single long positron lifetime of 451 ps, which is close to the second lifetime in the SiO 2 layer, was obtained, thus suggesting that the structure of the interface layer resembles an amorphous SiO 2 network. A comparison was made between the obtained electron momentum distribution at the interface layer and the theoretical calculation. It was found that positrons annihilate with oxygen valence electrons. By annealing after the oxidation, the annihilation probability of the positrons with oxygen valence electrons and the number of interface traps decreased in the same temperature range, thus suggesting a correlation between interface traps and positron annihilation sites

  13. Charge trapping/de-trapping in nitrided SiO2 dielectrics and its influence on device reliability

    Science.gov (United States)

    Kambour, Kenneth; Hjalmarson, Harold; Nguyen, Duc; Kouhestani, Camron; Devine, Roderick

    2012-02-01

    Field effect devices with insulator gate dielectrics are excellent test vehicles to probe the physics of defects and charge trapping in the insulator/ semiconductor structure. p-channel field effect device reliability under negative bias stressing has been identified to originate from at least two terms: a) charged defect generation at the Si substrate/SiOxNy interface and b) charge trapping at neutral defect pre-cursors in the ``bulk'' of the SiOxNy beyond the interface. Measurements of transistor characteristics enable extraction of the two terms. We report the results of such measurements and demonstrate that short time effects are associated primarily with electric field assisted tunneling of holes from the inversion layer to neutral traps. This is confirmed by bias stressing measurements at different frequencies in the range 1 Hz to 2 MHz. First principles modeling of the tunneling/trapping phenomena is presented. K.Kambour worked under contract FA9453-08-C-0245 with the Air Force Research Laboratory/RVSE. Sandia National Labs is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  14. The performance of Y2O3 as interface layer between La2O3 and p-type silicon substrate

    Directory of Open Access Journals (Sweden)

    Shulong Wang

    2016-11-01

    Full Text Available In this study, the performance of Y2O3 as interface layer between La2O3 and p-type silicon substrate is studied with the help of atomic layer deposition (ALD and magnetron sputtering technology. The surface morphology of the bilayer films with different structures are observed after rapid thermal annealing (RTA by atomic force microscopy (AFM. The results show that Y2O3/Al2O3/Si structure has a larger number of small spikes on the surface and its surface roughness is worse than Al2O3/Y2O3/Si structure. The reason is that the density of Si substrate surface is much higher than that of ALD growth Al2O3. With the help of high-frequency capacitance-voltage(C-V measurement and conductivity method, the density of interface traps can be calculated. After a high temperature annealing, the metal silicate will generate at the substrate interface and result in silicon dangling bond and interface trap charge, which has been improved by X-ray photoelectron spectroscopy (XPS and interface trap charge density calculation. The interface trapped charge density of La2O3/Al2O3/Si stacked gate structure is lower than that of La2O3/Y2O3/Si gate structure. If Y2O3 is used to replace Al2O3 as the interfacial layer, the accumulation capacitance will increase obviously, which means lower equivalent oxide thickness (EOT. Our results show that interface layer Y2O3 grown by magnetron sputtering can effectively ensure the interface traps near the substrate at relative small level while maintain a relative higher dielectric constant than Al2O3.

  15. Step tunneling enhanced asymmetry in metal-insulator-insulator-metal (MIIM) diodes for rectenna applications

    Science.gov (United States)

    Alimardani, N.; Conley, J. F.

    2013-09-01

    We combine nanolaminate bilayer insulator tunnel barriers (Al2O3/HfO2, HfO2/Al2O3, Al2O3/ZrO2) deposited via atomic layer deposition (ALD) with asymmetric work function metal electrodes to produce MIIM diodes with enhanced I-V asymmetry and non-linearity. We show that the improvements in MIIM devices are due to step tunneling rather than resonant tunneling. We also investigate conduction processes as a function of temperature in MIM devices with Nb2O5 and Ta2O5 high electron affinity insulators. For both Nb2O5 and Ta2O5 insulators, the dominant conduction process is established as Schottky emission at small biases and Frenkel-Poole emission at large biases. The energy depth of the traps that dominate Frenkel-Poole emission in each material are estimated.

  16. Modification of SnO2 Anodes by Atomic Layer Deposition for High Performance Lithium Ion Batteries

    KAUST Repository

    Yesibolati, Nulati

    2013-05-01

    Tin dioxide (SnO2) is considered one of the most promising anode materials for Lithium ion batteries (LIBs), due to its large theoretical capacity and natural abundance. However, its low electronic/ionic conductivities, large volume change during lithiation/delithiation and agglomeration prevent it from further commercial applications. In this thesis, we investigate modified SnO2 as a high energy density anode material for LIBs. Specifically two approaches are presented to improve battery performances. Firstly, SnO2 electrochemical performances were improved by surface modification using Atomic Layer Deposition (ALD). Ultrathin Al2O3 or HfO2 were coated on SnO2 electrodes. It was found that electrochemical performances had been enhanced after ALD deposition. In a second approach, we implemented a layer-by-layer (LBL) assembled graphene/carbon-coated hollow SnO2 spheres as anode material for LIBs. Our results indicated that the LBL assembled electrodes had high reversible lithium storage capacities even at high current densities. These superior electrochemical performances are attributed to the enhanced electronic conductivity and effective lithium diffusion, because of the interconnected graphene/carbon networks among nanoparticles of the hollow SnO2 spheres.

  17. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  18. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.; Takenaka, M.; Takagi, S. [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656, Japan and JST-CREST, K' s Gobancho 6F, 7 Gobancho, Chiyoda-ku, Tokyo 102-0076 (Japan)

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locate in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.

  19. Magnetic trapping of energetic particles on open dayside boundary layer flux tubes

    International Nuclear Information System (INIS)

    Cowley, S.W.H.; Lewis, Z.V.

    1990-01-01

    Both simple as well as detailed empirical magnetic models of the Earth's dayside magnetosphere suggest that field lines near the magnetopause boundary in the noon quadrant (∼ 09:00 to ∼ 15:00 M.L.T.) possess an unusual property due to the compressive effect of the impinging solar wind flow, namely that the equatorial region represents a local maximum in the magnetic field strength, and not a minimum as elsewhere in the magnetosphere. In this region the field lines can therefore support two distinct particle populations, those which bounce across the equator between mirror points on either side, and those which are trapped about the off-equatorial field strength minima and are confined to one side of the equator. When these field lines become magnetically open due to the occurrence of magnetic reconnection at the equatorial magnetopause, the former particles will rapidly escape into the magnetosheath by field-aligned flow, while the latter population may be sustained within the boundary layer over many bounce periods, as the flux tubes contract and move tailward. Consequently, trapped distributions of energetic particles may commonly occur on open field lines in the dayside boundary layer in the noon quadrant, particularly at high latitudes. The existence of such particles is thus not an infallible indicator of the presence of closed magnetic field lines in this region. At earlier and later local times, however, the boundary layer field lines revert to possessing a minimum in the field strength at the equator. (author)

  20. XAFS atomistic insight of the oxygen gettering in Ti/HfO 2 based OxRRAM

    Science.gov (United States)

    Viennet, R.; Roussel, H.; Rapenne, L.; Deschanvres, J. L.; Renevier, H.; Jousseaume, V.; Jalaguier, E.; Proietti, M. G.

    2018-05-01

    Hafnia-based resistive memories technology has come to maturation and acceded to the market of nonvolatile memories. Nevertheless, the physical mechanisms involved in resistive switching are not yet fully understood and the numerous ab initio simulations studies have few many atomic-scale experimental counterparts. In this study we investigate the oxygen migration mechanism from an amorphous HfO2 layer to the Ti cap layer at a local scale before and after a thermal treatment. X-ray absorption spectroscopy at the Ti K edge and Hf LIII edge has been performed on samples as-deposited and annealed in Ar at 400 ∘C to mimic the back-end-of-line thermal budget (BEOL) of CMOS technology. The short-range Ti and Hf environments have been determined, showing that annealing promotes the migration of O from HfO2 to Ti, the amount of which is quantified. This provokes an expansion and an increase of atomic disorder in the Ti lattice. The nature of the oxygen gettering mechanism by the Ti metal is understood by comparing samples with increasing Ti-capping thickness. We show that the Ti getter effect has to be activated by thermal treatment and that the O diffusion takes place in a region of a few nanometers close to the Ti /HfO2 interface. Therefore, the thermal budget history and the Ti cap-layer thickness determine the oxygen vacancy content in the HfO2 layer, which in turn controls the electrical properties, especially the forming operation.

  1. Spectroscopy of Deep Traps in Cu2S-CdS Junction Structures

    Directory of Open Access Journals (Sweden)

    Eugenijus Gaubas

    2012-12-01

    Full Text Available Cu2S-CdS junctions of the polycrystalline material layers have been examined by combining the capacitance deep level transient spectroscopy technique together with white LED light additional illumination (C-DLTS-WL and the photo-ionization spectroscopy (PIS implemented by the photocurrent probing. Three types of junction structures, separated by using the barrier capacitance characteristics of the junctions and correlated with XRD distinguished precipitates of the polycrystalline layers, exhibit different deep trap spectra within CdS substrates.

  2. High performance SONOS flash memory with in-situ silicon nanocrystals embedded in silicon nitride charge trapping layer

    Science.gov (United States)

    Lim, Jae-Gab; Yang, Seung-Dong; Yun, Ho-Jin; Jung, Jun-Kyo; Park, Jung-Hyun; Lim, Chan; Cho, Gyu-seok; Park, Seong-gye; Huh, Chul; Lee, Hi-Deok; Lee, Ga-Won

    2018-02-01

    In this paper, SONOS-type flash memory device with highly improved charge-trapping efficiency is suggested by using silicon nanocrystals (Si-NCs) embedded in silicon nitride (SiNX) charge trapping layer. The Si-NCs were in-situ grown by PECVD without additional post annealing process. The fabricated device shows high program/erase speed and retention property which is suitable for multi-level cell (MLC) application. Excellent performance and reliability for MLC are demonstrated with large memory window of ∼8.5 V and superior retention characteristics of 7% charge loss for 10 years. High resolution transmission electron microscopy image confirms the Si-NC formation and the size is around 1-2 nm which can be verified again in X-ray photoelectron spectroscopy (XPS) where pure Si bonds increase. Besides, XPS analysis implies that more nitrogen atoms make stable bonds at the regular lattice point. Photoluminescence spectra results also illustrate that Si-NCs formation in SiNx is an effective method to form deep trap states.

  3. Effects of oxide traps, interface traps, and ''border traps'' on metal-oxide-semiconductor devices

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Winokur, P.S.; Reber, R.A. Jr.; Meisenheimer, T.L.; Schwank, J.R.; Shaneyfelt, M.R.; Riewe, L.C.

    1993-01-01

    We have identified several features of the 1/f noise and radiation response of metal-oxide-semiconductor (MOS) devices that are difficult to explain with standard defect models. To address this issue, and in response to ambiguities in the literature, we have developed a revised nomenclature for defects in MOS devices that clearly distinguishes the language used to describe the physical location of defects from that used to describe their electrical response. In this nomenclature, ''oxide traps'' are simply defects in the SiO 2 layer of the MOS structure, and ''interface traps'' are defects at the Si/SiO 2 interface. Nothing is presumed about how either type of defect communicates with the underlying Si. Electrically, ''fixed states'' are defined as trap levels that do not communicate with the Si on the time scale of the measurements, but ''switching states'' can exchange charge with the Si. Fixed states presumably are oxide traps in most types of measurements, but switching states can either be interface traps or near-interfacial oxide traps that can communicate with the Si, i.e., ''border traps'' [D. M. Fleetwood, IEEE Trans. Nucl. Sci. NS-39, 269 (1992)]. The effective density of border traps depends on the time scale and bias conditions of the measurements. We show the revised nomenclature can provide focus to discussions of the buildup and annealing of radiation-induced charge in non-radiation-hardened MOS transistors, and to changes in the 1/f noise of MOS devices through irradiation and elevated-temperature annealing

  4. Influence of phosphorous precursors on spectroscopic properties of Er3+-activated SiO2-HfO2-P2O5 planar waveguides

    International Nuclear Information System (INIS)

    Vasilchenko, I; Carpentiero, A; Chiappini, A; Chiasera, A; Ferrari, M; Vaccari, A; Lukowiak, A; Righini, G C; Vereshagin, V

    2014-01-01

    (70-x)SiO 2 -30HfO 2 -xP 2 O 5 (x= 5, 10 mol %) glass planar waveguides activated by 0.5 mol% Er 3 + ions were prepared by sol-gel route. Several phosphorous precursors have been investigated for the synthesis of a dielectric stable sol useful for the realization of planar waveguides. The waveguides were investigated by different diagnostic techniques. The optical properties such as refractive index, thickness, number of propagating modes and attenuation coefficient were measured at 632.8 and 543.5 nm by prism coupling technique. Transmission measurements were carried out in order to assess the transparency of the deposited films. Photoluminescence measurements and lifetime decay curves of the Er 3 + transition (4 I 13/2 → 4 I 15/2 ) were performed in order to investigate the role of P 2 O 5

  5. Ion traps fabricated in a CMOS foundry

    Energy Technology Data Exchange (ETDEWEB)

    Mehta, K. K.; Ram, R. J. [Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Eltony, A. M.; Chuang, I. L. [Center for Ultracold Atoms, Research Laboratory of Electronics and Department of Physics, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Bruzewicz, C. D.; Sage, J. M., E-mail: jsage@ll.mit.edu; Chiaverini, J., E-mail: john.chiaverini@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2014-07-28

    We demonstrate trapping in a surface-electrode ion trap fabricated in a 90-nm CMOS (complementary metal-oxide-semiconductor) foundry process utilizing the top metal layer of the process for the trap electrodes. The process includes doped active regions and metal interconnect layers, allowing for co-fabrication of standard CMOS circuitry as well as devices for optical control and measurement. With one of the interconnect layers defining a ground plane between the trap electrode layer and the p-type doped silicon substrate, ion loading is robust and trapping is stable. We measure a motional heating rate comparable to those seen in surface-electrode traps of similar size. This demonstration of scalable quantum computing hardware utilizing a commercial CMOS process opens the door to integration and co-fabrication of electronics and photonics for large-scale quantum processing in trapped-ion arrays.

  6. Geometric light trapping with a V-trap for efficient organic solar cells

    KAUST Repository

    Kim, Soo Jin

    2013-03-14

    The efficiency of today’s most efficient organic solar cells is primarily limited by the ability of the active layer to absorb all the sunlight. While internal quantum efficiencies exceeding 90% are common, the external quantum efficiency rarely exceeds 70%. Light trapping techniques that increase the ability of a given active layer to absorb light are common in inorganic solar cells but have only been applied to organic solar cells with limited success. Here, we analyze the light trapping mechanism for a cell with a V-shape substrate configuration and demonstrate significantly improved photon absorption in an 5.3%-efficient PCDTBT:PC70BM bulk heterojunction polymer solar cell. The measured short circuit current density improves by 29%, in agreement with model predictions, and the power conversion efficiency increases to 7.2%, a 35% improvement over the performance in the absence of a light trap.

  7. Stability of trapped electrons in SiO2

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Winokur, P.S.; Flament, O.; Leray, J.L.

    1998-01-01

    Electron trapping near the Si/SiO 2 interface plays a crucial role in mitigating the response of MOS devices to ionizing radiation or high-field stress. These electrons offset positive charge due to trapped holes, and can be present at densities exceeding 10 12 cm -2 in the presence of a similar density of trapped positive charge. The nature of the defects that serve as hosts for trapped electrons in the near-interfacial SiO 2 is presently unknown, although there is compelling evidence that these defects are often intimately associated with trapped holes. This association is depicted most directly in the model of Lelis et al., which suggests that trapped electrons and holes occupy opposite sides of a compensated E center in SiO 2 . Charge exchange between electron traps and the Si can occur over a wide range of time scales, depending on the trap depth and location relative to the Si/SiO 2 interface. Here the authors report a detailed study of the stability of electron traps associated with trapped holes near the Si/SiO 2 interface

  8. Thermoluminescence in HfO_2:Eu"3"+ powders irradiated in UV

    International Nuclear Information System (INIS)

    Ceron R, P. V.; Montes R, E.; Rivera M, T.; Diaz G, J. A. I.; Guzman M, J.

    2016-10-01

    Various inorganic compounds synthesized for photo luminescent applications have also presented a thermoluminescent (Tl) response, for example the metal oxides doped with rare earths. This property extends the use of these materials to the radiation dosimetry. For this reason, in this work the Tl response is presented in HfO_2:Eu"3"+ powders synthesized by the hydrothermal path, exposed to ultraviolet (UV) radiation of 254 nm. The kinetic parameters of its brightness curve were also calculated using the Chen expressions and the analysis method based on the shape of the curve. For the powders irradiated for 10 min the highest Tl response corresponds to the sample with 5% of the impurity, which is 6.5 times higher than the signal corresponding to the intrinsic sample. Its bright curve shows a main peak with a maximum in 148 degrees Celsius and a second order kinetics. Another test with the same material shows the Tl response against the exposure time, with a maximum in the 3 minutes. These calculations and tests constitute a first approach for the study of these powders as Tl dosimeter for UV radiation. (Author)

  9. Large-area few-layer MoS 2 deposited by sputtering

    KAUST Repository

    Huang, Jyun-Hong

    2016-06-06

    Direct magnetron sputtering of transition metal dichalcogenide targets is proposed as a new approach for depositing large-area two-dimensional layered materials. Bilayer to few-layer MoS2 deposited by magnetron sputtering followed by post-deposition annealing shows superior area scalability over 20 cm(2) and layer-by-layer controllability. High crystallinity of layered MoS2 was confirmed by Raman, photo-luminescence, and transmission electron microscopy analysis. The sputtering temperature and annealing ambience were found to play an important role in the film quality. The top-gate field-effect transistor by using the layered MoS2 channel shows typical n-type characteristics with a current on/off ratio of approximately 10(4). The relatively low mobility is attributed to the small grain size of 0.1-1 mu m with a trap charge density in grain boundaries of the order of 10(13) cm(-2).

  10. Effects of H2 High-pressure Annealing on HfO2/Al2O3/In0.53Ga0.47As Capacitors: Chemical Composition and Electrical Characteristics

    OpenAIRE

    Choi, Sungho; An, Youngseo; Lee, Changmin; Song, Jeongkeun; Nguyen, Manh-Cuong; Byun, Young-Chul; Choi, Rino; McIntyre, Paul C.; Kim, Hyoungsub

    2017-01-01

    We studied the impact of H2 pressure during post-metallization annealing on the chemical composition of a HfO2/Al2O3 gate stack on a HCl wet-cleaned In0.53Ga0.47As substrate by comparing the forming gas annealing (at atmospheric pressure with a H2 partial pressure of 0.04?bar) and H2 high-pressure annealing (H2-HPA at 30?bar) methods. In addition, the effectiveness of H2-HPA on the passivation of the interface states was compared for both p- and n-type In0.53Ga0.47As substrates. The decomposi...

  11. Residual CO2 trapping in Indiana limestone.

    Science.gov (United States)

    El-Maghraby, Rehab M; Blunt, Martin J

    2013-01-02

    We performed core flooding experiments on Indiana limestone using the porous plate method to measure the amount of trapped CO(2) at a temperature of 50 °C and two pressures: 4.2 and 9 MPa. Brine was mixed with CO(2) for equilibration, then the mixture was circulated through a sacrificial core. Porosity and permeability tests conducted before and after 884 h of continuous core flooding confirmed negligible dissolution. A trapping curve for supercritical (sc)CO(2) in Indiana showing the relationship between the initial and residual CO(2) saturations was measured and compared with that of gaseous CO(2). The results were also compared with scCO(2) trapping in Berea sandstone at the same conditions. A scCO(2) residual trapping end point of 23.7% was observed, indicating slightly less trapping of scCO(2) in Indiana carbonates than in Berea sandstone. There is less trapping for gaseous CO(2) (end point of 18.8%). The system appears to be more water-wet under scCO(2) conditions, which is different from the trend observed in Berea; we hypothesize that this is due to the greater concentration of Ca(2+) in brine at higher pressure. Our work indicates that capillary trapping could contribute to the immobilization of CO(2) in carbonate aquifers.

  12. Electrical behaviour of fully solution processed HfO2 (MOS) in presence of different light illumination

    Science.gov (United States)

    Mondal, Sandip

    2018-04-01

    This experiment demonstrates the electrical behaviors of fully solution processed HfO2(MOS) in presence of different optical illumination. The capacitance voltage measurement was performed at frequency of 100 kHz with a DC gate sweep voltage of ±5V (with additional AC voltage of 100mV) in presence of deep UV (wavelength of 365nm with power of 25W) as well as white light (20W). It is found that there is a large shift in flatband voltage of 120mV due presence of white light during the CV measurement. However there is negligible change in flatband voltage (30mV) has been observed due to illumination of deep UV light.

  13. New theory of effective work functions at metal/high-k dielectric interfaces : application to metal/high-k HfO2 and la2O 3 dielectric interfaces

    OpenAIRE

    Shiraishi, Kenji; Nakayama, Takashi; Akasaka, Yasushi; Miyazaki, Seiichi; Nakaoka, Takashi; Ohmori, Kenji; Ahmet, Parhat; Torii, Kazuyoshi; Watanabe, Heiji; Chikyow, Toyohiro; Nara, Yasuo; Iwai, Hiroshi; Yamada, Keisaku

    2006-01-01

    We have constructed a universal theory of the work functions at metal/high-k HfO2 and La2O3 dielectric interfaces by introducing a new concept of generalized charge neutrality levels. Our theory systematically reproduces the experimentally observed work functions of various gate metals on Hf-based high-k dielectrics, including the hitherto unpredictable behaviors of the work functions of p-metals. Our new concept provides effective guiding principles to achieving near-bandedge work functions ...

  14. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    International Nuclear Information System (INIS)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J.R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J.L.

    2016-01-01

    Highlights: • Hafnium oxide growth on Si(100) by atomic layer deposition was simulated. • The interface structure was considered as silicate and silicide. • The interface was studied employing DFT. • TDMA-Hf precursor show better interface stability. - Abstract: The final structure of HfO 2 films grown by atomic layer deposition (ALD) after reaction with OH − ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl 4 (hafnium tetrachloride), HfI 4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO–H was studied employing the B3LYP (Becke 3-parameter, Lee–Yang–Parr) hybrid functional and the PBE (Perdew–Burke–Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  15. Accurate characterization and understanding of interface trap density trends between atomic layer deposited dielectrics and AlGaN/GaN with bonding constraint theory

    Energy Technology Data Exchange (ETDEWEB)

    Ramanan, Narayanan; Lee, Bongmook; Misra, Veena, E-mail: vmisra@ncsu.edu [Department of Electrical and Computer Engineering, North Carolina State University, 2410 Campus Shore Drive, Raleigh, North Carolina 27695 (United States)

    2015-06-15

    Many dielectrics have been proposed for the gate stack or passivation of AlGaN/GaN based metal oxide semiconductor heterojunction field effect transistors, to reduce gate leakage and current collapse, both for power and RF applications. Atomic Layer Deposition (ALD) is preferred for dielectric deposition as it provides uniform, conformal, and high quality films with precise monolayer control of film thickness. Identification of the optimum ALD dielectric for the gate stack or passivation requires a critical investigation of traps created at the dielectric/AlGaN interface. In this work, a pulsed-IV traps characterization method has been used for accurate characterization of interface traps with a variety of ALD dielectrics. High-k dielectrics (HfO{sub 2}, HfAlO, and Al{sub 2}O{sub 3}) are found to host a high density of interface traps with AlGaN. In contrast, ALD SiO{sub 2} shows the lowest interface trap density (<2 × 10{sup 12 }cm{sup −2}) after annealing above 600 °C in N{sub 2} for 60 s. The trend in observed trap densities is subsequently explained with bonding constraint theory, which predicts a high density of interface traps due to a higher coordination state and bond strain in high-k dielectrics.

  16. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  17. Conduction mechanism of leakage current due to the traps in ZrO2 thin film

    International Nuclear Information System (INIS)

    Seo, Yohan; Lee, Sangyouk; An, Ilsin; Jeong, Heejun; Song, Chulgi

    2009-01-01

    In this work, a metal-oxide-semiconductor capacitor with zirconium oxide (ZrO 2 ) gate dielectric was fabricated by an atomic layer deposition (ALD) technique and the leakage current characteristics under negative bias were studied. From the result of current–voltage curves there are two possible conduction mechanisms to explain the leakage current in the ZrO 2 thin film. The dominant mechanism is the space charge limited conduction in the high-electric field region (1.5–5.0 MV cm −1 ) while the trap-assisted tunneling due to the existence of traps is prevailed in the low-electric field region (0.8–1.5 MV cm −1 ). Conduction caused by the trap-assisted tunneling is found from the experimental results of a weak temperature dependence of current, and the trap barrier height is obtained. The space charge limited conduction is evidenced, for different temperatures, by Child's law dependence of current density versus voltage. Child's law dependence can be explained by considering a single discrete trapping level and we can obtain the activation energy of 0.22 eV

  18. Conduction mechanism of leakage current due to the traps in ZrO2 thin film

    Science.gov (United States)

    Seo, Yohan; Lee, Sangyouk; An, Ilsin; Song, Chulgi; Jeong, Heejun

    2009-11-01

    In this work, a metal-oxide-semiconductor capacitor with zirconium oxide (ZrO2) gate dielectric was fabricated by an atomic layer deposition (ALD) technique and the leakage current characteristics under negative bias were studied. From the result of current-voltage curves there are two possible conduction mechanisms to explain the leakage current in the ZrO2 thin film. The dominant mechanism is the space charge limited conduction in the high-electric field region (1.5-5.0 MV cm-1) while the trap-assisted tunneling due to the existence of traps is prevailed in the low-electric field region (0.8-1.5 MV cm-1). Conduction caused by the trap-assisted tunneling is found from the experimental results of a weak temperature dependence of current, and the trap barrier height is obtained. The space charge limited conduction is evidenced, for different temperatures, by Child's law dependence of current density versus voltage. Child's law dependence can be explained by considering a single discrete trapping level and we can obtain the activation energy of 0.22 eV.

  19. Traps in Zirconium Alloys Oxide Layers

    Directory of Open Access Journals (Sweden)

    Helmar Frank

    2005-01-01

    Full Text Available Oxide films long-time grown on tubes of three types of zirconium alloys in water and in steam were investigated, by analysing I-V characteristic measured at constant voltages with various temperatures. Using theoretical concepts of Rose [3] and Gould [5], ZryNbSn(Fe proved to have an exponential distribution of trapping centers below the conduction band edge, wheras Zr1Nb and IMP Zry-4 proved to have single energy trap levels.

  20. (Invited) Atomic Layer Deposition for Novel Dye-Sensitized Solar Cells

    KAUST Repository

    Tétreault, Nicolas

    2011-01-01

    Herein we present the latest fabrication and characterization techniques for atomic layer deposition of Al 2O 3, ZnO, SnO 2, Nb 2O 5, HfO 2, Ga 2O 3 and TiO 2 for research on dye-sensitized solar cell. In particular, we review the fabrication of state-of-the-art 3D host-passivation-guest photoanodes and ZnO nanowires as well as characterize the deposited thin films using spectroscopic ellipsometry, X-ray diffraction, Hall effect, J-V curves and electrochemical impedance spectroscopy. ©The Electrochemical Society.

  1. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    Science.gov (United States)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J. R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J. L.; Soubervielle-Montalvo, C.; Mani-Gonzalez, P. G.

    2016-06-01

    The final structure of HfO2 films grown by atomic layer deposition (ALD) after reaction with OH- ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl4 (hafnium tetrachloride), HfI4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO-H was studied employing the B3LYP (Becke 3-parameter, Lee-Yang-Parr) hybrid functional and the PBE (Perdew-Burke-Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  2. High Optical Access Trap 2.0.

    Energy Technology Data Exchange (ETDEWEB)

    Maunz, Peter Lukas Wilhelm [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2016-01-26

    The High Optical Access (HOA) trap was designed in collaboration with the Modular Universal Scalable Ion-trap Quantum Computer (MUSIQC) team, funded along with Sandia National Laboratories through IARPA's Multi Qubit Coherent Operations (MQCO) program. The design of version 1 of the HOA trap was completed in September 2012 and initial devices were completed and packaged in February 2013. The second version of the High Optical Access Trap (HOA-2) was completed in September 2014 and is available at IARPA's disposal.

  3. Thermally induced structural modifications and O2 trapping in highly porous silica nanoparticles

    International Nuclear Information System (INIS)

    Alessi, A.; Agnello, S.; Iovino, G.; Buscarino, G.; Melodia, E.G.; Cannas, M.; Gelardi, F.M.

    2014-01-01

    In this work we investigate by Raman spectroscopy the effect of isochronal (2 h) thermal treatments in air in the temperature range 200–1000 °C of amorphous silicon dioxide porous nanoparticles with diameters ranging from 5 up to 15 nm and specific surface 590–690 m 2 /g. Our results indicate that the amorphous structure changes similarly to other porous systems previously investigated, in fact superficial SiOH groups are removed, Si–O–Si linkages are created and the ring statistic is modified, furthermore these data evidence that the three membered rings do not contribute significantly to the Raman signal detected at about 495 cm −1 . In addition, after annealing at 900 and 1000 °C we noted the appearance of the O 2 emission at 1272 nm, absent in the not treated samples. The measure of the O 2 emission has been combined with electron paramagnetic resonance measurements of the γ irradiation induced HO · 2 radicals to investigate the O 2 content per mass unit of thin layers of silica. Our data reveal that the porous nanoparticles have a much lower ability to trap O 2 molecules per mass units than nonporous silica supporting a model by which O 2 trapping inside a surface layer of about 1 nm of silica is always limited. - Highlights: • O 2 emission and HO · 2 electron paramagnetic resonance signals are investigated. • Silica surface ability to trap O 2 molecules is explored by thermal treatments. • Raman study of thermally induced structural changes in porous silica nanoparticles. • Raman signal attributable to the three membered rings in silica

  4. Threshold current reduction for the metal–insulator transition in NbO2−x-selector devices: the effect of ReRAM integration

    International Nuclear Information System (INIS)

    Nandi, Sanjoy Kumar; Liu, Xinjun; Venkatachalam, Dinesh Kumar; Elliman, Robert Glen

    2015-01-01

    The threshold current for inducing the metal–insulator transition in a NbO 2−x selector element is shown to be affected by the properties of an adjacent memory element when integrated into a hybrid selector-memory device structure. Experimental results are reported for homogeneous NbO 2−x /Nb 2 O 5−y and heterogeneous NbO 2−x /HfO 2 device structures, and show that the threshold current is lower in both hybrid structures than in the selector element alone, and is lower in the heterogeneous structure than in the homogeneous structure. Finite element modeling of the selector-memory structure shows that this results primarily from current confinement produced by the filamentary conduction path in the resistive-switching memory layer (i.e. Nb 2 O 5−y or HfO 2 ), an observation that further implies a smaller diameter filament in HfO 2 than in Nb 2 O 5−y . The thermal and electrical conductivities of the memory layer are also shown to influence the threshold current, but to a lesser extent. (paper)

  5. Origin and behavior of main electron traps in Si-implanted GaAs

    International Nuclear Information System (INIS)

    Fang, Z.Q.; Yamamoto, H.; Look, D.C.

    1990-01-01

    The electron traps in Si-implanted active layers (n ∼ 10 17 cm -3 ) have been studied by capacitance and conductance DLTS techniques in conjunction with different anneal conditions, which include rapid thermal anneals at different temperatures and furnace anneals with Si 3 N 4 cap or capless in an AsH 3 atmosphere. As compared to the electron traps in as-grown bulk n-GaAs (n ∼ 4 x 10 16 cm -3 ), nearly the same electron traps, i.e. EL2, EL3, EL4, EL5, EL6, and EL9 can be observed in the Si-implanted layers. Through a comparison with the annealing behavior of the main electron traps in bulk n-GaAs, the processing associated origins of some of the traps (EL2, EL3, EL4, EL5 and EL9) observed in Si-implanted GaAs layers have been determined. For some Si-implanted capped with Si 3 N 4 and furnace annealed, traps EL3 and EL4 dominate the trap EL2. In such layers it is found that emission due to EL3 is reduced while emission from EL12 is augmented by increasing the filling pulse width from 10 μs to 5 x 10 3 μs. In this paper phenomenon is explained in terms of a defect reaction enhanced by electron capture, showing a metastability or bistability

  6. TMAP-7 simulation of D2 thermal release data from Be co-deposited layers

    International Nuclear Information System (INIS)

    Baldwin, M.J.; Schwarz-Selinger, T.; Yu, J.H.; Doerner, R.P.

    2013-01-01

    The efficacy of (1) bake-out at 513 K and 623 K, and (2) thermal transient (10 ms) loading to up to 1000 K, is explored for reducing D inventory in 1 μm thick Be–D (D/Be ∼0.1) co-deposited layers formed at 323 K for experiment (1) and ∼500 K for experiment (2). D release data from co-deposits are obtained by thermal desorption and used to validate a model input into the Tritium Migration and Analysis Program 7 (TMAP). In (1), good agreement with experiment is found for a TMAP model encorporating traps of activation energies, 0.80 eV and 0.98 eV, whereas an additional 2 eV trap was required to model experiment (2). Thermal release is found to be trap limited, but simulations are optimal when surface recombination is taken into account. Results suggest that thick built-up co-deposited layers will hinder ITER inventory control, and that bake periods (∼1 day) will be more effective in inventory reduction than transient thermal loading

  7. TMAP-7 simulation of D2 thermal release data from Be co-deposited layers

    Science.gov (United States)

    Baldwin, M. J.; Schwarz-Selinger, T.; Yu, J. H.; Doerner, R. P.

    2013-07-01

    The efficacy of (1) bake-out at 513 K and 623 K, and (2) thermal transient (10 ms) loading to up to 1000 K, is explored for reducing D inventory in 1 μm thick Be-D (D/Be ˜0.1) co-deposited layers formed at 323 K for experiment (1) and ˜500 K for experiment (2). D release data from co-deposits are obtained by thermal desorption and used to validate a model input into the Tritium Migration & Analysis Program 7 (TMAP). In (1), good agreement with experiment is found for a TMAP model encorporating traps of activation energies, 0.80 eV and 0.98 eV, whereas an additional 2 eV trap was required to model experiment (2). Thermal release is found to be trap limited, but simulations are optimal when surface recombination is taken into account. Results suggest that thick built-up co-deposited layers will hinder ITER inventory control, and that bake periods (˜1 day) will be more effective in inventory reduction than transient thermal loading.

  8. Effect of light trapping in an amorphous silicon solar cell

    International Nuclear Information System (INIS)

    Iftiquar, S.M.; Jung, Juyeon; Park, Hyeongsik; Cho, Jaehyun; Shin, Chonghoon; Park, Jinjoo; Jung, Junhee; Bong, Sungjae; Kim, Sunbo; Yi, Junsin

    2015-01-01

    Light trapping in amorphous silicon based solar cell has been investigated theoretically. The substrate for these cells can be textured, including pyramidally textured c-Si wafer, to improve capture of incident light. A thin silver layer, deposited on the substrate of an n–i–p cell, ultimately goes at the back of the cell structure and can act a back reflector to improve light trapping. The two physical solar cells we investigated had open circuit voltages (V oc ) of 0.87, 0.90 V, short circuit current densities (J sc ) of 14.2, 15.36 mA/cm 2 respectively. The first cell was investigated for the effect on its performance while having and not having light trapping scheme (LT), when thickness of the active layer (d i ) was changed in the range of 100 nm to 800 nm. In both the approaches, for having or not having LT, the short circuit current density increases with d i while the V oc and fill factor, decreases steadily. However, maximum cell efficiency can be obtained when d i = 400 nm, and hence it was considered optimized thickness of the active layer, that was used for further investigation. With the introduction of light trapping to the second cell, it shows a further enhancement in J sc and red response of the external quantum efficiency to 16.6 mA/cm 2 and by 11.1% respectively. Considering multiple passages of light inside the cell, we obtained an improvement in cell efficiency from 9.7% to 10.6%. - Highlights: • A theoretical analysis of light trapping in p–i–n and n–i–p type solar cells • J sc increases and V oc decreases with the increase in i-layer thickness. • Observed optimized thickness of i-layer as 400 nm • J sc improved from 15.4 mA/cm 2 to 16.6 mA/cm 2 due to the light trapping. • Efficiency (η) improved from 9.7% to 10.6% due to better red response of the EQE

  9. Buffer layer investigations on MFIS capacitors consisting of ferroelectric poly[vinylidene fluoride trifluoroethylene

    International Nuclear Information System (INIS)

    Henkel, K; Seime, B; Paloumpa, I; Mueller, K; Schmeisser, D

    2010-01-01

    In this paper we present capacitance-voltage (CV) measurements on metal-ferroelectric-insulator-semiconductor (MFIS) capacitors with poly[vinylidene fluoride trifluoroethylene] (P[VDF/TrFE] as ferroelectric layer and SiO 2 , Al 2 O 3 and HfO 2 as buffering insulator layer. In order to discuss our data in a quantitative manner we perform fits to the data based on a model proposed by Miller and McWorther. The improvement of the polarization values and subsequently its effect on the hysteresis of the CV curve by the successive shrinking of the buffer layer thickness and the following choice of a high-k buffer material is demonstrated. Our data underline that a saturated polarization of P[VDF/TrFE] cannot be controlled with a SiO 2 buffer layer and the insertion of a high-k buffer layer is essential for further improvements of the characteristics of MFIS stacks.

  10. High-Performance Nonvolatile Organic Field-Effect Transistor Memory Based on Organic Semiconductor Heterostructures of Pentacene/P13/Pentacene as Both Charge Transport and Trapping Layers.

    Science.gov (United States)

    Li, Wen; Guo, Fengning; Ling, Haifeng; Zhang, Peng; Yi, Mingdong; Wang, Laiyuan; Wu, Dequn; Xie, Linghai; Huang, Wei

    2017-08-01

    Nonvolatile organic field-effect transistor (OFET) memory devices based on pentacene/ N , N '-ditridecylperylene-3,4,9,10-tetracarboxylic diimide (P13)/pentacene trilayer organic heterostructures have been proposed. The discontinuous n-type P13 embedded in p-type pentacene layers can not only provide electrons in the semiconductor layer that facilitates electron trapping process; it also works as charge trapping sites, which is attributed to the quantum well-like pentacene/P13/pentacene organic heterostructures. The synergistic effects of charge trapping in the discontinuous P13 and the charge-trapping property of the poly(4-vinylphenol) (PVP) layer remarkably improve the memory performance. In addition, the trilayer organic heterostructures have also been successfully applied to multilevel and flexible nonvolatile memory devices. The results provide a novel design strategy to achieve high-performance nonvolatile OFET memory devices and allow potential applications for different combinations of various organic semiconductor materials in OFET memory.

  11. High‐Performance Nonvolatile Organic Field‐Effect Transistor Memory Based on Organic Semiconductor Heterostructures of Pentacene/P13/Pentacene as Both Charge Transport and Trapping Layers

    Science.gov (United States)

    Li, Wen; Guo, Fengning; Ling, Haifeng; Zhang, Peng; Wang, Laiyuan; Wu, Dequn

    2017-01-01

    Nonvolatile organic field‐effect transistor (OFET) memory devices based on pentacene/N,N′‐ditridecylperylene‐3,4,9,10‐tetracarboxylic diimide (P13)/pentacene trilayer organic heterostructures have been proposed. The discontinuous n‐type P13 embedded in p‐type pentacene layers can not only provide electrons in the semiconductor layer that facilitates electron trapping process; it also works as charge trapping sites, which is attributed to the quantum well‐like pentacene/P13/pentacene organic heterostructures. The synergistic effects of charge trapping in the discontinuous P13 and the charge‐trapping property of the poly(4‐vinylphenol) (PVP) layer remarkably improve the memory performance. In addition, the trilayer organic heterostructures have also been successfully applied to multilevel and flexible nonvolatile memory devices. The results provide a novel design strategy to achieve high‐performance nonvolatile OFET memory devices and allow potential applications for different combinations of various organic semiconductor materials in OFET memory. PMID:28852619

  12. Light trapping of crystalline Si solar cells by use of nanocrystalline Si layer plus pyramidal texture

    Energy Technology Data Exchange (ETDEWEB)

    Imamura, Kentaro; Nonaka, Takaaki; Onitsuka, Yuya; Irishika, Daichi; Kobayashi, Hikaru, E-mail: h.kobayashi@sanken.osaka-u.ac.jp

    2017-02-15

    Highlights: • Ultralow reflectivity Si wafers with light trapping effect can be obtained by forming a nanocrystalline Si layer on pyramidal textured Si surfaces. • Surface passivation using phosphosilicate glass improved minority carrier lifetime of the nanocrystalline Si layer/Si structure. • A high photocurrent density of 40.1 mA/cm{sup 2}, and a high conversion efficiency of 18.5% were achieved. - Abstract: The surface structure chemical transfer (SSCT) method has been applied to fabrication of single crystalline Si solar cells with 170 μm thickness. The SSCT method, which simply involves immersion of Si wafers in H{sub 2}O{sub 2} plus HF solutions and contact of Pt catalyst with Si taking only ∼30 s for 6 in. wafers, can decrease the reflectivity to less than 3% by the formation of a nanocrystalline Si layer. However, the reflectivity of the nanocrystalline Si layer/flat Si surface/rear Ag electrode structure in the wavelength region longer than 1000 nm is high because of insufficient absorption of incident light. The reflectivity in the long wavelength region is greatly decreased by the formation of the nanocrystalline Si layer on pyramidal textured Si surfaces due to an increase in the optical path length. Deposition of phosphosilicate glass (PSG) on the nanocrystalline Si layer for formation of pn-junction does not change the ultralow reflectivity because the surface region of the nanocrystalline Si layer possesses a refractive index of 1.4 which is nearly the same as that of PSG of 1.4–1.5. The PSG layer is found to passivate the nanocrystalline Si layer, which is evident from an increase in the minority carrier lifetime from 12 to 44 μs. Hydrogen treatment at 450 °C further increases the minority carrier lifetime approximately to a doubled value. The solar cells with the layer/pyramidal Si substrate/boron-diffused back surface field/Ag rear electrode> structure show a high conversion efficiency of 18

  13. Thermally induced structural modifications and O{sub 2} trapping in highly porous silica nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Alessi, A., E-mail: antonino.alessi@unipa.it; Agnello, S.; Iovino, G.; Buscarino, G.; Melodia, E.G.; Cannas, M.; Gelardi, F.M.

    2014-12-15

    In this work we investigate by Raman spectroscopy the effect of isochronal (2 h) thermal treatments in air in the temperature range 200–1000 °C of amorphous silicon dioxide porous nanoparticles with diameters ranging from 5 up to 15 nm and specific surface 590–690 m{sup 2}/g. Our results indicate that the amorphous structure changes similarly to other porous systems previously investigated, in fact superficial SiOH groups are removed, Si–O–Si linkages are created and the ring statistic is modified, furthermore these data evidence that the three membered rings do not contribute significantly to the Raman signal detected at about 495 cm{sup −1}. In addition, after annealing at 900 and 1000 °C we noted the appearance of the O{sub 2} emission at 1272 nm, absent in the not treated samples. The measure of the O{sub 2} emission has been combined with electron paramagnetic resonance measurements of the γ irradiation induced HO{sup ·}{sub 2} radicals to investigate the O{sub 2} content per mass unit of thin layers of silica. Our data reveal that the porous nanoparticles have a much lower ability to trap O{sub 2} molecules per mass units than nonporous silica supporting a model by which O{sub 2} trapping inside a surface layer of about 1 nm of silica is always limited. - Highlights: • O{sub 2} emission and HO{sup ·}{sub 2} electron paramagnetic resonance signals are investigated. • Silica surface ability to trap O{sub 2} molecules is explored by thermal treatments. • Raman study of thermally induced structural changes in porous silica nanoparticles. • Raman signal attributable to the three membered rings in silica.

  14. Thermal effects on the Raman phonon of few-layer phosphorene

    International Nuclear Information System (INIS)

    Ling, Zhi-Peng; Ang, Kah-Wee

    2015-01-01

    Two-dimensional phosphorene is a promising channel material for next generation transistor applications due to its superior carrier transport property. Here, we report the influence of thermal effects on the Raman phonon of few-layer phosphorene formed on hafnium-dioxide (HfO 2 ) high-k dielectric. When annealed at elevated temperatures (up to 200 °C), the phosphorene film was found to exhibit a blue shift in both the out-of-plane (A 1 g ) and in-plane (B 2g and A 2 g ) phonon modes as a result of compressive strain effect. This is attributed to the out-diffusion of hafnium (Hf) atoms from the underlying HfO 2 dielectric, which compresses the phosphorene in both the zigzag and armchair directions. With a further increase in thermal energy beyond 250 °C, strain relaxation within phosphorene eventually took place. When this happens, the phosphorene was unable to retain its intrinsic crystallinity prior to annealing, as evident from the broadening of full-width at half maximum of the Raman phonon. These results provide an important insight into the impact of thermal effects on the structural integrity of phosphorene when integrated with high-k gate dielectric

  15. Eu-doped ZnO-HfO2 hybrid nanocrystal-embedded low-loss glass-ceramic waveguides

    Science.gov (United States)

    Ghosh, Subhabrata; N, Shivakiran Bhaktha B.

    2016-03-01

    We report on the sol-gel fabrication, using a dip-coating technique, of low-loss Eu-doped 70SiO2 -(30-x) HfO2-xZnO (x = 2, 5, 7 and 10 mol%) ternary glass-ceramic planar waveguides. Transmission electron microscopy and grazing incident x-ray diffraction experiments confirm the controlled growth of hybrid nanocrystals with an average size of 3 nm-25 nm, composed of ZnO encapsulated by a thin layer of nanocrystalline HfO2, with an increase of ZnO concentration from x = 2 mol% to 10 mol% in the SiO2-HfO2 composite matrix. The effect of crystallization on the local environment of Eu ions, doped in the ZnO-HfO2 hybrid nanocrystal-embedded glass-ceramic matrix, is studied using photoluminescence spectra, wherein an intense mixed-valence state (divalent as well as trivalent) emission of Eu ions is observed. The existence of Eu2+ and Eu3+ in the SiO2-HfO2-ZnO ternary matrix is confirmed by x-ray photoelectron spectroscopy. Importantly, the Eu{}2+,3+-doped ternary waveguides exhibit low propagation losses (0.3 ± 0.2 dB cm-1 at 632.8 nm) and optical transparency in the visible region of the electromagnetic spectrum, which makes ZnO-HfO2 nanocrystal-embedded SiO2-HfO2-ZnO waveguides a viable candidate for the development of on-chip, active, integrated optical devices.

  16. TMAP-7 simulation of D{sub 2} thermal release data from Be co-deposited layers

    Energy Technology Data Exchange (ETDEWEB)

    Baldwin, M.J., E-mail: mbaldwin@ferp.ucsd.edu [Center for Energy Research, University of California at San Diego, La Jolla, CA 92093-0417 (United States); Schwarz-Selinger, T. [Max-Planck-Institut für Plasmaphysik, EURATOM Association, Boltzmannstrasse 2, 85748 Garching (Germany); Yu, J.H. [Center for Energy Research, University of California at San Diego, La Jolla, CA 92093-0417 (United States); Doerner, R.P., E-mail: rdoerner@ucsd.edu [Center for Energy Research, University of California at San Diego, La Jolla, CA 92093-0417 (United States)

    2013-07-15

    The efficacy of (1) bake-out at 513 K and 623 K, and (2) thermal transient (10 ms) loading to up to 1000 K, is explored for reducing D inventory in 1 μm thick Be–D (D/Be ∼0.1) co-deposited layers formed at 323 K for experiment (1) and ∼500 K for experiment (2). D release data from co-deposits are obtained by thermal desorption and used to validate a model input into the Tritium Migration and Analysis Program 7 (TMAP). In (1), good agreement with experiment is found for a TMAP model encorporating traps of activation energies, 0.80 eV and 0.98 eV, whereas an additional 2 eV trap was required to model experiment (2). Thermal release is found to be trap limited, but simulations are optimal when surface recombination is taken into account. Results suggest that thick built-up co-deposited layers will hinder ITER inventory control, and that bake periods (∼1 day) will be more effective in inventory reduction than transient thermal loading.

  17. Deuterium trapping in tungsten

    Science.gov (United States)

    Poon, Michael

    Tungsten is one of the primary material candidates being investigated for use in the first-wall of a magnetic confinement fusion reactor. An ion accelerator was used to simulate the type of ion interaction that may occur at a plasma-facing material. Thermal desorption spectroscopy (TDS) was the primary tool used to analyze the effects of the irradiation. Secondary ion mass spectroscopy (SIMS) was used to determine the distribution of trapped D in the tungsten specimen. The tritium migration analysis program (TMAP) was used to simulate thermal desorption profiles from the D depth distributions. Fitting of the simulated thermal desorption profiles with the measured TDS results provided values of the D trap energies. Deuterium trapping in single crystal tungsten was studied as a function of the incident ion fluence, ion flux, irradiation temperature, irradiation history, and surface impurity levels during irradiation. The results show that deuterium was trapped at vacancies and voids. Two deuterium atoms could be trapped at a tungsten vacancy, with trapping energies of 1.4 eV and 1.2 eV for the first and second D atoms, respectively. In a tungsten void, D is trapped as atoms adsorbed on the inner walls of the void with a trap energy of 2.1 eV, or as D2 molecules inside the void with a trap energy of 1.2 eV. Deuterium trapping in polycrystalline tungsten was also studied as a function of the incident fluence, irradiation temperature, and irradiation history. Deuterium trapping in polycrystalline tungsten also occurs primarily at vacancies and voids with the same trap energies as in single crystal tungsten; however, the presence of grain boundaries promotes the formation of large surface blisters with high fluence irradiations at 500 K. In general, D trapping is greater in polycrystalline tungsten than in single crystal tungsten. To simulate mixed materials comprising of carbon (C) and tungsten, tungsten specimens were pre-irradiated with carbon ions prior to D

  18. Deuterium trapping in tungsten

    International Nuclear Information System (INIS)

    Poon, M.

    2004-01-01

    Tungsten is one of the primary material candidates being investigated for use in the first-wall of a magnetic confinement fusion reactor. An ion accelerator was used to simulate the type of ion interaction that may occur at a plasma-facing material. Thermal desorption spectroscopy (TDS) was the primary tool used to analyze the effects of the irradiation Secondary ion mass spectroscopy (SIMS) was used to determine the distribution of trapped D in the tungsten specimen. The tritium migration analysis program (TMAP) was used to simulate thermal desorption profiles from the D depth distributions. Fitting of the simulated thermal desorption profiles with the measured TDS results provided values of the D trap energies. . Deuterium trapping in single crystal tungsten was studied as a function of the incident ion fluence, ion flux, irradiation temperature, irradiation history, and surface impurity levels during irradiation The results show that deuterium was trapped at vacancies and voids. Two deuterium atoms could be trapped at a tungsten vacancy, with trapping energies of 1.4 eV and 1.2 eV for the first and second D atoms, respectively. In a tungsten void, D is trapped as atoms adsorbed on the inner walls of the void with a trap energy of 2.1 eV, or as D 2 molecules inside the void with a trap energy of 1.2 eV. . Deuterium trapping in polycrystalline tungsten was also studied as a function of the incident fluence, irradiation temperature, and irradiation history. Deuterium trapping in polycrystalline tungsten also occurs primarily at vacancies and voids with the same trap energies as in single crystal tungsten; however, the presence of grain boundaries promotes the formation of large surface blisters with high fluence irradiations at 500 K. In general, D trapping is greater in polycrystalline tungsten than in single crystal tungsten. To simulate mixed materials comprising of carbon (C) and tungsten, tungsten specimens were pre-irradiated with carbon ions prior to D

  19. Deuterium trapping in tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Poon, M

    2004-07-01

    Tungsten is one of the primary material candidates being investigated for use in the first-wall of a magnetic confinement fusion reactor. An ion accelerator was used to simulate the type of ion interaction that may occur at a plasma-facing material. Thermal desorption spectroscopy (TDS) was the primary tool used to analyze the effects of the irradiation Secondary ion mass spectroscopy (SIMS) was used to determine the distribution of trapped D in the tungsten specimen. The tritium migration analysis program (TMAP) was used to simulate thermal desorption profiles from the D depth distributions. Fitting of the simulated thermal desorption profiles with the measured TDS results provided values of the D trap energies. . Deuterium trapping in single crystal tungsten was studied as a function of the incident ion fluence, ion flux, irradiation temperature, irradiation history, and surface impurity levels during irradiation The results show that deuterium was trapped at vacancies and voids. Two deuterium atoms could be trapped at a tungsten vacancy, with trapping energies of 1.4 eV and 1.2 eV for the first and second D atoms, respectively. In a tungsten void, D is trapped as atoms adsorbed on the inner walls of the void with a trap energy of 2.1 eV, or as D{sub 2} molecules inside the void with a trap energy of 1.2 eV. . Deuterium trapping in polycrystalline tungsten was also studied as a function of the incident fluence, irradiation temperature, and irradiation history. Deuterium trapping in polycrystalline tungsten also occurs primarily at vacancies and voids with the same trap energies as in single crystal tungsten; however, the presence of grain boundaries promotes the formation of large surface blisters with high fluence irradiations at 500 K. In general, D trapping is greater in polycrystalline tungsten than in single crystal tungsten. To simulate mixed materials comprising of carbon (C) and tungsten, tungsten specimens were pre-irradiated with carbon ions prior to D

  20. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.

    2015-03-09

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60°C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  1. High reliable and stable organic field-effect transistor nonvolatile memory with a poly(4-vinyl phenol) charge trapping layer based on a pn-heterojunction active layer

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Lanyi; Ying, Jun; Han, Jinhua; Zhang, Letian, E-mail: zlt@jlu.edu.cn, E-mail: wwei99@jlu.edu.cn; Wang, Wei, E-mail: zlt@jlu.edu.cn, E-mail: wwei99@jlu.edu.cn [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, 2699 Qianjin Street, Changchun 130012 (China)

    2016-04-25

    In this letter, we demonstrate a high reliable and stable organic field-effect transistor (OFET) based nonvolatile memory (NVM) with a polymer poly(4-vinyl phenol) (PVP) as the charge trapping layer. In the unipolar OFETs, the inreversible shifts of the turn-on voltage (V{sub on}) and severe degradation of the memory window (ΔV{sub on}) at programming (P) and erasing (E) voltages, respectively, block their application in NVMs. The obstacle is overcome by using a pn-heterojunction as the active layer in the OFET memory, which supplied a holes and electrons accumulating channel at the supplied P and E voltages, respectively. Both holes and electrons transferring from the channels to PVP layer and overwriting the trapped charges with an opposite polarity result in the reliable bidirectional shifts of V{sub on} at P and E voltages, respectively. The heterojunction OFET exhibits excellent nonvolatile memory characteristics, with a large ΔV{sub on} of 8.5 V, desired reading (R) voltage at 0 V, reliable P/R/E/R dynamic endurance over 100 cycles and a long retention time over 10 years.

  2. High reflectivity YDH/SiO2 distributed Bragg reflector for UV-C wavelength regime

    KAUST Repository

    Alias, Mohd Sharizal; Alatawi, Abdullah; Wong, Ka Chun; Tangi, Malleswararao; Holguin Lerma, Jorge Alberto; Stegenburgs, Edgars; Shakfa, Mohammad Khaled; Ng, Tien Khee; Rahman, Abdul; Alyamani, Ahmed; Ooi, Boon S.

    2018-01-01

    A distributed Bragg reflector (DBR) composed of Y2O3-doped HfO2 (YDH)/SiO2 layers with high reflectivity spectrum centered at a wavelength of ~240 nm is deposited using radio-frequency magnetron sputtering. Before the DBR deposition, optical

  3. What are the assets and weaknesses of HFO detectors? A benchmark framework based on realistic simulations.

    Directory of Open Access Journals (Sweden)

    Nicolas Roehri

    Full Text Available High-frequency oscillations (HFO have been suggested as biomarkers of epileptic tissues. While visual marking of these short and small oscillations is tedious and time-consuming, automatic HFO detectors have not yet met a large consensus. Even though detectors have been shown to perform well when validated against visual marking, the large number of false detections due to their lack of robustness hinder their clinical application. In this study, we developed a validation framework based on realistic and controlled simulations to quantify precisely the assets and weaknesses of current detectors. We constructed a dictionary of synthesized elements-HFOs and epileptic spikes-from different patients and brain areas by extracting these elements from the original data using discrete wavelet transform coefficients. These elements were then added to their corresponding simulated background activity (preserving patient- and region- specific spectra. We tested five existing detectors against this benchmark. Compared to other studies confronting detectors, we did not only ranked them according their performance but we investigated the reasons leading to these results. Our simulations, thanks to their realism and their variability, enabled us to highlight unreported issues of current detectors: (1 the lack of robust estimation of the background activity, (2 the underestimated impact of the 1/f spectrum, and (3 the inadequate criteria defining an HFO. We believe that our benchmark framework could be a valuable tool to translate HFOs into a clinical environment.

  4. Enhanced Performance of Nanowire-Based All-TiO2 Solar Cells using Subnanometer-Thick Atomic Layer Deposited ZnO Embedded Layer

    International Nuclear Information System (INIS)

    Ghobadi, Amir; Yavuz, Halil I.; Ulusoy, T. Gamze; Icli, K. Cagatay; Ozenbas, Macit; Okyay, Ali K.

    2015-01-01

    In this paper, the effect of angstrom-thick atomic layer deposited (ALD) ZnO embedded layer on photovoltaic (PV) performance of Nanowire-Based All-TiO 2 solar cells has been systematically investigated. Our results indicate that by varying the thickness of ZnO layer the efficiency of the solar cell can be significantly changed. It is shown that the efficiency has its maximum for optimal thickness of 1 ALD cycle in which this ultrathin ZnO layer improves device performance through passivation of surface traps without hampering injection efficiency of photogenerated electrons. The mechanisms contributing to this unprecedented change in PV performance of the cell have been scrutinized and discussed

  5. Electrical characterisation of ferroelectric field effect transistors based on ferroelectric HfO2 thin films

    International Nuclear Information System (INIS)

    Yurchuk, Ekaterina

    2015-01-01

    Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO 2 ) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO 2 thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO 2 -based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.

  6. Interface trapping in (2 ¯ 01 ) β-Ga2O3 MOS capacitors with deposited dielectrics

    Science.gov (United States)

    Jayawardena, Asanka; Ramamurthy, Rahul P.; Ahyi, Ayayi C.; Morisette, Dallas; Dhar, Sarit

    2018-05-01

    The electrical properties of interfaces and the impact of post-deposition annealing have been investigated in gate oxides formed by low pressure chemical vapor deposition (LPCVD SiO2) and atomic layer deposition (Al2O3) on ( 2 ¯ 01 ) oriented n-type β-Ga2O3 single crystals. Capacitance-voltage based methods have been used to extract the interface state densities, including densities of slow `border' traps at the dielectric-Ga2O3 interfaces. It was observed that SiO2-β-Ga2O3 has a higher interface and border trap density than the Al2O3-β-Ga2O3. An increase in shallow interface states was also observed at the Al2O3-β-Ga2O3 interface after post-deposition annealing at higher temperature suggesting the high temperature annealing to be detrimental for Al2O3-Ga2O3 interfaces. Among the different dielectrics studied, LPCVD SiO2 was found to have the lowest dielectric leakage and the highest breakdown field, consistent with a higher conduction band-offset. These results are important for the processing of high performance β-Ga2O3 MOS devices as these factors will critically impact channel transport, threshold voltage stability, and device reliability.

  7. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  8. Habituation/Fatigue behavior of a synapse memristor based on IGZO-HfO2 thin film.

    Science.gov (United States)

    Jiang, Ran; Ma, Pengfei; Han, Zuyin; Du, Xianghao

    2017-08-24

    A synaptic memristor based on IGZO and oxygen-deficient HfO 2 films has been demonstrated. The memristor exhibits a fatigue response to a monotonic stimulus of voltage pulses, which is analogous to the habituation behavior of biological memory. The occurrence of habituation is nearly simultaneous with the transition from short-term memory to long-term memory. The movement and redistribution of oxygen species with the assistance of polarization in HfO 2 layer are responsible for the above results. The observation of habituation behavior proves the potential prospect of memristor on the mimic of biological neuron.

  9. Enhanced memory effect with embedded graphene nanoplatelets in ZnO charge trapping layer

    International Nuclear Information System (INIS)

    El-Atab, Nazek; Nayfeh, Ammar; Cimen, Furkan; Alkis, Sabri; Okyay, Ali K.

    2014-01-01

    A charge trapping memory with graphene nanoplatelets embedded in atomic layer deposited ZnO (GNIZ) is demonstrated. The memory shows a large threshold voltage V t shift (4 V) at low operating voltage (6/−6 V), good retention (>10 yr), and good endurance characteristic (>10 4 cycles). This memory performance is compared to control devices with graphene nanoplatelets (or ZnO) and a thicker tunnel oxide. These structures showed a reduced V t shift and retention characteristic. The GNIZ structure allows for scaling down the tunnel oxide thickness along with improving the memory window and retention of data. The larger V t shift indicates that the ZnO adds available trap states and enhances the emission and retention of charges. The charge emission mechanism in the memory structures with graphene nanoplatelets at an electric field E ≥ 5.57 MV/cm is found to be based on Fowler-Nordheim tunneling. The fabrication of this memory device is compatible with current semiconductor processing, therefore, has great potential in low-cost nano-memory applications.

  10. HFO1234ze(E) And HFC134a Flow Boiling Inside a 4mm Horizontal Smooth Tube

    OpenAIRE

    Longo, Giovanni A.; Mancin, Simone; Righetti, Giulia; Zilio, Claudio

    2016-01-01

    Nowadays, the substitution of HFC134a with low GWP refrigerants is one of the most important challenge for refrigeration and air conditioning. The possible substitutes include natural refrigerants, such as HC600 (Butane) and HC600a (Isobutane), and also synthetic refrigerants, such as HFO1234yf and HFO1234ze(E). The HC refrigerants exhibit very low GWP, 3 and 4 HC600a and HC600 respectively, good thermodynamic and transport properties, and pressure and volumetric performance very similar to H...

  11. Suppression in the electrical hysteresis by using CaF2 dielectric layer for p-GaN MIS capacitors

    Science.gov (United States)

    Sang, Liwen; Ren, Bing; Liao, Meiyong; Koide, Yasuo; Sumiya, Masatomo

    2018-04-01

    The capacitance-voltage (C-V) hysteresis in the bidirectional measurements of the p-GaN metal-insulator-semiconductor (MIS) capacitor is suppressed by using a CaF2 dielectric layer and a post annealing treatment. The density of trapped charge states at the CaF2/p-GaN interface is dramatically reduced from 1.3 × 1013 cm2 to 1.1 × 1011/cm2 compared to that of the Al2O3/p-GaN interface with a large C-V hysteresis. It is observed that the disordered oxidized interfacial layer can be avoided by using the CaF2 dielectric. The downward band bending of p-GaN is decreased from 1.51 to 0.85 eV as a result of the low-density oxides-related trap states. Our work indicates that the CaF2 can be used as a promising dielectric layer for the p-GaN MIS structures.

  12. A Biopolymer Heparin Sodium Interlayer Anchoring TiO2 and MAPbI3 Enhances Trap Passivation and Device Stability in Perovskite Solar Cells.

    Science.gov (United States)

    You, Shuai; Wang, Hui; Bi, Shiqing; Zhou, Jiyu; Qin, Liang; Qiu, Xiaohui; Zhao, Zhiqiang; Xu, Yun; Zhang, Yuan; Shi, Xinghua; Zhou, Huiqiong; Tang, Zhiyong

    2018-04-18

    Traps in the photoactive layer or interface can critically influence photovoltaic device characteristics and stabilities. Here, traps passivation and retardation on device degradation for methylammonium lead trihalide (MAPbI 3 ) perovskite solar cells enabled by a biopolymer heparin sodium (HS) interfacial layer is investigated. The incorporated HS boosts the power conversion efficiency from 17.2 to 20.1% with suppressed hysteresis and Shockley-Read-Hall recombination, which originates primarily from the passivation of traps near the interface between the perovskites and the TiO 2 cathode. The incorporation of an HS interfacial layer also leads to a considerable retardation of device degradation, by which 85% of the initial performance is maintained after 70 d storage in ambient environment. Aided by density functional theory calculations, it is found that the passivation of MAPbI 3 and TiO 2 surfaces by HS occurs through the interactions of the functional groups (COO - , SO 3 - , or Na + ) in HS with undersaturated Pb and I ions in MAPbI 3 and Ti 4+ in TiO 2 . This work demonstrates a highly viable and facile interface strategy using biomaterials to afford high-performance and stable perovskite solar cells. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications

    International Nuclear Information System (INIS)

    Lee, Jaesang; Kim, Hyungchul; Park, Taeyong; Ko, Youngbin; Ryu, Jaehun; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2012-01-01

    Remote plasma atomic layer deposited (RPALD) Al 2 O 3 films were investigated to apply as tunnel and blocking layers in the metal-oxide-semiconductor capacitor memory utilizing Au nanocrystals (NCs) for nonvolatile memory applications. The interface stability of an Al 2 O 3 film deposited by RPALD was studied to observe the effects of remote plasma on the interface. The interface formed during RPALD process has high oxidation states such as Si +3 and Si +4 , indicating that RPALD process can grow more stable interface which has a small amount of fixed oxide trap charge. The significant memory characteristics were also observed in this memory device through the electrical measurement. The memory device exhibited a relatively large memory window of 5.6 V under a 10/-10 V program/erase voltage and also showed the relatively fast programming/erasing speed and a competitive retention characteristic after 10 4 s. These results indicate that Al 2 O 3 films deposited via RPALD can be applied as the tunnel and blocking oxides for next-generation flash memory devices.

  14. A thorough investigation of the progressive reset dynamics in HfO2-based resistive switching structures

    International Nuclear Information System (INIS)

    Lorenzi, P.; Rao, R.; Irrera, F.; Suñé, J.; Miranda, E.

    2015-01-01

    According to previous reports, filamentary electron transport in resistive switching HfO 2 -based metal-insulator-metal structures can be modeled using a diode-like conduction mechanism with a series resistance. Taking the appropriate limits, the model allows simulating the high (HRS) and low (LRS) resistance states of the devices in terms of exponential and linear current-voltage relationships, respectively. In this letter, we show that this simple equivalent circuit approach can be extended to represent the progressive reset transition between the LRS and HRS if a generalized logistic growth model for the pre-exponential diode current factor is considered. In this regard, it is demonstrated here that a Verhulst logistic model does not provide accurate results. The reset dynamics is interpreted as the sequential deactivation of multiple conduction channels spanning the dielectric film. Fitting results for the current-voltage characteristics indicate that the voltage sweep rate only affects the deactivation rate of the filaments without altering the main features of the switching dynamics

  15. Development and Performance Evaluations of HfO2-Si and Rare Earth-Si Based Environmental Barrier Bond Coat Systems for SiC/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Zhu, Dongming

    2014-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si based EBC bond coat systems for SiCSiC CMC combustor and turbine airfoil applications are investigated. The coating design approach and stability requirements are specifically emphasized, with the development and implementation focusing on Plasma Sprayed (PS) and Electron Beam-Physic Vapor Deposited (EB-PVD) coating systems and the composition optimizations. High temperature properties of the HfO2-Si based bond coat systems, including the strength, fracture toughness, creep resistance, and oxidation resistance were evaluated in the temperature range of 1200 to 1500 C. Thermal gradient heat flux low cycle fatigue and furnace cyclic oxidation durability tests were also performed at temperatures up to 1500 C. The coating strength improvements, degradation and failure modes of the environmental barrier coating bond coat systems on SiCSiC CMCs tested in simulated stress-environment interactions are briefly discussed and supported by modeling. The performance enhancements of the HfO2-Si bond coat systems with rare earth element dopants and rare earth-silicon based bond coats are also highlighted. The advanced bond coat systems, when

  16. ANTIREFLECTION MULTILAYER COATINGS WITH THIN METAL LAYERS

    Directory of Open Access Journals (Sweden)

    L. A. Gubanova

    2016-03-01

    Full Text Available The design of anti-reflective coatings for metal surfaces of Al, Ti, N,i Cr is proposed. The coatings have the form of alternating layers of dielectric/metal/dielectric with the number of cells up to15. The method of calculation of such coatings is proposed. We have calculated the coatings of the type [HfO2/Cr/HfO2]15, [ZrO2/Ti/Al2O3]15, [ZrO2/Cr/ZrO2]15. It is shown that the proposed interference coatings provide reduction of the residual reflectance of the metal several times (from 3.5 to 6.0 in a wide spectral range (300-1000 nm. The proposed coatings can be recommended as anti-reflective coatings for energy saving solar systems and batteries, and photovoltaic cells.

  17. Deuterium trapping in ion implanted and co-deposited beryllium oxide layers

    International Nuclear Information System (INIS)

    Markin, A.V.; Gorodetsky, A.E.; Zakharov, A.P.; Wu, C.H.

    2000-01-01

    Deuterium trapping in beryllium oxide films irradiated with 400 eV D ions has been studied by thermal desorption spectroscopy (TDS). It has been found that for thermally grown BeO films implanted in the range 300 - 900 K the total deuterium retention doesn't depend whereas TDS spectra do markedly on irradiation temperature. For R.T. implantation the deuterium is released in a wide range from 500 to 1100 K. At implantation above 600 K the main portion of retained deuterium is released in a single peak centered at about 1000 K. The similar TDS peak is measured for D/BeO co-deposited layer. In addition we correlate our implantation data on BeO with the relevant data on beryllium metal and carbon. The interrelations between deuterium retention and microstructure are discussed. (orig.)

  18. Application of diffusion barriers to high modulus fibers

    Science.gov (United States)

    Veltri, R. D.; Douglas, F. C.; Paradis, E. L.; Galasso, F. S.

    1977-01-01

    Barrier layers were coated onto high-modulus fibers, and nickel and titanium layers were overcoated as simulated matrix materials. The objective was to coat the high-strength fibers with unreactive selected materials without degrading the fibers. The fibers were tungsten, niobium, and single-crystal sapphire, while the materials used as barrier coating layers were Al2O3, Y2O3, TiC, ZrC, WC with 14% Co, and HfO2. An ion-plating technique was used to coat the fibers. The fibers were subjected to high-temperature heat treatments to evaluate the effectiveness of the barrier layer in preventing fiber-metal interactions. Results indicate that Al2O3, Y2O3, and HfO2 can be used as barrier layers to minimize the nickel-tungsten interaction. Further investigation, including thermal cycling tests at 1090 C, revealed that HfO2 is probably the best of the three.

  19. The Development of HfO2-Rare Earth Based Oxide Materials and Barrier Coatings for Thermal Protection Systems

    Science.gov (United States)

    Zhu, Dongming; Harder, Bryan James

    2014-01-01

    Advanced hafnia-rare earth oxides, rare earth aluminates and silicates have been developed for thermal environmental barrier systems for aerospace propulsion engine and thermal protection applications. The high temperature stability, low thermal conductivity, excellent oxidation resistance and mechanical properties of these oxide material systems make them attractive and potentially viable for thermal protection systems. This paper will focus on the development of the high performance and high temperature capable ZrO2HfO2-rare earth based alloy and compound oxide materials, processed as protective coating systems using state-or-the-art processing techniques. The emphasis has been in particular placed on assessing their temperature capability, stability and suitability for advanced space vehicle entry thermal protection systems. Fundamental thermophysical and thermomechanical properties of the material systems have been investigated at high temperatures. Laser high-heat-flux testing has also been developed to validate the material systems, and demonstrating durability under space entry high heat flux conditions.

  20. The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces

    International Nuclear Information System (INIS)

    Kent, Tyler; Edmonds, Mary; Kummel, Andrew C.; Tang, Kechao; Negara, Muhammad Adi; McIntyre, Paul; Chobpattana, Varistha; Mitchell, William; Sahu, Bhagawan; Galatage, Rohit; Droopad, Ravi

    2015-01-01

    Current logic devices rely on 3D architectures, such as the tri-gate field effect transistor (finFET), which utilize the (001) and (110) crystal faces simultaneously thus requiring passivation methods for the (110) face in order to ensure a pristine 3D surface prior to further processing. Scanning tunneling microscopy (STM), x-ray photoelectron spectroscopy (XPS), and correlated electrical measurement on MOSCAPs were utilized to compare the effects of a previously developed in situ pre-atomic layer deposition (ALD) surface clean on the InGaAs (001) and (110) surfaces. Ex situ wet cleans are very effective on the (001) surface but not the (110) surface. Capacitance voltage indicated the (001) surface with no buffered oxide etch had a higher C max hypothesized to be a result of poor nucleation of HfO 2 on the native oxide. An in situ pre-ALD surface clean employing both atomic H and trimethylaluminum (TMA) pre-pulsing, developed by Chobpattana et al. and Carter et al. for the (001) surface, was demonstrated to be effective on the (110) surface for producing low D it high C ox MOSCAPs. Including TMA in the pre-ALD surface clean resulted in reduction of the magnitude of the interface state capacitance. The XPS studies show the role of atomic H pre-pulsing is to remove both carbon and oxygen while STM shows the role of TMA pre-pulsing is to eliminate H induced etching. Devices fabricated at 120 °C and 300 °C were compared

  1. Impacts of post-metallization annealing on the memory performance of Ti/HfO2-based resistive memory

    International Nuclear Information System (INIS)

    Chen, Pang-Shiu; Chen, Yu-Sheng; Lee, Heng-Yuan

    2013-01-01

    Impacts of post-metallization annealing (PMA) on bipolar resistance switching of Ti/HfO x stacked films were investigated. A Ti capping film as a scavenging layer with assistance of PMA is used to tune the dielectric strength of the 10-nm-thick HfO x layer. The polycrystalline microstructure of 10-nm-thick HfO x seems immune to the temperature of PMA in this work. The initial resistance and forming voltage in the Ti/HfO x devices mitigate as the increment of the annealing temperature. With enough annealing temperature (>450 °C), the device shows a good on/off ratio, high temperature operation ability and robust endurance (>10 6 cycles). Through the reaction between Ti and HfO x at 500 °C, the abundant oxygen ions are depleted from the insulator and the left charge-defects building conductive percolative paths in the dielectric layer. The operation-polarity independence of the form-free HfO x device in initial state is demonstrated. The forming-free memory with initial low resistance of 800 Ω at 0.1 V can be operated with stable bipolar resistance switching via initially positive or negative voltage sweep. The formless device with 10 nm thick HfO x also exhibits excellent nonvolatile memory performances, including enough on/off ratio, improved HRS uniformity and good high temperature retention (3 × 10 4 s at 200 °C). The results of this work suggest that the PMA temperature will affect the memory window and cycling reliability of the Ti/HfO x -based resistive memory. Optimum temperature (450 °C) will improve the memory performance of the Ti/HfO x stacked layer. (paper)

  2. Study on Brewster angle thin film polarizer using hafnia-silica mixture as high-refractive-index material

    Science.gov (United States)

    Xu, Nuo; Zhu, Meiping; Sun, Jian; Chai, Yingjie; Kui, Yi; Zhao, Yuanan; Shao, Jianda

    2018-02-01

    Two kinds of polarizer coatings were prepared by electron beam evaporation, using HfO2-SiO2 mixture and HfO2 as the high-refractive-index materials, respectively. The HfO2-SiO2 mixture layer was implemented by coevaporating SiO2 and metal Hf, the materials were deposited at an oxygen atmosphere to achieve stoichiometric coatings. The certain HfO2 and SiO2 content ratio is controlled by adjusting the deposition rate of HfO2 and SiO2 using individual quartz crystal monitor. The spectral performance, surface and interfacial properties, as well as the laser-induced damage performance were studied and compared. Comparing with polarizer coating using HfO2 as high-refractive-index material, the polarizer coating using HfO2-SiO2 mixture as high-refractive-index material shows better performance with broader polarizing bandwidth, lower surface roughness, better interfacial property while maintaining high laser-induced damage threshold.

  3. Fabrication of Metal Nanoparticle Arrays in the ZrO2(Y, HfO2(Y, and GeOx Films by Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Oleg Gorshkov

    2017-01-01

    Full Text Available The single sheet arrays of Au nanoparticles (NPs embedded into the ZrO2(Y, HfO2(Y, and GeOx (x≈2 films have been fabricated by the alternating deposition of the nanometer-thick dielectric and metal films using Magnetron Sputtering followed by annealing. The structure and optical properties of the NP arrays have been studied, subject to the fabrication technology parameters. The possibility of fabricating dense single sheet Au NP arrays in the matrices listed above with controlled NP sizes (within 1 to 3 nm and surface density has been demonstrated. A red shift of the plasmonic optical absorption peak in the optical transmission spectra of the nanocomposite films (in the wavelength band of 500 to 650 nm has been observed. The effect was attributed to the excitation of the collective surface plasmon-polaritons in the dense Au NP arrays. The nanocomposite films fabricated in the present study can find various applications in nanoelectronics (e.g., single electronics, nonvolatile memory devices, integrated optics, and plasmonics.

  4. Diffractive intermediate layer enables broadband light trapping for high efficiency ultrathin c-Si tandem cells

    Energy Technology Data Exchange (ETDEWEB)

    Li, Guijun, E-mail: gliad@connect.ust.hk; Ho, Jacob Y. L.; Li, He; Kwok, Hoi-Sing [State Key Laboratory on Advanced Displays and Optoelectronics Technologies, Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, Clear Water Bay, Kowloon (Hong Kong)

    2014-06-09

    Light management through the intermediate reflector in the tandem cell configuration is of great practical importance for achieving high stable efficiency and also low cost production. So far, however, the intermediate reflectors employed currently are mainly focused on the light absorption enhancement of the top cell. Here, we present a diffractive intermediate layer that allows for light trapping over a broadband wavelength for the ultrathin c-Si tandem solar cell. Compared with the standard intermediate reflector, this nanoscale architectural intermediate layer results in a 35% and 21% remarkable enhancement of the light absorption in the top (400–800 nm) and bottom (800–1100 nm) cells simultaneously, and ultrathin c-Si tandem cells with impressive conversion efficiency of 13.3% are made on the glass substrate.

  5. Diffractive intermediate layer enables broadband light trapping for high efficiency ultrathin c-Si tandem cells

    International Nuclear Information System (INIS)

    Li, Guijun; Ho, Jacob Y. L.; Li, He; Kwok, Hoi-Sing

    2014-01-01

    Light management through the intermediate reflector in the tandem cell configuration is of great practical importance for achieving high stable efficiency and also low cost production. So far, however, the intermediate reflectors employed currently are mainly focused on the light absorption enhancement of the top cell. Here, we present a diffractive intermediate layer that allows for light trapping over a broadband wavelength for the ultrathin c-Si tandem solar cell. Compared with the standard intermediate reflector, this nanoscale architectural intermediate layer results in a 35% and 21% remarkable enhancement of the light absorption in the top (400–800 nm) and bottom (800–1100 nm) cells simultaneously, and ultrathin c-Si tandem cells with impressive conversion efficiency of 13.3% are made on the glass substrate.

  6. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    Science.gov (United States)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  7. A comparative study of charge trapping in HfO{sub 2}/Al{sub 2}O{sub 3} and ZrO{sub 2}/Al{sub 2}O{sub 3} based multilayered metal/high-k/oxide/Si structures

    Energy Technology Data Exchange (ETDEWEB)

    Spassov, D., E-mail: d_spassov@abv.bg [Institute of Solid State Physics, Bulgarian Academy of Sciences, Tzarigradsko Chaussee 72, Sofia 1784 (Bulgaria); Skeparovski, A. [Institute of Physics, Faculty of Natural Sciences and Mathematics, University “Ss. Cyril and Methodius”, Arhimedova 3, 1000 Skopje (Macedonia, The Former Yugoslav Republic of); Paskaleva, A. [Institute of Solid State Physics, Bulgarian Academy of Sciences, Tzarigradsko Chaussee 72, Sofia 1784 (Bulgaria); Novkovski, N. [Institute of Physics, Faculty of Natural Sciences and Mathematics, University “Ss. Cyril and Methodius”, Arhimedova 3, 1000 Skopje (Macedonia, The Former Yugoslav Republic of)

    2016-09-01

    The electrical properties of multilayered HfO{sub 2}/Al{sub 2}O{sub 3}/HfO{sub 2}/SiO{sub 2} and ZrO{sub 2}/Al{sub 2}O{sub 3}/ZrO{sub 2}/SiO{sub 2} metal-oxide semiconductor capacitors were investigated in order to evaluate the possibility of their application in charge-trapping non-volatile memory devices. The stacks were deposited by reactive radiofrequency magnetron sputtering on Si substrates with thermal SiO{sub 2} with a thickness ranging from 2 to 5 nm. Both types of stacks show negative initial oxide charge and its density is higher for HfO{sub 2}-based structures. Memory window up to 6V at sweeping voltage range of ± 16V was obtained for HfO{sub 2}-based stacks. The hysteresis in these structures is mainly due to a trapping of electrons injected from the Si substrate. The charge-trapping properties of ZrO{sub 2}-based samples are compromised by the high leakage currents and the dielectric breakdown. The conduction through the capacitors at low applied voltages results from hopping of thermally excited electrons from one isolated state to another. The energy depth of the traps participating in the hopping conduction was determined as ~ 0.7 eV for the HfO{sub 2}-based layers and ~ 0.6 eV for ZrO{sub 2}-based ones, originating from negatively charged oxygen vacancies. At high electric fields, the current voltage characteristics were interpreted in terms of space charge limited currents, Fowler–Nordheim tunneling, Schottky emission, and Poole–Frenkel mechanism. The charge retention characteristics do not depend on the thickness of the tunnel SiO{sub 2}. - Highlights: • Sputtered HfO{sub 2}/Al{sub 2}O{sub 3}/HfO{sub 2} and ZrO{sub 2}/Al{sub 2}O{sub 3}/ZrO{sub 2} charge-trapping layers were studied. • HfO{sub 2}/Al{sub 2}O{sub 3}/HfO{sub 2} stacks show memory window up to 6 V and good retention times. • Negatively charged oxygen vacancies were identified as main defects in the stacks. • Electrical breakdown compromise the charge-trapping properties

  8. Ultrathin ZnO interfacial passivation layer for atomic layer deposited ZrO2 dielectric on the p-In0.2Ga0.8As substrate

    Science.gov (United States)

    Liu, Chen; Lü, Hongliang; Yang, Tong; Zhang, Yuming; Zhang, Yimen; Liu, Dong; Ma, Zhenqiang; Yu, Weijian; Guo, Lixin

    2018-06-01

    Interfacial and electrical properties were investigated on metal-oxidesemiconductor capacitors (MOSCAPs) fabricated with bilayer ZnO/ZrO2 films by atomic layer deposition (ALD) on p-In0.2Ga0.8As substrates. The ZnO passivated In0.2Ga0.8As MOSCAPs have exhibited significantly improved capacitance-voltage (C-V) characteristics with the suppressed "stretched out" effect, increased accumulation capacitance and reduced accumulation frequency dispersion as well as the lower gate leakage current. In addition, the interface trap density (Dit) estimated by the Terman method was decreased dramatically for ZnO passivated p-In0.2Ga0.8As. The inherent mechanism is attributed to the fact that an ultrathin ZnO IPL employed by ALD prior to ZrO2 dielectric deposition can effectively suppress the formation of defect-related low-k oxides and As-As dimers at the interface, thus effectively improving the interface quality by largely removing the border traps aligned near the valence band edge of the p-In0.2Ga0.8As substrate.

  9. Interface engineering and reliability characteristics of hafnium dioxide with poly silicon gate and dual metal (ruthenium-tantalum alloy, ruthenium) gate electrode for beyond 65 nm technology

    Science.gov (United States)

    Kim, Young-Hee

    Chip density and performance improvements have been driven by aggressive scaling of semiconductor devices. In both logic and memory applications, SiO 2 gate dielectrics has reached its physical limit, direct tunneling resulting from scaling down of dielectrics thickness. Therefore high-k dielectrics have attracted a great deal of attention from industries as the replacement of conventional SiO2 gate dielectrics. So far, lots of candidate materials have been evaluated and Hf-based high-k dielectrics were chosen to the promising materials for gate dielectrics. However, lots of issues were identified and more thorough researches were carried out on Hf-based high-k dielectrics. For instances, mobility degradation, charge trapping, crystallization, Fermi level pinning, interface engineering, and reliability studies. In this research, reliability study of HfO2 were explored with poly gate and dual metal (Ru-Ta alloy, Ru) gate electrode as well as interface engineering. Hard breakdown and soft breakdown were compared and Weibull slope of soft breakdown was smaller than that of hard breakdown, which led to a potential high-k scaling issue. Dynamic reliability has been studied and the combination of trapping and detrapping contributed the enhancement of lifetime projection. Polarity dependence was shown that substrate injection might reduce lifetime projection as well as it increased soft breakdown behavior. Interface tunneling mechanism was suggested with dual metal gate technology. Soft breakdown (l st breakdown) was mainly due to one layer breakdown of bi-layer structure. Low weibull slope was in part attributed to low barrier height of HfO 2 compared to interface layer. Interface layer engineering was thoroughly studied in terms of mobility, swing, and short channel effect using deep sub-micron MOSFET devices. In fact, Hf-based high-k dielectrics could be scaled down to below EOT of ˜10A and it successfully achieved the competitive performance goals. However, it is

  10. Fundamental Limit of Nanophotonic Light-trapping in Solar Cells

    OpenAIRE

    Yu, Zongfu; Raman, Aaswath; Fan, Shanhui

    2010-01-01

    Establishing the fundamental limit of nanophotonic light-trapping schemes is of paramount importance and is becoming increasingly urgent for current solar cell research. The standard theory of light trapping demonstrated that absorption enhancement in a medium cannot exceed a factor of 4n^2/ sin^2(\\theta), where n is the refractive index of the active layer, and \\theta is the angle of the emission cone in the medium surrounding the cell. This theory, however, is not applicable in the nanophot...

  11. High-resolution magnetostratigraphic and biostratigraphic study of Ethiopian traps-related products in Oligocene sediments from the Indian Ocean

    Science.gov (United States)

    Touchard, Yannick; Rochette, Pierre; Aubry, Marie Pierre; Michard, Annie

    2003-02-01

    Volcanic traps correspond typically to aerial emissions of more than 10 6 km 3 of magma over 1 Myr periods. The potential global impact of such emissions makes the precise correlation of traps with the global magnetobiochronologic timescale an important task. Our study is focused on the Ethiopian traps which correspond to the birth of the Afar hotspot at the triple junction between the Red Sea, Aden Gulf and East-African rift. The Ethiopian traps have a significant acidic component (about 10% of the traps by volume) which enables more efficient stratospheric aerosol diffusion than for the main basaltic eruptions. Furthermore, a magnetostratigraphy is well established for the traps: traps activity began in Chron C11r.2r and ended in Chron C11r.1r or C10r, with well clustered 40Ar/ 39Ar ages at 30±0.5 Ma. Four tephra layers, marked by prominent magnetic susceptibility peaks, occur in Oligocene sections of sites from Ocean Drilling Program Leg 115, drilled in the southern Indian Ocean near Madingley Rise, 2600 km away from the Ethiopian traps. In order to demonstrate that these tephra layers are related to the Ethiopian traps, a high-resolution study of sites 709 and 711 was undertaken, involving magnetostratigraphy and nannofossil stratigraphy, together with isotopic and geochemical characterization of the tephra. Geochemical analyses and isotope ratios of the glass shards indicate the same acid continental source for these tephras which is compatible with the Ethiopian signature. Moreover, Hole 711A provides a reliable magnetostratigraphy for the Oligocene (Chrons 13-9). The tephra layers occur in the interval spanning Chrons C11n.2n-C11n.1n which agrees with the positions of acidic layers in the traps. Calcareous nannofossil stratigraphy confirms the magnetostratigraphic interpretation, with the NP23/24 zonal boundary occurring within the interval containing the tephra layers. Hole 709B supports the results from Hole 711A. Thus, the Ethiopian traps can be

  12. Effects of interfacial Fe electronic structures on magnetic and electronic transport properties in oxide/NiFe/oxide heterostructures

    International Nuclear Information System (INIS)

    Liu, Qianqian; Chen, Xi; Zhang, Jing-Yan; Yang, Meiyin; Li, Xu-Jing; Jiang, Shao-Long; Liu, Yi-Wei; Cao, Yi; Wu, Zheng-Long; Feng, Chun; Ding, Lei; Yu, Guang-Hua

    2015-01-01

    Highlights: • The magnetic and transport properties of oxide/NiFe/oxide films were studied. • The oxide (SiO 2 , MgO and HfO 2 ) has different elemental electronegativity. • Redox reaction at different NiFe/oxide interface is dependent on the oxide layer. • Different interfacial electronic structures shown by XPS influence the properties. - Abstract: We report that the magnetic and electronic transport properties in oxide/NiFe(2 nm)/oxide film (oxide = SiO 2 , MgO or HfO 2 ) are strongly influenced by the electronic structure of NiFe/oxide interface. Magnetic measurements show that there exist magnetic dead layers in the SiO 2 sandwiched film and MgO sandwiched film, whereas there is no magnetic dead layer in the HfO 2 sandwiched film. Furthermore, in the ultrathin SiO 2 sandwiched film no magnetoresistance (MR) is detected, while in the ultrathin MgO sandwiched film and HfO 2 sandwiched film the MR ratios reach 0.35% and 0.88%, respectively. The investigation by X-ray photoelectron spectroscopy reveals that the distinct interfacial redox reactions, which are dependent on the oxide layers, lead to the variation of magnetic and transport properties in different oxide/NiFe/oxide heterostructures

  13. Trapping processes in CaS:Eu2+,Tm3+

    International Nuclear Information System (INIS)

    Jia, Dongdong; Jia, Weiyi; Evans, D. R.; Dennis, W. M.; Liu, Huimin; Zhu, Jing; Yen, W. M.

    2000-01-01

    CaS:Eu 2+ ,Tm 3+ is a persistent red phosphor. Thermoluminescence was measured under different excitation and thermal treatment conditions. The results reveal that the charge defects, created by substituting Tm 3+ for Ca 2+ , serve as hole traps for the afterglow at room temperature. Tm 3+ plays the role of deep electron trapping centers, capturing electrons either through the conduction band or directly from the excited Eu 2+ ions. These two processes, in which two different sites of Tm 3+ are involved, correspond to two traps with different depths. (c) 2000 American Institute of Physics

  14. A pentacene monolayer trapped between graphene and a substrate.

    Science.gov (United States)

    Zhang, Qicheng; Peng, Boyu; Chan, Paddy Kwok Leung; Luo, Zhengtang

    2015-09-21

    A self-assembled pentacene monolayer can be fabricated between the solid-solid interface of few-layered graphene (FLG) and the mica substrate, through a diffusion-spreading method. By utilizing a transfer method that allows us to sandwich pentacene between graphene and mica, followed by controlled annealing, we enabled the diffused pentacene to be trapped in the interfaces and led to the formation of a stable monolayer. We found that the formation of a monolayer is kinetically favored by using a 2D Ising lattice gas model for pentacene trapped between the graphene-substrate interfaces. This kinetic Monte Carlo simulation results indicate that, due to the graphene substrate enclosure, the spreading of the first layer proceeds faster than the second layer, as the kinetics favors the filling of voids by molecules from the second layer. This graphene assisted monolayer assembly method provides a new avenue for the fabrication of two-dimensional monolayer structures.

  15. Transmission electron microscopy assessment of conductive-filament formation in Ni-HfO2-Si resistive-switching operational devices

    Science.gov (United States)

    Martín, Gemma; González, Mireia B.; Campabadal, Francesca; Peiró, Francesca; Cornet, Albert; Estradé, Sònia

    2018-01-01

    Resistive random-access memory (ReRAM) devices are currently the object of extensive research to replace flash non-volatile memory. However, elucidation of the conductive-filament formation mechanisms in ReRAM devices at nanoscale is mandatory. In this study, the different states created under real operation conditions of HfO2-based ReRAM devices are characterized through transmission electron microscopy and electron energy-loss spectroscopy. The physical mechanism behind the conductive-filament formation in Ni/HfO2/Si ReRAM devices based on the diffusion of Ni from the electrode to the Si substrate and of Si from the substrate to the electrode through the HfO2 layer is demonstrated.

  16. Strain relaxation near high-k/Si interface by post-deposition annealing

    International Nuclear Information System (INIS)

    Emoto, T.; Akimoto, K.; Yoshida, Y.; Ichimiya, A.; Nabatame, T.; Toriumi, A.

    2005-01-01

    We studied the effect of post-deposition annealing on a HfO 2 /Si interface of by extremely asymmetric X-ray diffraction. Comparing the rocking curves before annealing the sample with those of the annealed sample, it is found that an interfacial layer with a density of 3 g/cm 3 grows at the interface between the HfO 2 layer and the substrate during post-deposition annealing. The wavelength dependency of the integrated intensities of the rocking curve for the as-deposited sample fluctuated with the observation position. This fluctuation was suppressed by annealing. From these results we concluded that the strain introduced into the substrate becomes homogeneous by annealing. Moreover, a quantitative estimation of the strain by curve fitting reveals the existence of compressive strain under the HfO 2 layer

  17. Electrical characterization of 4H-SiC metal-oxide-semiconductor structure with Al2O3 stacking layers as dielectric

    Science.gov (United States)

    Chang, P. K.; Hwu, J. G.

    2018-02-01

    Interface defects and oxide bulk traps conventionally play important roles in the electrical performance of SiC MOS device. Introducing the Al2O3 stack grown by repeated anodization of Al films can notably lower the leakage current in comparison to the SiO2 structure, and enhance the minority carrier response at low frequency when the number of Al2O3 layers increase. In addition, the interface quality is not deteriorated by the stacking of Al2O3 layers because the stacked Al2O3 structure grown by anodization possesses good uniformity. In this work, the capacitance equivalent thickness (CET) of stacking Al2O3 will be up to 19.5 nm and the oxidation process can be carried out at room temperature. For the Al2O3 gate stack with CET 19.5 nm on n-SiC substrate, the leakage current at 2 V is 2.76 × 10-10 A/cm2, the interface trap density at the flatband voltage is 3.01 × 1011 eV-1 cm-2, and the effective breakdown field is 11.8 MV/cm. Frequency dispersion and breakdown characteristics may thus be improved as a result of the reduction in trap density. The Al2O3 stacking layers are capable of maintaining the leakage current as low as possible even after constant voltage stress test, which will further ameliorate reliability characteristics.

  18. Low-loss, low-confinement GaAs-AlGaAs DQW laser diode with optical trap layer for high-power operation

    NARCIS (Netherlands)

    Buda, M.; Vleuten, van der W.C.; Iordache, G.; Acket, G.A.; Roer, van de T.G.; Es, van C.M.; Roy, van B.H.; Smalbrugge, E.

    1999-01-01

    A low-confinement asymmetric GaAs-AlGaAs double-quantum-well molecular-beam-epitaxy grown laser diode structure with optical trap layer is characterized, The value of the internal absorption coefficient is as low as 1.4 cm-1, while keeping the series resistance at values comparable cm with

  19. Oxide, interface, and border traps in thermal, N2O, and N2O-nitrided oxides

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Saks, N.S.

    1996-01-01

    We have combined thermally stimulated-current (TSC) and capacitance endash voltage (C endash V) measurements to estimate oxide, interface, and effective border trap densities in 6 endash 23 nm thermal, N 2 O, and N 2 O-nitrided oxides exposed to ionizing radiation or high-field electron injection. Defect densities depend strongly on oxide processing, but radiation exposure and moderate high-field stress lead to similar trapped hole peak thermal energy distributions (between ∼1.7 and ∼2.0 eV) for all processes. This suggests that similar defects dominate the oxide charge trapping properties in these devices. Radiation-induced hole and interface trap generation efficiencies (0.1%endash 1%) in the best N 2 O and N 2 O-nitrided oxides are comparable to the best radiation hardened oxides in the literature. After ∼10 Mrad(SiO 2 ) x-ray irradiation or ∼10 mC/cm 2 constant current Fowler endash Nordheim injection, effective border trap densities as high as ∼5x10 11 cm -2 are inferred from C endash V hysteresis. These measurements suggest irradiation and high-field stress cause similar border trap energy distributions. In each case, even higher densities of compensating trapped electrons in the oxides (up to 2x10 12 cm -2 ) are inferred from combined TSC and C endash V measurements. These trapped electrons prevent conventional C endash V methods from providing accurate estimates of the total oxide trap charge density in many irradiation or high-field stress studies. Fewer compensating electrons per trapped hole (∼26%±5%) are found for irradiation of N 2 O and N 2 O-nitrided oxides than for thermal oxides (∼46%±7%). (Abstract Truncated)

  20. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    Science.gov (United States)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  1. Atomistic kinetic Monte Carlo study of atomic layer deposition derived from density functional theory.

    Science.gov (United States)

    Shirazi, Mahdi; Elliott, Simon D

    2014-01-30

    To describe the atomic layer deposition (ALD) reactions of HfO2 from Hf(N(CH3)2)4 and H2O, a three-dimensional on-lattice kinetic Monte-Carlo model is developed. In this model, all atomistic reaction pathways in density functional theory (DFT) are implemented as reaction events on the lattice. This contains all steps, from the early stage of adsorption of each ALD precursor, kinetics of the surface protons, interaction between the remaining precursors (steric effect), influence of remaining fragments on adsorption sites (blocking), densification of each ALD precursor, migration of each ALD precursors, and cooperation between the remaining precursors to adsorb H2O (cooperative effect). The essential chemistry of the ALD reactions depends on the local environment at the surface. The coordination number and a neighbor list are used to implement the dependencies. The validity and necessity of the proposed reaction pathways are statistically established at the mesoscale. The formation of one monolayer of precursor fragments is shown at the end of the metal pulse. Adsorption and dissociation of the H2O precursor onto that layer is described, leading to the delivery of oxygen and protons to the surface during the H2O pulse. Through these processes, the remaining precursor fragments desorb from the surface, leaving the surface with bulk-like and OH-terminated HfO2, ready for the next cycle. The migration of the low coordinated remaining precursor fragments is also proposed. This process introduces a slow reordering motion (crawling) at the mesoscale, leading to the smooth and conformal thin film that is characteristic of ALD. Copyright © 2013 Wiley Periodicals, Inc.

  2. Nano-islands Based Charge Trapping Memory: A Scalability Study

    KAUST Repository

    Elatab, Nazek; Saadat, Irfan; Saraswat, Krishna; Nayfeh, Ammar

    2017-01-01

    Zinc-oxide (ZnO) and zirconia (ZrO2) metal oxides have been studied extensively in the past few decades with several potential applications including memory devices. In this work, a scalability study, based on the ITRS roadmap, is conducted on memory devices with ZnO and ZrO2 nano-islands charge trapping layer. Both nano-islands are deposited using atomic layer deposition (ALD), however, the different sizes, distribution and properties of the materials result in different memory performance. The results show that at the 32-nm node charge trapping memory with 127 ZrO2 nano-islands can provide a 9.4 V memory window. However, with ZnO only 31 nano-islands can provide a window of 2.5 V. The results indicate that ZrO2 nano-islands are more promising than ZnO in scaled down devices due to their higher density, higher-k, and absence of quantum confinement effects.

  3. Nano-islands Based Charge Trapping Memory: A Scalability Study

    KAUST Repository

    Elatab, Nazek

    2017-10-19

    Zinc-oxide (ZnO) and zirconia (ZrO2) metal oxides have been studied extensively in the past few decades with several potential applications including memory devices. In this work, a scalability study, based on the ITRS roadmap, is conducted on memory devices with ZnO and ZrO2 nano-islands charge trapping layer. Both nano-islands are deposited using atomic layer deposition (ALD), however, the different sizes, distribution and properties of the materials result in different memory performance. The results show that at the 32-nm node charge trapping memory with 127 ZrO2 nano-islands can provide a 9.4 V memory window. However, with ZnO only 31 nano-islands can provide a window of 2.5 V. The results indicate that ZrO2 nano-islands are more promising than ZnO in scaled down devices due to their higher density, higher-k, and absence of quantum confinement effects.

  4. First-principles modeling of hafnia-based nanotubes.

    Science.gov (United States)

    Evarestov, Robert A; Bandura, Andrei V; Porsev, Vitaly V; Kovalenko, Alexey V

    2017-09-15

    Hybrid density functional theory calculations were performed for the first time on structure, stability, phonon frequencies, and thermodynamic functions of hafnia-based single-wall nanotubes. The nanotubes were rolled up from the thin free layers of cubic and tetragonal phases of HfO 2 . It was shown that the most stable HfO 2 single-wall nanotubes can be obtained from hexagonal (111) layer of the cubic phase. Phonon frequencies have been calculated for different HfO 2 nanolayers and nanotubes to prove the local stability and to find the thermal contributions to their thermodynamic functions. The role of phonons in stability of nanotubes seems to be negligible for the internal energy and noticeable for the Helmholtz free energy. Zone folding approach has been applied to estimate the connection between phonon modes of the layer and nanotubes and to approximate the nanotube thermodynamic properties. It is found that the zone-folding approximation is sufficiently accurate for heat capacity, but less accurate for entropy. The comparison has been done between the properties of TiO 2 , ZrO 2 , and HfO 2 . © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  5. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  6. A Study on the Trapping Characteristics of Rhenium Oxide Using Ca(OH)_2

    International Nuclear Information System (INIS)

    Lee, Tae-Kyo; Eun, Hee-Chul; Choi, Jung-Hoon; Lee, Ki-Rak; Han, Seung-Youb; Park, Hwan-Seo

    2017-01-01

    The objective of this study was to obtain basic data for trapping gaseous technetium (Tc) oxide generated from the voloxidation process in spent nuclear fuel pyroprocessing. Rhenium (Re) and Ca(OH)_2 were used as surrogates for the technetium and a trapping material, respectively. The trapping characteristics of rhenium oxide were investigated with changing temperatures and molar ratios of calcium (Ca) over rhenium, and the thermal behaviors of the trapping products were observed. The products following after the trapping test were identified as Ca(ReO_4)_2 and Ca_5Re_2O_1_2. The conversion to Ca_5Re_2O_1_2 was preferred with increasing temperatures, and the trapping products were completely converted into Ca5Re2O12 under conditions exceeding 800 ℃, or when maintained at 750 ℃ for 4 hr. The trapping efficiency at a molar ratio of 2.5 (Ca:Re=5:2) was significantly superior to that at the molar ratio of 2.

  7. Effects of H2 High-pressure Annealing on HfO2/Al2O3/In0.53Ga0.47As Capacitors: Chemical Composition and Electrical Characteristics.

    Science.gov (United States)

    Choi, Sungho; An, Youngseo; Lee, Changmin; Song, Jeongkeun; Nguyen, Manh-Cuong; Byun, Young-Chul; Choi, Rino; McIntyre, Paul C; Kim, Hyoungsub

    2017-08-29

    We studied the impact of H 2 pressure during post-metallization annealing on the chemical composition of a HfO 2 /Al 2 O 3 gate stack on a HCl wet-cleaned In 0.53 Ga 0.47 As substrate by comparing the forming gas annealing (at atmospheric pressure with a H 2 partial pressure of 0.04 bar) and H 2 high-pressure annealing (H 2 -HPA at 30 bar) methods. In addition, the effectiveness of H 2 -HPA on the passivation of the interface states was compared for both p- and n-type In 0.53 Ga 0.47 As substrates. The decomposition of the interface oxide and the subsequent out-diffusion of In and Ga atoms toward the high-k film became more significant with increasing H 2 pressure. Moreover, the increase in the H 2 pressure significantly improved the capacitance‒voltage characteristics, and its effect was more pronounced on the p-type In 0.53 Ga 0.47 As substrate. However, the H 2 -HPA induced an increase in the leakage current, probably because of the out-diffusion and incorporation of In/Ga atoms within the high-k stack.

  8. Electronic States of High-k Oxides in Gate Stack Structures

    Science.gov (United States)

    Zhu, Chiyu

    In this dissertation, in-situ X-ray and ultraviolet photoemission spectroscopy have been employed to study the interface chemistry and electronic structure of potential high-k gate stack materials. In these gate stack materials, HfO2 and La2O3 are selected as high-k dielectrics, VO2 and ZnO serve as potential channel layer materials. The gate stack structures have been prepared using a reactive electron beam system and a plasma enhanced atomic layer deposition system. Three interrelated issues represent the central themes of the research: 1) the interface band alignment, 2) candidate high-k materials, and 3) band bending, internal electric fields, and charge transfer. 1) The most highlighted issue is the band alignment of specific high-k structures. Band alignment relationships were deduced by analysis of XPS and UPS spectra for three different structures: a) HfO2/VO2/SiO2/Si, b) HfO 2-La2O3/ZnO/SiO2/Si, and c) HfO 2/VO2/ HfO2/SiO2/Si. The valence band offset of HfO2/VO2, ZnO/SiO2 and HfO 2/SiO2 are determined to be 3.4 +/- 0.1, 1.5 +/- 0.1, and 0.7 +/- 0.1 eV. The valence band offset between HfO2-La2O3 and ZnO was almost negligible. Two band alignment models, the electron affinity model and the charge neutrality level model, are discussed. The results show the charge neutrality model is preferred to describe these structures. 2) High-k candidate materials were studied through comparison of pure Hf oxide, pure La oxide, and alloyed Hf-La oxide films. An issue with the application of pure HfO2 is crystallization which may increase the leakage current in gate stack structures. An issue with the application of pure La2O3 is the presence of carbon contamination in the film. Our study shows that the alloyed Hf-La oxide films exhibit an amorphous structure along with reduced carbon contamination. 3) Band bending and internal electric fields in the gate stack structure were observed by XPS and UPS and indicate the charge transfer during the growth and process. The oxygen

  9. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  10. Ion/Ioff ratio enhancement and scalability of gate-all-around nanowire negative-capacitance FET with ferroelectric HfO2

    Science.gov (United States)

    Jang, Kyungmin; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2017-10-01

    We have investigated the energy efficiency and scalability of ferroelectric HfO2 (FE:HfO2)-based negative-capacitance field-effect-transistor (NCFET) with gate-all-around (GAA) nanowire (NW) channel structure. Analytic simulation is conducted to characterize NW-NCFET by varying NW diameter and/or thickness of gate insulator as device structural parameters. Due to the negative-capacitance effect and GAA NW channel structure, NW-NCFET is found to have 5× higher Ion/Ioff ratio than classical NW-MOSFET and 2× higher than double-gate (DG) NCFET, which results in wider design window for high Ion/Ioff ratio. To analyze these obtained results from the viewpoint of the device scalability, we have considered constraints regarding very limited device structural spaces to fit by the gate insulator and NW channel for aggresively scaled gate length (Lg) and/or very tight NW pitch. NW-NCFET still has design point with very thinned gate insulator and/or narrowed NW. Therefore, FE:HfO2-based NW-NCFET is applicable to the aggressively scaled technology node of sub-10 nm Lg and to the very tight NW integration of sub-30 nm NW pitch for beyond 7 nm technology. From 2011 to 2014, he engaged in developing high-speed optical transceiver module as an alternative military service in Republic of Korea. His research interest includes the development of steep slope MOSFETs for high energy-efficient operation and ferroelectric HfO2-based semiconductor devices, and fabrication of nanostructured devices. He joined the IBM T.J. Watson Research Center, Yorktown Heights, NY, in 2010, where he worked on advanced CMOS technologies such as FinFET, nanowire FET, SiGe channel and III-V channel. He was also engaged in launching 14 nm SOI FinFET and RMG technology development. Since 2014, he has been an Associate Professor in Institute of Industrial Science, University of Tokyo, Tokyo, Japan, where he has been working on ultralow power transistor and memory technology. Dr. Kobayashi is a member of IEEE

  11. UV-laser-light-controlled photoluminescence of metal oxide nanoparticles in different gas atmospheres: BaTiO3, SrTiO3 and HfO2

    International Nuclear Information System (INIS)

    Mochizuki, Shosuke; Saito, Takashi; Yoshida, Kaori

    2012-01-01

    The photoluminescence (PL) enhancement has been studied at room temperature using various specimen atmospheres (O 2 gas, CO 2 gas, CO 2 -H 2 mixture gas, Ar-H 2 mixture gas and vacuum) under 325 nm laser light irradiation on various metal oxides. Of them, the results obtained for BaTiO 3 nanocrystals, SrTiO 3 ones and HfO 2 powder crystal are given in the present paper. Their PL were considerably increased in intensity by irradiation of 325 nm laser light in CO 2 gas and CO 2 -H 2 mixture gas. The cause of the PL intensity enhancements is discussed in the light of the exciton theory, the defect chemistry and the photocatalytic theory. The results may be applied for the utilization of greenhouse gas (CO 2 ) and the optical sensor for CO 2 gas.

  12. Subthreshold slope as a measure of interfacial trap density in pentacene films

    International Nuclear Information System (INIS)

    Kwon, Yongwoo; Park, Byoungnam

    2016-01-01

    Electrical properties in organic field effect transistors (FETs) are dominated by charge transport in the accumulation layer, few molecular layers close to the gate dielectric. Through comparison of the subthreshold slope between monolayer (ML) and thick pentacene FETs, formation of the second layer islands on top of the complete first layer is found to be crucial in determining the charge transport in ML pentacene FETs. It is demonstrated that a pentacene ML field effect transistor (FET) is an excellent probe that can detect electronic states of organic semiconductors interfacing with the gate dielectric at nanometer scale. Far higher sub-threshold slope in ML FETs, as a measure of interfacial charge trap density, than that in thick pentacene FETs is translated that the path of the induced carriers in ML FETs is limited into the molecular layer interfacing with the gate dielectric with a high density of charge traps, while carriers in thicker films have alternative pathways through more electrically conductive layer above the first layer with much less trap density. - Highlights: • Sub-threshold slope is demonstrated to be a measure of interface traps. • For application to sensors, effective charge transport layer should be chosen. • Monolayer transistors can be used as a platform for probing localized states.

  13. Subthreshold slope as a measure of interfacial trap density in pentacene films

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Yongwoo; Park, Byoungnam, E-mail: metalpbn@hongik.ac.kr

    2016-01-29

    Electrical properties in organic field effect transistors (FETs) are dominated by charge transport in the accumulation layer, few molecular layers close to the gate dielectric. Through comparison of the subthreshold slope between monolayer (ML) and thick pentacene FETs, formation of the second layer islands on top of the complete first layer is found to be crucial in determining the charge transport in ML pentacene FETs. It is demonstrated that a pentacene ML field effect transistor (FET) is an excellent probe that can detect electronic states of organic semiconductors interfacing with the gate dielectric at nanometer scale. Far higher sub-threshold slope in ML FETs, as a measure of interfacial charge trap density, than that in thick pentacene FETs is translated that the path of the induced carriers in ML FETs is limited into the molecular layer interfacing with the gate dielectric with a high density of charge traps, while carriers in thicker films have alternative pathways through more electrically conductive layer above the first layer with much less trap density. - Highlights: • Sub-threshold slope is demonstrated to be a measure of interface traps. • For application to sensors, effective charge transport layer should be chosen. • Monolayer transistors can be used as a platform for probing localized states.

  14. Fundamental limit of nanophotonic light trapping in solar cells.

    Science.gov (United States)

    Yu, Zongfu; Raman, Aaswath; Fan, Shanhui

    2010-10-12

    Establishing the fundamental limit of nanophotonic light-trapping schemes is of paramount importance and is becoming increasingly urgent for current solar cell research. The standard theory of light trapping demonstrated that absorption enhancement in a medium cannot exceed a factor of 4n(2)/sin(2)θ, where n is the refractive index of the active layer, and θ is the angle of the emission cone in the medium surrounding the cell. This theory, however, is not applicable in the nanophotonic regime. Here we develop a statistical temporal coupled-mode theory of light trapping based on a rigorous electromagnetic approach. Our theory reveals that the conventional limit can be substantially surpassed when optical modes exhibit deep-subwavelength-scale field confinement, opening new avenues for highly efficient next-generation solar cells.

  15. Dust acoustic solitary waves and double layers in a dusty plasma with two-temperature trapped ions

    International Nuclear Information System (INIS)

    El-Labany, S.K.; El-Taibany, W.F.; Mamun, A.A.; Moslem, Waleed M.

    2004-01-01

    The combined effects of trapped ion distribution, two-ion-temperature, dust charge fluctuation, and dust fluid temperature are incorporated in the study of nonlinear dust acoustic waves in an unmagnetized dusty plasma. It is found that, owing to the departure from the Boltzmann ion distribution to the trapped ion distribution, the dynamics of small but finite amplitude dust acoustic waves is governed by a modified Korteweg-de Vries equation. The latter admits a stationary dust acoustic solitary wave solution, which has stronger nonlinearity, smaller amplitude, wider width, and higher propagation velocity than that involving adiabatic ions. The effect of two-ion-temperature is found to provide the possibility for the coexistence of rarefactive and compressive dust acoustic solitary structures and double layers. Although the dust fluid temperature increases the amplitude of the small but finite amplitude solitary waves, the dust charge fluctuation does the opposite effect. The present investigation should help us to understand the salient features of the nonlinear dust acoustic waves that have been observed in a recent numerical simulation study

  16. Control of thermal deformation in dielectric mirrors using mechanical design and atomic layer deposition.

    Science.gov (United States)

    Gabriel, Nicholas T; Kim, Sangho S; Talghader, Joseph J

    2009-07-01

    A mechanical design technique for optical coatings that simultaneously controls thermal deformation and optical reflectivity is reported. The method requires measurement of the refractive index and thermal stress of single films prior to the design. Atomic layer deposition was used for deposition because of the high repeatability of the film constants. An Al2O3/HfO2 distributed Bragg reflector was deposited with a predicted peak reflectivity of 87.9% at 542.4 nm and predicted edge deformation of -360 nm/K on a 10 cm silicon substrate. The measured peak reflectivity was 85.7% at 541.7 nm with an edge deformation of -346 nm/K.

  17. Gyrotactic trapping: A numerical study

    Science.gov (United States)

    Ghorai, S.

    2016-04-01

    Gyrotactic trapping is a mechanism proposed by Durham et al. ["Disruption of vertical motility by shear triggers formation of thin Phytoplankton layers," Science 323, 1067-1070 (2009)] to explain the formation of thin phytoplankton layer just below the ocean surface. This mechanism is examined numerically using a rational model based on the generalized Taylor dispersion theory. The crucial role of sedimentation speed in the thin layer formation is demonstrated. The effects of variation in different parameters on the thin layer formation are also investigated.

  18. Trapping behaviour of deuterium ions implanted into tungsten simultaneously with carbon ions

    International Nuclear Information System (INIS)

    Kobayashi, Makoto; Suzuki, Sachiko; Wang, Wanjing; Kurata, Rie; Kida, Katsuya; Oya, Yasuhisa; Okuno, Kenji; Ashikawa, Naoko; Sagara, Akio; Yoshida, Naoaki

    2009-01-01

    The trapping behaviour of deuterium ions implanted into tungsten simultaneously with carbon ions was investigated by thermal desorption spectroscopy (TDS) and x-ray photoelectron spectroscopy (XPS). The D 2 TDS spectrum consisted of three desorption stages, namely desorption of deuterium trapped by intrinsic defects, ion-induced defects and carbon with the formation of the C-D bond. Although the deuterium retention trapped by intrinsic defects was almost constant, that by ion-induced defects increased as the ion fluence increased. The retention of deuterium with the formation of the C-D bond was saturated at an ion fluence of 0.5x10 22 D + m -2 , where the major process was changed from the sputtering of tungsten with the formation of a W-C mixture to the formation of a C-C layer, and deuterium retention as the C-D bond decreased. It was concluded that the C-C layer would enhance the chemical sputtering of carbon with deuterium with the formation of CD x and the chemical state of carbon would control the deuterium retention in tungsten under C + -D 2 + implantation.

  19. Identification of nitrogen- and host-related deep-level traps in n-type GaNAs and their evolution upon annealing

    International Nuclear Information System (INIS)

    Gelczuk, Ł.; Kudrawiec, R.; Henini, M.

    2014-01-01

    Deep level traps in as-grown and annealed n-GaNAs layers (doped with Si) of various nitrogen concentrations (N = 0.2%, 0.4%, 0.8%, and 1.2%) were investigated by deep level transient spectroscopy. In addition, optical properties of GaNAs layers were studied by photoluminescence and contactless electroreflectance. The identification of N- and host-related traps has been performed on the basis of band gap diagram [Kudrawiec, Appl. Phys. Lett. 101, 082109 (2012)], which assumes that the activation energy of electron traps of the same microscopic nature decreases with the rise of nitrogen concentration in accordance with the N-related shift of the conduction band towards trap levels. The application of this diagram has allowed to investigate the evolution of donor traps in GaNAs upon annealing. In general, it was observed that the concentration of N- and host-related traps decreases after annealing and PL improves very significantly. However, it was also observed that some traps are generated due to annealing. It explains why the annealing conditions have to be carefully optimized for this material system.

  20. Molecular characterization of a new Babesia bovis thrombospondin-related anonymous protein (BbTRAP2.

    Directory of Open Access Journals (Sweden)

    Mohamad Alaa Terkawi

    Full Text Available A gene encoding a Babesia bovis protein that shares significant degree of similarity to other apicomplexan thrombospondin-related anonymous proteins (TRAPs was found in the genomic database and designated as BbTRAP2. Recombinant protein containing a conserved region of BbTRAP2 was produced in E. coli. A high antigenicity of recombinant BbTRAP2 (rBbTRAP2 was observed with field B. bovis-infected bovine sera collected from geographically different regions of the world. Moreover, antiserum against rBbTRAP2 specifically reacted with the authentic protein by Western blot analysis and an indirect fluorescent antibody test. Three bands corresponding to 104-, 76-, and 44-kDa proteins were identified in the parasite lysates and two bands of 76- and 44-kDa proteins were detected in the supernatant of cultivated parasites, indicating that BbTRAP2 was proteolytically processed and shed into the culture. Apical and surface localizations of BbTRAP2 were observed in the intracellular and extracellular parasites, respectively, by confocal laser microscopic examination. Moreover, native BbTRAP2 was precipitated by bovine erythrocytes, suggesting its role in the attachment to erythrocytes. Furthermore, the specific antibody to rBbTRAP2 inhibited the growth of B. bovis in a concentration-dependent manner. Consistently, pre-incubation of the free merozoites with the antibody to rBbTRAP2 resulted in an inhibition of the parasite invasion into host erythrocytes. Interestingly, the antibody to rBbTRAP2 was the most inhibitive for the parasite's growth as compared to those of a set of antisera produced against different recombinant proteins, including merozoite surface antigen 2c (BbMSA-2c, rhoptry-associated protein 1 C-terminal (BbRAP-1CT, and spherical body protein 1 (BbSBP-1. These results suggest that BbTRAP2 might be a potential candidate for development of a subunit vaccine against B. bovis infection.

  1. Ultrafast Carrier Trapping of a Metal-Doped Titanium Dioxide Semiconductor Revealed by Femtosecond Transient Absorption Spectroscopy

    KAUST Repository

    Sun, Jingya; Yang, Yang; Khan, Jafar I.; Alarousu, Erkki; Guo, Zaibing; Zhang, Xixiang; Zhang, Qiang; Mohammed, Omar F.

    2014-01-01

    We explored for the first time the ultrafast carrier trapping of a metal-doped titanium dioxide (TiO2) semiconductor using broad-band transient absorption (TA) spectroscopy with 120 fs temporal resolution. Titanium dioxide was successfully doped layer-by-layer with two metal ions, namely tungsten and cobalt. The time-resolved data demonstrate clearly that the carrier trapping time decreases progressively as the doping concentration increases. A global-fitting procedure for the carrier trapping suggests the appearance of two time components: a fast one that is directly associated with carrier trapping to the defect state in the vicinity of the conduction band and a slow one that is attributed to carrier trapping to the deep-level state from the conduction band. With a relatively long doping deposition time on the order of 30 s, a carrier lifetime of about 1 ps is obtained. To confirm that the measured ultrafast carrier dynamics are associated with electron trapping by metal doping, we explored the carrier dynamics of undoped TiO2. The findings reported here may be useful for the implementation of high-speed optoelectronic applications and fast switching devices.

  2. Ultrafast Carrier Trapping of a Metal-Doped Titanium Dioxide Semiconductor Revealed by Femtosecond Transient Absorption Spectroscopy

    KAUST Repository

    Sun, Jingya

    2014-06-11

    We explored for the first time the ultrafast carrier trapping of a metal-doped titanium dioxide (TiO2) semiconductor using broad-band transient absorption (TA) spectroscopy with 120 fs temporal resolution. Titanium dioxide was successfully doped layer-by-layer with two metal ions, namely tungsten and cobalt. The time-resolved data demonstrate clearly that the carrier trapping time decreases progressively as the doping concentration increases. A global-fitting procedure for the carrier trapping suggests the appearance of two time components: a fast one that is directly associated with carrier trapping to the defect state in the vicinity of the conduction band and a slow one that is attributed to carrier trapping to the deep-level state from the conduction band. With a relatively long doping deposition time on the order of 30 s, a carrier lifetime of about 1 ps is obtained. To confirm that the measured ultrafast carrier dynamics are associated with electron trapping by metal doping, we explored the carrier dynamics of undoped TiO2. The findings reported here may be useful for the implementation of high-speed optoelectronic applications and fast switching devices.

  3. Deuterium trapping in carbon fiber composites under high fluence

    International Nuclear Information System (INIS)

    Airapetov, A.A.; Begrambekov, L.B.; Kuzmin, A.A.; Shigin, P.A.; Zakharov, A.M.

    2010-01-01

    The paper is devoted to investigation of deuterium trapping in CFC, dance graphite MPG-8 and pyrolytic graphite (PG) under plasma ion- and electron irradiation. Number of specific features of deuterium trapping and retention under plasma ion and electron irradiation is presented and discussed. In particular it is shown that 1) deuterium trapping takes place even when energy of impinging ions approaches zero; 2) deuterium is trapped under irradiation by plasma electrons; 3) under irradiation at equal fluences deuterium trapping is higher, when ion flux is smaller. High energy ion penetrating the surfaces are trapped in the traps created at the expense of their kinetic energy. The process may be named 'kinetic trapping'. Under low energy (smaller than 200 eV) electron and/or ion irradiation the energy of inelastic interaction on the surface provides creation of active centers, which initiate dissociation of deuterium sorbed on the surface, penetration of deuterium atoms into graphite and their trapping in specific low energy traps. The term 'potential trapping' is proposed for this type of trapping. Under high energy irradiation such atoms can fill the traps formed through kinetic mechanism. Origination of moveable deuterium atoms from the layer of surface sorption seems to be time dependent process and it is a reason of increase of trapping along with irradiation time. New features of deuterium trapping and retention in graphite evaluated in this study offer new opportunities for analysis and correct estimation of hydrogen isotope trapping and retention in tokamaks having graphite tiles. (authors)

  4. Effect of annealing on structural changes and oxygen diffusion in amorphous HfO2 using classical molecular dynamics

    Science.gov (United States)

    Shen, Wenqing; Kumari, Niru; Gibson, Gary; Jeon, Yoocharn; Henze, Dick; Silverthorn, Sarah; Bash, Cullen; Kumar, Satish

    2018-02-01

    Non-volatile memory is a promising alternative to present memory technologies. Oxygen vacancy diffusion has been widely accepted as one of the reasons for the resistive switching mechanism of transition-metal-oxide based resistive random access memory. In this study, molecular dynamics simulation is applied to investigate the diffusion coefficient and activation energy of oxygen in amorphous hafnia. Two sets of empirical potential, Charge-Optimized Many-Body (COMB) and Morse-BKS (MBKS), were considered to investigate the structural and diffusion properties at different temperatures. COMB predicts the activation energy of 0.53 eV for the temperature range of 1000-2000 K, while MBKS predicts 2.2 eV at high temperature (1600-2000 K) and 0.36 eV at low temperature (1000-1600 K). Structural changes and appearance of nano-crystalline phases with increasing temperature might affect the activation energy of oxygen diffusion predicted by MBKS, which is evident from the change in coordination number distribution and radial distribution function. None of the potentials make predictions that are fully consistent with density functional theory simulations of both the structure and diffusion properties of HfO2. This suggests the necessity of developing a better multi-body potential that considers charge exchange.

  5. Defect trap model of gas behaviour in UO2 fuel during irradiation

    International Nuclear Information System (INIS)

    Szuta, A.

    2003-01-01

    Fission gas behaviour is one of the central concern in the fuel design, performance and hypothetical accident analysis. The report 'Defect trap model of gas behaviour in UO 2 fuel during irradiation' is the worldwide literature review of problems studied, experimental results and solutions proposed in related topics. Some of them were described in details in the report chapters. They are: anomalies in the experimental results; fission gas retention in the UO 2 fuel; microstructure of the UO 2 fuel after irradiation; fission gas release models; defect trap model of fission gas behaviour; fission gas release from UO 2 single crystal during low temperature irradiation in terms of a defect trap model; analysis of dynamic release of fission gases from single crystal UO 2 during low temperature irradiation in terms of defect trap model; behaviour of fission gas products in single crystal UO 2 during intermediate temperature irradiation in terms of a defect trap model; modification of re-crystallization temperature of UO 2 in function of burnup and its impact on fission gas release; apparent diffusion coefficient; formation of nanostructures in UO 2 fuel at high burnup; applications of the defect trap model to the gas leaking fuel elements number assessment in the nuclear power station (VVER-PWR)

  6. Location of trapped charge in aluminum-implanted SiO2

    International Nuclear Information System (INIS)

    DiMaria, D.J.; Young, D.R.; Hunter, W.R.; Serrano, C.M.

    1978-01-01

    The position of the centroid of electrons trapped on sites resulting from aluminum implantation into SiO 2 is measured by using the photo I-V technique for energies from 15 to 40 keV, oxide thicknesses from 49 to 140 nm, and post-implant annealing temperature from 600 to 1050 0 C in N 2 for 30 min. The centroid of the trapped electrons is found to be identical to that of the implanted aluminum from SIMS measurements, regardless of annealing temperature from 600 to 1050 0 C, and located closer (by less than 9 nm) to the Al--SiO 2 interface than predicted from the Lindhard-Scharff-Schott (LSS) calculations of Gibbons, Johnson, and Mylroie. Comparison of centroids determined from photo I-V and SIMS measurements as a function of SiO 2 thickness also implies that the distributions of the ions and negative trapped charge are the same. The trapping behavior of these sites is discussed in the accompanying paper by Young et al

  7. Trap-induced photoconductivity in singlet fission pentacene diodes

    Energy Technology Data Exchange (ETDEWEB)

    Qiao, Xianfeng, E-mail: qiaoxianfeng@hotmail.com; Zhao, Chen; Chen, Bingbing; Luan, Lin [WuHan National Laboratory for Optoelectronics and School of Optical and Electronic Information, Huazhong University of Science and Technology, Wu Han 430074 (China)

    2014-07-21

    This paper reports a trap-induced photoconductivity in ITO/pentacene/Al diodes by using current-voltage and magneto-conductance measurements. The comparison of photoconductivity between pentacene diodes with and without trap clearly shows that the traps play a critical role in generating photoconductivity. It shows that no observable photoconductivity is detected for trap-free pentacene diodes, while significant photoconductivity is observed in diodes with trap. This is because the initial photogenerated singlet excitons in pentacene can rapidly split into triplet excitons with higher binding energy prior to dissociating into free charge carriers. The generated triplet excitons react with trapped charges to release charge-carriers from traps, leading to a trap-induced photoconductivity in the single-layer pentacene diodes. Our studies elucidated the formation mechanisms of photoconductivity in pentacene diodes with extremely fast singlet fission rate.

  8. * Calvarial Bone Regeneration Is Enhanced by Sequential Delivery of FGF-2 and BMP-2 from Layer-by-Layer Coatings with a Biomimetic Calcium Phosphate Barrier Layer.

    Science.gov (United States)

    Gronowicz, Gloria; Jacobs, Emily; Peng, Tao; Zhu, Li; Hurley, Marja; Kuhn, Liisa T

    2017-12-01

    A drug delivery coating for synthetic bone grafts has been developed to provide sequential delivery of multiple osteoinductive factors to better mimic aspects of the natural regenerative process. The coating is composed of a biomimetic calcium phosphate (bCaP) layer that is applied to a synthetic bone graft and then covered with a poly-l-Lysine/poly-l-Glutamic acid polyelectrolyte multilayer (PEM) film. Bone morphogenetic protein-2 (BMP-2) was applied before the coating process directly on the synthetic bone graft and then, bCaP-PEM was deposited followed by adsorption of fibroblast growth factor-2 (FGF-2) into the PEM layer. Cells access the FGF-2 immediately, while the bCaP-PEM temporally delays the cell access to BMP-2. In vitro studies with cells derived from mouse calvarial bones demonstrated that Sca-1 and CD-166 positive osteoblast progenitor cells proliferated in response to media dosing with FGF-2. Coated scaffolds with BMP-2 and FGF-2 were implanted in mouse calvarial bone defects and harvested at 1 and 3 weeks. After 1 week in vivo, proliferation of cells, including Sca-1+ progenitors, was observed with low dose FGF-2 and BMP-2 compared to BMP-2 alone, indicating that in vivo delivery of FGF-2 activated a similar population of cells as shown by in vitro testing. At 3 weeks, FGF-2 and BMP-2 delivery increased bone formation more than BMP-2 alone, particularly in the center of the defect, confirming that the proliferation of the Sca-1 positive osteoprogenitors by FGF-2 was associated with increased bone healing. Areas of bone mineralization were positive for double fluorochrome labeling of calcium and alkaline phosphatase staining of osteoblasts, along with increased TRAP+ osteoclasts, demonstrating active bone formation distinct from the bone-like collagen/hydroxyapatite scaffold. In conclusion, the addition of a bCaP layer to PEM delayed access to BMP-2 and allowed the FGF-2 stimulated progenitors to populate the scaffold before differentiating in

  9. High-Performance All 2D-Layered Tin Disulfide: Graphene Photodetecting Transistors with Thickness-Controlled Interface Dynamics.

    Science.gov (United States)

    Chang, Ren-Jie; Tan, Haijie; Wang, Xiaochen; Porter, Benjamin; Chen, Tongxin; Sheng, Yuewen; Zhou, Yingqiu; Huang, Hefu; Bhaskaran, Harish; Warner, Jamie H

    2018-04-18

    Tin disulfide crystals with layered two-dimensional (2D) sheets are grown by chemical vapor deposition using a novel precursor approach and integrated into all 2D transistors with graphene (Gr) electrodes. The Gr:SnS 2 :Gr transistors exhibit excellent photodetector response with high detectivity and photoresponsivity. We show that the response of the all 2D photodetectors depends upon charge trapping at the interface and the Schottky barrier modulation. The thickness-dependent SnS 2 measurements in devices reveal a transition from the interface-dominated response for thin crystals to bulklike response for the thicker SnS 2 crystals, showing the sensitivity of devices fabricated using layered materials on the number of layers. These results show that SnS 2 has photosensing performance when combined with Gr electrodes that is comparable to other 2D transition metal dichalcogenides of MoS 2 and WS 2 .

  10. Interfacial charge trapping in the polymer solar cells and its elimination by solvent annealing

    Directory of Open Access Journals (Sweden)

    A. K. Chauhan

    2016-09-01

    Full Text Available The PCDTBT:PCBM solar cells were fabricated adopting a tandem layer approach to investigate the critical issues of charge trapping, radiation absorption, and efficiency in polymer solar cells. This layered structure was found to be a source of charge trapping which was identified and confirmed by impedance spectroscopy. The low efficiency in multilayered structures was related to trapping of photo-generated carriers and low carrier mobility, and thus an increased recombination. Solvent annealing of the structures in tetrahydrofuran vapors was found beneficial in homogenizing the active layer, dissolving additional interfaces, and elimination of charge traps which improved the carrier mobilities and eventually the device efficiencies.

  11. Resistive switching memory properties of layer-by-layer assembled enzyme multilayers

    International Nuclear Information System (INIS)

    Baek, Hyunhee; Cho, Jinhan; Lee, Chanwoo; Lim, Kwang-il

    2012-01-01

    The properties of enzymes, which can cause reversible changes in currents through redox reactions in solution, are of fundamental and practical importance in bio-electrochemical applications. These redox properties of enzymes are often associated with their charge-trap sites. Here, we demonstrate that reversible changes in resistance in dried lysozyme (LYS) films can be generated by an externally applied voltage as a result of charge trap/release. Based on such changes, LYS can be used as resistive switching active material for nonvolatile memory devices. In this study, cationic LYS and anionic poly(styrene sulfonate) (PSS) layers were alternately deposited onto Pt-coated silicon substrates using a layer-by-layer assembly method. Then, top electrodes were deposited onto the top of LYS/PSS multilayers to complete the fabrication of the memory-like device. The LYS/PSS multilayer devices exhibited typical resistive switching characteristics with an ON/OFF current ratio above 10 2 , a fast switching speed of 100 ns and stable performance. Furthermore, the insertion of insulating polyelectrolytes (PEs) between the respective LYS layers significantly enhanced the memory performance of the devices showing a high ON/OFF current ratio of ∼10 6 and low levels of power consumption. (paper)

  12. A vacancy-modulated self-selective resistive switching memory with pronounced nonlinear behavior

    Science.gov (United States)

    Ma, Haili; Feng, Jie; Gao, Tian; Zhu, Xi

    2017-12-01

    In this study, we report a self-selective (nonlinear) resistive switching memory cell, with high on-state half-bias nonlinearity of 650, sub-μA operating current, and high On/Off ratios above 100×. Regarding the cell structure, a thermal oxidized HfO x layer in combination with a sputtered Ta2O5 layer was configured as an active stack, with Pt and Hf as top and bottom electrodes, respectively. The Ta2O5 acts as a selective layer as well as a series resistor, which could make the resistive switching happened in HfO x layer. Through the analysis of the physicochemical properties and electrical conduction mechanisms at each state, a vacancy-modulated resistance switching model was proposed to explain the switching behavior. The conductivity of HfO x layer was changed by polarity-dependent drift of the oxygen vacancy ( V o), resulting in an electron hopping distance change during switching. With the help of Ta2O5 selective layer, high nonlinearity observed in low resistance state. The proposed material stack shows a promising prospect to act as a self-selective cell for 3D vertical RRAM application.

  13. Pumped helium system for cooling positron and electron traps to 1.2 K

    CERN Document Server

    Wrubel, J; Kolthammer, W S; Larochelle, P; McConnell, R; Richerme, P; Grzonka, D; Oelert, W; Sefzick, T; Zielinski, M; Borbely, J S; George, M C; Hessels, E A; Storry, C H; Weel, M; Mullers, A; Walz, J; Speck, A

    2011-01-01

    Extremely precise tests of fundamental particle symmetries should be possible via laser spectroscopy of trapped antihydrogen ((H) over bar) atoms. (H) over bar atoms that can be trapped must have an energy in temperature units that is below 0.5 K-the energy depth of the deepest magnetic traps that can currently be constructed with high currents and superconducting technology. The number of atoms in a Boltzmann distribution with energies lower than this trap depth depends sharply upon the temperature of the thermal distribution. For example, ten times more atoms with energies low enough to be trapped are in a thermal distribution at a temperature of 1.2 K than for a temperature of 4.2 K. To date, (H) over bar atoms have only been produced within traps whose electrode temperature is 4.2 K or higher. A lower temperature apparatus is desirable if usable numbers of atoms that can be trapped are to eventually be produced. This report is about the pumped helium apparatus that cooled the trap electrodes of an (H) ove...

  14. Deep levels in as-grown and Si-implanted In(0.2)Ga(0.8)As-GaAs strained-layer superlattice optical guiding structures

    Science.gov (United States)

    Dhar, S.; Das, U.; Bhattacharya, P. K.

    1986-01-01

    Trap levels in about 2-micron In(0.2)Ga(0.8)As(94 A)/GaAs(25 A) strained-layer superlattices, suitable for optical waveguides, have been identified and characterized by deep-level transient spectroscopy and optical deep-level transient spectroscopy measurements. Several dominant electron and hole traps with concentrations of approximately 10 to the 14th/cu cm, and thermal ionization energies Delta-E(T) varying from 0.20 to 0.75 eV have been detected. Except for a 0.20-eV electron trap, which might be present in the In(0.2)Ga(0.8)As well regions, all the other traps have characteristics similar to those identified in molecular-beam epitaxial GaAs. Of these, a 0.42-eV hole trap is believed to originate from Cu impurities, and the others are probably related to native defects. Upon Si implantation and halogen lamp annealing, new deep centers are created. These are electron traps with Delta-E(T) = 0.81 eV and hole traps with Delta-E(T) = 0.46 eV. Traps occurring at room temperature may present limitations for optical devices.

  15. Wide-angle light-trapping electrode for photovoltaic cells.

    Science.gov (United States)

    Omelyanovich, Mikhail M; Simovski, Constantin R

    2017-10-01

    In this Letter, we experimentally show that a submicron layer of a transparent conducting oxide that may serve a top electrode of a photovoltaic cell based on amorphous silicon when properly patterned by notches becomes an efficient light-trapping structure. This is so for amorphous silicon thin-film solar cells with properly chosen thicknesses of the active layers (p-i-n structure with optimal thicknesses of intrinsic and doped layers). The nanopatterned layer of transparent conducting oxide reduces both the light reflectance from the photovoltaic cell and transmittance through the photovoltaic layers for normal incidence and for all incidence angles. We explain the physical mechanism of our light-trapping effect, prove that this mechanism is realized in our structure, and show that the nanopatterning is achievable in a rather easy and affordable way that makes our method of solar cell enhancement attractive for industrial adaptations.

  16. Effect of an interface Mg insertion layer on the reliability of a magnetic tunnel junction based on a Co{sub 2}FeAl full-Heusler alloy

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jungmin; Kil, Gyuhyun; Lee, Gaehun; Choi, Chulmin; Song, Yunheub [Hanyang University, Seoul (Korea, Republic of); Sukegawa, Hiroaki; Mitani, Seiji [National Institute for Materials Science, Ibaraki (Japan)

    2014-04-15

    The reliability of a magnetic tunnel junction (MTJ) based on a Co{sub 2}FeAl (CFA) full-Heusler alloy with a MgO tunnel barrier was evaluated. In particular, the effect of a Mg insertion layer under the MgO was investigated in view of resistance drift by using various voltage stress tests. We compared the resistance change during constant voltage stress (CVS) and confirmed a trap/detrap phenomenon during the interval stress test for samples with and without a Mg insertion layer. The MTJ with a Mg insertion layer showed a relatively small resistance change for the CVS test and a reduced trap/detrap phenomenon for the interval stress test compared to the sample without a Mg insertion layer. This is understood to be caused by the improved crystallinity at the bottom of the CFA/MgO interface due to the Mg insertion layer, which provides a smaller number of trap site during the stress test. As a result, the interface condition of the MgO layer is very important for the reliability of a MTJ using a full-Heusler alloy, and the the insert of a Mg layer at the MgO interface is expected to be an effective method for enhancing the reliability of a MTJ.

  17. A Study on the Trapping Characteristics of Rhenium Oxide Using Ca(OH){sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Tae-Kyo; Eun, Hee-Chul; Choi, Jung-Hoon; Lee, Ki-Rak; Han, Seung-Youb; Park, Hwan-Seo [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2017-01-15

    The objective of this study was to obtain basic data for trapping gaseous technetium (Tc) oxide generated from the voloxidation process in spent nuclear fuel pyroprocessing. Rhenium (Re) and Ca(OH){sub 2} were used as surrogates for the technetium and a trapping material, respectively. The trapping characteristics of rhenium oxide were investigated with changing temperatures and molar ratios of calcium (Ca) over rhenium, and the thermal behaviors of the trapping products were observed. The products following after the trapping test were identified as Ca(ReO{sub 4}){sub 2} and Ca{sub 5}Re{sub 2}O{sub 12}. The conversion to Ca{sub 5}Re{sub 2}O{sub 12} was preferred with increasing temperatures, and the trapping products were completely converted into Ca5Re2O12 under conditions exceeding 800 ℃, or when maintained at 750 ℃ for 4 hr. The trapping efficiency at a molar ratio of 2.5 (Ca:Re=5:2) was significantly superior to that at the molar ratio of 2.

  18. The investigation of structure, chemical composition, hydrogen isotope trapping and release processes in deposition layers on surfaces exposed to DIII-D divertor plasma

    International Nuclear Information System (INIS)

    Buzhinskij, O.I.; Opimach, I.V.; Barsuk, V.A.; Arkhipov, I.I.; Whyte, D.; Wampler, W.R.

    1998-05-01

    The exposure of ATG graphite sample to DIII-D divertor plasma was provided by the DiMES (Divertor Material Evaluation System) mechanism. The graphite sample arranged to receive the parallel heat flux on a small region of the surface was exposed to 600ms of outer strike point plasma. The sample was constructed to collect the eroded material directed downward into a trapping zone onto s Si disk collector. The average heat flux onto the graphite sample during the exposure was about 200W/cm 2 , and the parallel heat flux was about 10 KW/cm 2 . After the exposure the graphite sample and Si collector disk were analyzed using SEM, NRA, RBS, Auger spectroscopy. IR and Raman spectroscopy. The thermal desorption was studied also. The deposited coating on graphite sample is amorphous carbon layer. Just upstream of the high heat flux zone the redeposition layer has a globular structure. The deposition layer on Si disk is composed also from carbon but has a diamond-like structure. The areal density of C and D in the deposited layer on Si disk varied in poloidal and toroidal directions. The maximum D/C areal density ratio is about 0.23, maximum carbon density is about 3.8 x 10 18 cm -2 , maximum D area density is about 3 x 10 17 cm 2 . The thermal desorption spectrum had a peak at 1,250K

  19. SO2 sorption on fresh and aged SOx traps

    International Nuclear Information System (INIS)

    Limousy, L.; Mahzoul, H.; Brilhac, J.F.; Gilot, P.; Garin, F.; Maire, G.

    2003-01-01

    This study has an important impact on gasoline engine-pollution control working under lean conditions. While NO x trap systems can remove NO x under an oxidative atmosphere, they are poisoned by SO x present in the exhaust gases. In order to protect NO x traps, an upstream SO x trap has to be used. SO 2 adsorption was studied in the presence of water and oxygen. Model and commercial catalysts were tested between 300 and 700C. In order to assign the TPD peaks, the decomposition of commercial sulphates was studied versus the temperature. Adsorption capacity is not sensitive to oxygen and SO 2 concentrations but is strongly related to barium content. Cerium content is not a key parameter for SO 2 adsorption capacity in the presence of oxygen. XPS analysis allowed us to differentiate between all the species formed during the adsorption process. When the catalysts are aged, specific surface area decreases as well as adsorption capacity

  20. Paths to light trapping in thin film GaAs solar cells.

    Science.gov (United States)

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  1. Interface charge trapping induced flatband voltage shift during plasma-enhanced atomic layer deposition in through silicon via

    Science.gov (United States)

    Li, Yunlong; Suhard, Samuel; Van Huylenbroeck, Stefaan; Meersschaut, Johan; Van Besien, Els; Stucchi, Michele; Croes, Kristof; Beyer, Gerald; Beyne, Eric

    2017-12-01

    A Through Silicon Via (TSV) is a key component for 3D integrated circuit stacking technology, and the diameter of a TSV keeps scaling down to reduce the footprint in silicon. The TSV aspect ratio, defined as the TSV depth/diameter, tends to increase consequently. Starting from the aspect ratio of 10, to improve the TSV sidewall coverage and reduce the process thermal budget, the TSV dielectric liner deposition process has evolved from sub-atmospheric chemical vapour deposition to plasma-enhanced atomic layer deposition (PE-ALD). However, with this change, a strong negative shift in the flatband voltage is observed in the capacitance-voltage characteristic of the vertical metal-oxide-semiconductor (MOS) parasitic capacitor formed between the TSV copper metal and the p-Si substrate. And, no shift is present in planar MOS capacitors manufactured with the same PE-ALD oxide. By comparing the integration process of these two MOS capacitor structures, and by using Elastic Recoil Detection to study the elemental composition of our films, it is found that the origin of the negative flatband voltage shift is the positive charge trapping at the Si/SiO2 interface, due to the positive PE-ALD reactants confined to the narrow cavity of high aspect ratio TSVs. This interface charge trapping effect can be effectively mitigated by high temperature annealing. However, this is limited in the real process due to the high thermal budget. Further investigation on liner oxide process optimization is needed.

  2. Surface State Capture Cross-Section at the Interface between Silicon and Hafnium Oxide

    Directory of Open Access Journals (Sweden)

    Fu-Chien Chiu

    2013-01-01

    Full Text Available The interfacial properties between silicon and hafnium oxide (HfO2 are explored by the gated-diode method and the subthreshold measurement. The density of interface-trapped charges, the current induced by surface defect centers, the surface recombination velocity, and the surface state capture cross-section are obtained in this work. Among the interfacial properties, the surface state capture cross-section is approximately constant even if the postdeposition annealing condition is changed. This effective capture cross-section of surface states is about 2.4 × 10−15 cm2, which may be an inherent nature in the HfO2/Si interface.

  3. Interfacial and electrical properties of HfAlO/GaSb metal-oxide-semiconductor capacitors with sulfur passivation

    International Nuclear Information System (INIS)

    Tan Zhen; Zhao Lian-Feng; Wang Jing; Xu Jun

    2014-01-01

    Interfacial and electrical properties of HfAlO/GaSb metal-oxide-semiconductor capacitors (MOSCAPs) with sulfur passivation were investigated and the chemical mechanisms of the sulfur passivation process were carefully studied. It was shown that the sulfur passivation treatment could reduce the interface trap density D it of the HfAlO/GaSb interface by 35% and reduce the equivalent oxide thickness (EOT) from 8 nm to 4 nm. The improved properties are due to the removal of the native oxide layer, as was proven by x-ray photoelectron spectroscopy measurements and high-resolution cross-sectional transmission electron microscopy (HRXTEM) results. It was also found that GaSb-based MOSCAPs with HfAlO gate dielectrics have interfacial properties superior to those using HfO 2 or Al 2 O 3 dielectric layers. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  4. Conduction mechanisms in thin atomic layer deposited Al2O3 layers

    International Nuclear Information System (INIS)

    Spahr, Holger; Montzka, Sebastian; Reinker, Johannes; Hirschberg, Felix; Kowalsky, Wolfgang; Johannes, Hans-Hermann

    2013-01-01

    Thin Al 2 O 3 layers of 2–135 nm thickness deposited by thermal atomic layer deposition at 80 °C were characterized regarding the current limiting mechanisms by increasing voltage ramp stress. By analyzing the j(U)-characteristics regarding ohmic injection, space charge limited current (SCLC), Schottky-emission, Fowler-Nordheim-tunneling, and Poole-Frenkel-emission, the limiting mechanisms were identified. This was performed by rearranging and plotting the data in a linear scale, such as Schottky-plot, Poole-Frenkel-plot, and Fowler-Nordheim-plot. Linear regression then was applied to the data to extract the values of relative permittivity from Schottky-plot slope and Poole-Frenkel-plot slope. From Fowler-Nordheim-plot slope, the Fowler-Nordheim-energy-barrier was extracted. Example measurements in addition to a statistical overview of the results of all investigated samples are provided. Linear regression was applied to the region of the data that matches the realistic values most. It is concluded that ohmic injection and therefore SCLC only occurs at thicknesses below 12 nm and that the Poole-Frenkel-effect is no significant current limiting process. The extracted Fowler-Nordheim-barriers vary in the range of up to approximately 4 eV but do not show a specific trend. It is discussed whether the negative slope in the Fowler-Nordheim-plot could in some cases be a misinterpreted trap filled limit in the case of space charge limited current

  5. Improved DC performance of AlGaN/GaN high electron mobility transistors using hafnium oxide for surface passivation

    International Nuclear Information System (INIS)

    Liu, Chang; Chor, Eng Fong; Tan, Leng Seow

    2007-01-01

    Improved DC performance of AlGaN/GaN high electron mobility transistors (HEMTs) have been demonstrated using reactive-sputtered hafnium oxide (HfO 2 ) thin film as the surface passivation layer. Hall data indicate a significant increase in the product of sheet carrier concentration (n s ) and electron mobility (μ n ) in the HfO 2 -passivated HEMTs, compared to the unpassivated HEMTs. This improvement in electron carrier characteristics gives rise to a 22% higher I Dmax and an 18% higher g mmax in HEMTs with HfO 2 passivation relative to the unpassivated devices. On the other hand, I gleak of the HEMTs decreases by nearly one order of magnitude when HfO 2 passivation is applied. In addition, drain current is measured in the subthreshold regime. Compared to the unpassivated HEMTs, HfO 2 -passivated HEMTs exhibit a much smaller off-state I D , indicating better turn-off characteristics

  6. Understanding Coulomb Scattering Mechanism in Monolayer MoS2 Channel in the Presence of h-BN Buffer Layer.

    Science.gov (United States)

    Joo, Min-Kyu; Moon, Byoung Hee; Ji, Hyunjin; Han, Gang Hee; Kim, Hyun; Lee, Gwanmu; Lim, Seong Chu; Suh, Dongseok; Lee, Young Hee

    2017-02-08

    As the thickness becomes thinner, the importance of Coulomb scattering in two-dimensional layered materials increases because of the close proximity between channel and interfacial layer and the reduced screening effects. The Coulomb scattering in the channel is usually obscured mainly by the Schottky barrier at the contact in the noise measurements. Here, we report low-temperature (T) noise measurements to understand the Coulomb scattering mechanism in the MoS 2 channel in the presence of h-BN buffer layer on the silicon dioxide (SiO 2 ) insulating layer. One essential measure in the noise analysis is the Coulomb scattering parameter (α SC ) which is different for channel materials and electron excess doping concentrations. This was extracted exclusively from a 4-probe method by eliminating the Schottky contact effect. We found that the presence of h-BN on SiO 2 provides the suppression of α SC twice, the reduction of interfacial traps density by 100 times, and the lowered Schottky barrier noise by 50 times compared to those on SiO 2 at T = 25 K. These improvements enable us to successfully identify the main noise source in the channel, which is the trapping-detrapping process at gate dielectrics rather than the charged impurities localized at the channel, as confirmed by fitting the noise features to the carrier number and correlated mobility fluctuation model. Further, the reduction in contact noise at low temperature in our system is attributed to inhomogeneous distributed Schottky barrier height distribution in the metal-MoS 2 contact region.

  7. Controlling trapping potentials and stray electric fields in a microfabricated ion trap through design and compensation

    International Nuclear Information System (INIS)

    Charles Doret, S; Amini, Jason M; Wright, Kenneth; Volin, Curtis; Killian, Tyler; Ozakin, Arkadas; Denison, Douglas; Hayden, Harley; Pai, C-S; Slusher, Richart E; Harter, Alexa W

    2012-01-01

    Recent advances in quantum information processing with trapped ions have demonstrated the need for new ion trap architectures capable of holding and manipulating chains of many (>10) ions. Here we present the design and detailed characterization of a new linear trap, microfabricated with scalable complementary metal-oxide-semiconductor (CMOS) techniques, that is well-suited to this challenge. Forty-four individually controlled dc electrodes provide the many degrees of freedom required to construct anharmonic potential wells, shuttle ions, merge and split ion chains, precisely tune secular mode frequencies, and adjust the orientation of trap axes. Microfabricated capacitors on dc electrodes suppress radio-frequency pickup and excess micromotion, while a top-level ground layer simplifies modeling of electric fields and protects trap structures underneath. A localized aperture in the substrate provides access to the trapping region from an oven below, permitting deterministic loading of particular isotopic/elemental sequences via species-selective photoionization. The shapes of the aperture and radio-frequency electrodes are optimized to minimize perturbation of the trapping pseudopotential. Laboratory experiments verify simulated potentials and characterize trapping lifetimes, stray electric fields, and ion heating rates, while measurement and cancellation of spatially-varying stray electric fields permits the formation of nearly-equally spaced ion chains. (paper)

  8. Time-dependence hole and electron trapping effects in SIMOX buried oxides

    International Nuclear Information System (INIS)

    Boesch, H.E. Jr.; Taylor, T.L.; Hite, L.R.; Bailey, W.E.

    1990-01-01

    Back-channel threshold shift associated with the buried oxide layers of separation by implanted oxygen (SIMOX) and zone-melted recrystallization (ZMR) field-effect transistors (FETs) was measured following pulsed irradiation as a function of temperature and back-gate bias using a fast time-resolved I-V measurement technique. The SIMOX FETs showed large initial negative voltage shifts at 0.2 ms after irradiation followed by temperature- and bias-dependent additional negative shifts to 800s. Analysis and modeling of the results indicate efficient deep trapping of radiation-generated holes in the bulk of the oxide, substantial initial trapping of radiation-generated electrons in the oxide, and rapid removal of the trapped electrons by a thermal detrapping process. The ZMR FETs showed evidence of substantial trapping of holes alone in the oxide bulk

  9. An experimental investigation on the substitution of HFC134a with HFO1234YF in a domestic refrigerator

    International Nuclear Information System (INIS)

    Aprea, Ciro; Greco, Adriana; Maiorino, Angelo

    2016-01-01

    Highlights: • An experimental comparison between R134A and HFO1234YF is presented. • A domestic refrigerator made to work with R134A is analysed. • The annual energy consumptions of the device are reported. • The energy saving achievable after the drop-in is evaluated. - Abstract: The latest international regulations on the reduction of greenhouse gases are strongly redesigning the scenario of use of refrigerants, gradually eliminating the possibility of employing the HFCs. Among all sectors of refrigeration, one of the most affected by these restrictions is the domestic refrigeration. Although for it have been suggested some solutions, such as the construction of household refrigerator operating with the hydrocarbons, there remains the need to find a substitute for HFC134a. In particular, the substitution may involve both existing machines and already in operation, which cannot be loaded with hydrocarbons, and new devices. With the aim of finding a simple implementation solution, in the present work, it is reported an experimental investigation carried out on a domestic refrigerator designed and built to operate with HFC134a and for which a drop-in with HFO1234yf has been realised. The experimentation has been addressed so as to highlight the behaviour of the system as a result of the drop-in, with a particular interest in the energy performance variation. A slight energy saving has been recorded with HFO1234yf, and an improving of the cooling capacity has been noticed.

  10. Stability of Trapped Electrons in SiO(2)

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Winokur, P.S.

    1999-01-01

    Thermally stimulated current and capacitance voltage methods are used to investigate the thermal stability of trapped electrons associated with radiation-induced trapped positive charge in metal-oxide-semiconductor capacitors. The density of deeply trapped electrons in radiation-hardened 45 nm oxides exceeds that of shallow electrons by a factor of ∼3 after radiation exposure, and by up to a factor of 10 or more during biased annealing. Shallow electron traps anneal faster than deep traps, and seem to be at least qualitatively consistent with the model of Lelis et al. Deeper traps maybe part of a fundamentally distinct dipole complex, and/or have shifted energy levels that inhibit charge exchange with the Si

  11. Change in carrier type in high-k gate carbon nanotube field-effect transistors by interface fixed charges

    International Nuclear Information System (INIS)

    Moriyama, N; Ohno, Y; Kitamura, T; Kishimoto, S; Mizutani, T

    2010-01-01

    We study the phenomenon of change in carrier type in carbon nanotube field-effect transistors (CNFETs) caused by the atomic layer deposition (ALD) of a HfO 2 gate insulator. When a HfO 2 layer is deposited on a CNFET, the type of carrier changes from p-type to n-type. The so-obtained n-type device has good performance and stability in air. The conductivity of such a device with a channel length of 0.7 μm is 11% of the quantum conductance 4e 2 /h. The contact resistance for electron current is estimated to be 14 kΩ. The n-type conduction of this CNFET is maintained for more than 100 days. The change in carrier type is attributed to positive fixed charges introduced at the interface between the HfO 2 and SiO 2 layers. We also propose a novel technique to control the type of conduction by utilizing interface fixed charges; this technique is compatible with Si CMOS process technology.

  12. Improvement in the performance of an InGaZnO thin-film transistor by controlling interface trap densities between the insulator and active layer

    International Nuclear Information System (INIS)

    Trinh, Thanh Thuy; Nguyen, Van Duy; Ryu, Kyungyul; Jang, Kyungsoo; Lee, Wonbeak; Baek, Seungshin; Raja, Jayapal; Yi, Junsin

    2011-01-01

    An amorphous InGaZnO film fabricated by radio frequency magnetron sputtering in only an Ar-reactive gas shows high conductivity, and a thin-film transistors (TFTs)-based IGZO active layer expresses a poor on/off current ratio with a high off current and high subthreshold swing (SS). This paper presents the post-annealing effects on IGZO thin films to compensate the oxygen deficiencies in films as well as on TFT devices to reduce the densities of the interface trap between the active layer and insulator. The ratio of oxygen vacancies over total of oxygen (O 2 /O tot ) in IGZO estimated by the XPS measurement shows that they significantly diminish from 24.75 to 17.68% when increasing the temperature treatment to 350 °C, which is related to the enhancement in resistivity of IGZO. The TFT characteristics of IGZO treated in air at 350 °C show a high I ON /I OFF ratio of ∼1.1 × 10 7 , a high field-effect mobility of 7.48 cm 2 V −1 s −1 , and a low SS of 0.41 V dec −1 . The objective of this paper is to achieve a successful reduction in the interface trap density, ΔD it , which has been reduced about 3.1 × 10 12 cm −2 eV −1 and 2.0 × 10 12 cm −2 eV −1 for the 350 and 200 °C treatment samples compared with the as-deposited one. The resistivity of the IGZO films can be adjusted to the appropriate value that can be used for TFT applications by controlling the treatment temperature

  13. Charge trapping at organic/self-assembly molecule interfaces studied by electrical switching behaviour in a crosspoint structure

    International Nuclear Information System (INIS)

    Li Yun; Pan Lijia; Pu Lin; Shi Yi; Liu Chuan; Tsukagoshi, Kazuhito

    2012-01-01

    Charge trapping at organic/self-assembly molecule (SAM) interfaces is studied by the electrical switching behaviour in a crosspoint structure, where interfacial charge trapping tunes the potential barrier of the SAM layer. The sample with rubrene exhibits the write-once read-many-times memory effect, which is due to the interfacial charges trapped at deep states. On the other hand, the sample with 2-amino-4,5-dicyanoimidazole presents recyclable conduction transition, which results from the trapped charges distributed at shallow states. Moreover, the percentage of the charges trapped at shallow states can be estimated from electrical transition levels. (paper)

  14. Charge trapping at organic/self-assembly molecule interfaces studied by electrical switching behaviour in a crosspoint structure

    Science.gov (United States)

    Li, Yun; Liu, Chuan; Pan, Lijia; Pu, Lin; Tsukagoshi, Kazuhito; Shi, Yi

    2012-01-01

    Charge trapping at organic/self-assembly molecule (SAM) interfaces is studied by the electrical switching behaviour in a crosspoint structure, where interfacial charge trapping tunes the potential barrier of the SAM layer. The sample with rubrene exhibits the write-once read-many-times memory effect, which is due to the interfacial charges trapped at deep states. On the other hand, the sample with 2-amino-4,5-dicyanoimidazole presents recyclable conduction transition, which results from the trapped charges distributed at shallow states. Moreover, the percentage of the charges trapped at shallow states can be estimated from electrical transition levels.

  15. Quantitative analysis of trap states through the behavior of the sulfur ions in MoS2 FETs following high vacuum annealing

    Science.gov (United States)

    Bae, Hagyoul; Jun, Sungwoo; Kim, Choong-Ki; Ju, Byeong-Kwon; Choi, Yang-Kyu

    2018-03-01

    Few-layer molybdenum disulfide (MoS2) has attracted a great deal of attention as a semiconductor material for electronic and optoelectronic devices. However, the presence of localized states inside the bandgap is a critical issue that must be addressed to improve the applicability of MoS2 technology. In this work, we investigated the density of states (DOS: g(E)) inside the bandgap of MoS2 FET by using a current-voltage (I-V) analysis technique with the aid of high vacuum annealing (HVA). The g(E) can be obtained by combining the trap density and surface potential (ψ S) extracted from a consistent subthreshold current (I D-sub). The electrical performance of MoS2 FETs is strongly dependent on the inherent defects, which are closely related to the g(E) in the MoS2 active layer. By applying the proposed technique to the MoS2 FETs, we were able to successfully characterize the g(E) after stabilization of the traps by the HVA, which reduces the hysteresis distorting the intrinsic g(E). Also, the change of sulfur ions in MoS2 film before and after the HVA treatment is investigated directly by Auger electron spectroscopy analysis. The proposed technique provides a new methodology for active channel engineering of 2D channel based FETs such as MoS2, MoTe2, WSe2, and WS2.

  16. Charge Carrier Trapping Processes in RE2O2S (RE = La, Gd, Y, and Lu)

    NARCIS (Netherlands)

    Luo, H.; Bos, A.J.J.; Dorenbos, P.

    2017-01-01

    Two different charge carrier trapping processes have been investigated in RE2O2S:Ln3+ (RE = La, Gd, Y, and Lu; Ln = Ce, Pr, and Tb) and RE2O2S:M (M = Ti4+ and Eu3+). Cerium, praseodymium and terbium act as recombination centers and hole trapping centers while host intrinsic defects provide the

  17. Using the C-V curve of an mis diode to examine the trapping levels in a semiconductor containing many discrete traps

    Science.gov (United States)

    Cook, R. K.; Kasold, J. P.; Jones, K. A.

    1980-04-01

    It is shown that the trap concentrations and depths can be obtained from the slopes of the ( C/ ci) 2 vs VG curves and the change in ( C/ Ci) 2 at the transition points for an MIS diode, and that this method is particularly applicable to single type semiconductors such as CdS. This is done by developing equations using the abrupt depletion layer model. In this paper the equations are derived, they are used to determine the ideal C-V curves of copper doped CdS, and then they are used to analyze C-V curves of gold doped silicon. Equations are also derived that predict how the C-V curve will be affected when the depletion layer punches through a doped layer, and calculations are made for copper doped CdS.

  18. Hydration layers trapped between graphene and a hydrophilic substrate

    International Nuclear Information System (INIS)

    Temmen, M; Reichling, M; Bollmann, T R J; Ochedowski, O; Schleberger, M

    2014-01-01

    Graphene is mechanically exfoliated on CaF 2 (111) under ambient conditions. We demonstrate the formation of a several monolayer thick hydration layer on the hydrophilic substrate and its response to annealing at temperatures up to 750 K in an ultra-high vacuum environment. Upon heating, water is released, however, it is impossible to remove the first layer. The initially homogeneous film separates into water-containing and water-free domains by two-dimensional Ostwald ripening. Upon severe heating, thick graphene multilayers undergo rupture, while nanoblisters confining sealed water appear on thinner sheets, capable of the storage and release of material. From modeling the dimensions of the nanoblisters, we estimate the graphene/CaF 2 (111) interfacial adhesion energy to be 0.33±0.13 J m −2 , thereby viable for polymer-assisted transfer printing. (paper)

  19. Ferroelectric transistors with monolayer molybdenum disulfide and ultra-thin aluminum-doped hafnium oxide

    Science.gov (United States)

    Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan

    2017-07-01

    In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.

  20. Features of carrier tunneling between the silicon valence band and metal in devices based on the Al/high-K oxide/SiO_2/Si structure

    International Nuclear Information System (INIS)

    Vexler, M. I.; Grekhov, I. V.

    2016-01-01

    The features of electron tunneling from or into the silicon valence band in a metal–insulator–semiconductor system with the HfO_2(ZrO_2)/SiO_2 double-layer insulator are theoretically analyzed for different modes. It is demonstrated that the valence-band current plays a less important role in structures with HfO_2(ZrO_2)/SiO_2 than in structures containing only silicon dioxide. In the case of a very wide-gap high-K oxide ZrO_2, nonmonotonic behavior related to tunneling through the upper barrier is predicted for the valence-band–metal current component. The use of an insulator stack can offer certain advantages for some devices, including diodes, bipolar tunnel-emitter transistors, and resonant-tunneling diodes, along with the traditional use of high-K insulators in a field-effect transistor.

  1. Charge separation in contact systems with CdSe quantum dot layers

    Energy Technology Data Exchange (ETDEWEB)

    Zillner, Elisabeth Franziska

    2013-03-06

    Quantum dot (QD) solar cells are a fast developing area in the field of solution processed photovoltaics. Central aspects for the application of QDs in solar cells are separation and transport of charge carriers in the QD layers and the formation of charge selective contacts. Even though efficiencies of up to 7% were reached in QD solar cells, these processes are not yet fully understood. In this thesis the mechanisms of charge separation, transport and recombination in CdSe QD layers and layer systems were studied. Charge separation was measured via surface photovoltage (SPV) at CdSe QD layers with thicknesses in the range of monolayers. To determine the influence of interparticle distance of QDs and trap states on the surface of QDs on charge separation, QDs with four different surfactant layers were studied. Layers of CdSe QDs were prepared on ITO, Si, SiO{sub 2} and CdS by dip coating under inert atmosphere. The layers were characterized by Rutherford backscattering spectrometry, UV-vis spectroscopy, step profilometry and scanning electron microscopy to determine the areal density, the absorption and thickness of CdSe QD monolayers. SPV measurements show that initial charge separation from the CdSe QDs on ITO only happened from the fi rst monolayer of QDs. Electrons, photo-excited in the fi rst monolayer of CdSe QDs, were trapped on the ITO surface. The remaining free holes were trapped in surface states and/or diffused into the neighboring QD layers. The thick surfactant layer ({approx} 1.6 nm) of pristine QDs had to be reduced by washing and/or ligand exchange for separation of photo-excited charge carriers. Both, interparticle distance and trap density, influenced the processes of charge separation and recombination. SPV transients of CdSe monolayers could be described by a single QD approximation model, based on Miller-Abrahams hopping of holes between the delocalized excitonic state, traps on the surface of the QD and the filled trap on the ITO surface

  2. Charge separation in contact systems with CdSe quantum dot layers

    International Nuclear Information System (INIS)

    Zillner, Elisabeth Franziska

    2013-01-01

    Quantum dot (QD) solar cells are a fast developing area in the field of solution processed photovoltaics. Central aspects for the application of QDs in solar cells are separation and transport of charge carriers in the QD layers and the formation of charge selective contacts. Even though efficiencies of up to 7% were reached in QD solar cells, these processes are not yet fully understood. In this thesis the mechanisms of charge separation, transport and recombination in CdSe QD layers and layer systems were studied. Charge separation was measured via surface photovoltage (SPV) at CdSe QD layers with thicknesses in the range of monolayers. To determine the influence of interparticle distance of QDs and trap states on the surface of QDs on charge separation, QDs with four different surfactant layers were studied. Layers of CdSe QDs were prepared on ITO, Si, SiO 2 and CdS by dip coating under inert atmosphere. The layers were characterized by Rutherford backscattering spectrometry, UV-vis spectroscopy, step profilometry and scanning electron microscopy to determine the areal density, the absorption and thickness of CdSe QD monolayers. SPV measurements show that initial charge separation from the CdSe QDs on ITO only happened from the fi rst monolayer of QDs. Electrons, photo-excited in the fi rst monolayer of CdSe QDs, were trapped on the ITO surface. The remaining free holes were trapped in surface states and/or diffused into the neighboring QD layers. The thick surfactant layer (∼ 1.6 nm) of pristine QDs had to be reduced by washing and/or ligand exchange for separation of photo-excited charge carriers. Both, interparticle distance and trap density, influenced the processes of charge separation and recombination. SPV transients of CdSe monolayers could be described by a single QD approximation model, based on Miller-Abrahams hopping of holes between the delocalized excitonic state, traps on the surface of the QD and the filled trap on the ITO surface

  3. Trapping and interactions of an ultracold gas of Cs2 molecules

    International Nuclear Information System (INIS)

    Mark, M.; Kraemer, T.; Herbig, J.; Waldburger, P.; Naegerl, H.C.; Chin, C.; Grimm, R.

    2005-01-01

    Full text: We investigate dynamics and interactions of Cs 2 dimers in a CO2-laser dipole trap. Starting with a Bose-Einstein condensate (BEC) of 2.2 x 10 5 Cs atoms, we create ultracold molecules in a single, weakly bound quantum state by sweeping the magnetic field across a narrow Feshbach resonance. When the molecules are created in free space, the conversion efficiency exceeds 30 %, yielding up to 50000 molecules. In our trapping experiments, about 6000 ultracold Cs 2 dimers are prepared in the optical trap at a temperature of 200 nK. We transfer the trapped molecules from the initial molecular state to other molecular states by following avoided crossings. We find two magnetically tunable resonances in collisions between the molecules for one of the molecular states. We interpret these Feshbach-liKEX resonances as being induced by Cs 4 bound states near the molecular scattering continuum. Further, we have discovered a new molecular state with very large orbital angular momentum of l = 8. This state is very weakly coupled to one of the initial molecular states. We use the associated avoided crossing as a molecular beam splitter to realize a molecular Ramsey-type interferometer. Refs. 2 (author)

  4. Pore-scale imaging of capillary trapped supercritical CO2 as controlled by water-wet vs. CO2-wet media and grain shapes

    Science.gov (United States)

    Chaudhary, K.; Cardenas, M.; Wolfe, W. W.; Maisano, J. A.; Ketcham, R. A.; Bennett, P.

    2013-12-01

    The capillary trapping of supercritical CO2 (s-CO2) is postulated to comprise up to 90% of permanently trapped CO2 injected during geologic sequestration. Successive s-CO2/brine flooding experiments under reservoir conditions showed that water-wet rounded beads trapped 15% of injected s-CO2 both as clusters and as individual ganglia, whereas CO2¬-wet beads trapped only 2% of the injected s-CO2 as minute pockets in pore constrictions. Angular water-wet grains trapped 20% of the CO2 but flow was affected by preferential flow. Thus, capillary trapping is a viable mechanism for the permanent CO2 storage, but its success is constrained by the media wettability.

  5. Atmospheric H2O2 measurement: comparison of cold trap method with impinger bubbling method

    Science.gov (United States)

    Sakugawa, H.; Kaplan, I. R.

    1987-01-01

    Collection of atmospheric H2O2 was performed by a cold trap method using dry ice-acetone as the refrigerant. The air was drawn by a pump into a glass gas trap immersed in the dry ice-acetone slush in a dewar flask at a flow rate of 2.5 l min-1 for approximately 2 h. Collection efficiency was > 99% and negligible interferences by O3, SO2 or organic matter with the collected H2O2 in the trap were observed. This method was compared with the air impinger bubbling method which has been previously described (Kok et al., 1978a, b, Envir. Sci. Technol. 12, 1072-1080). The measured total peroxide (H2O2 + organic peroxide) values in a series of aim samples collected by the impinger bubbling method (0.06-3.7 ppb) were always higher than those obtained by the cold trap method (0.02-1.2 ppb). Laboratory experiments suggest that the difference in values between the two methods probably results from the aqueous phase generation of H2O2 and organic peroxide in the impinger solution by a reaction of atmospheric O3 with olefinic and aromatic compounds. If these O3-organic compound reactions which occur in the impinger also occur in aqueous droplets in the atmosphere, the process could be very important for aqueous phase generation of H2O2 in clouds and rainwater.

  6. Photo-reactive charge trapping memory based on lanthanide complex

    Science.gov (United States)

    Zhuang, Jiaqing; Lo, Wai-Sum; Zhou, Li; Sun, Qi-Jun; Chan, Chi-Fai; Zhou, Ye; Han, Su-Ting; Yan, Yan; Wong, Wing-Tak; Wong, Ka-Leung; Roy, V. A. L.

    2015-10-01

    Traditional utilization of photo-induced excitons is popularly but restricted in the fields of photovoltaic devices as well as photodetectors, and efforts on broadening its function have always been attempted. However, rare reports are available on organic field effect transistor (OFET) memory employing photo-induced charges. Here, we demonstrate an OFET memory containing a novel organic lanthanide complex Eu(tta)3ppta (Eu(tta)3 = Europium(III) thenoyltrifluoroacetonate, ppta = 2-phenyl-4,6-bis(pyrazol-1-yl)-1,3,5-triazine), in which the photo-induced charges can be successfully trapped and detrapped. The luminescent complex emits intense red emission upon ultraviolet (UV) light excitation and serves as a trapping element of holes injected from the pentacene semiconductor layer. Memory window can be significantly enlarged by light-assisted programming and erasing procedures, during which the photo-induced excitons in the semiconductor layer are separated by voltage bias. The enhancement of memory window is attributed to the increasing number of photo-induced excitons by the UV light. The charges are stored in this luminescent complex for at least 104 s after withdrawing voltage bias. The present study on photo-assisted novel memory may motivate the research on a new type of light tunable charge trapping photo-reactive memory devices.

  7. Trapped field measurements on MgB{sub 2} bulk samples

    Energy Technology Data Exchange (ETDEWEB)

    Koblischka, Michael; Karwoth, Thomas; Zeng, XianLin; Hartmann, Uwe [Institute of Experimental Physics, Saarland University, P. O. Box 151150, D-66041 Saarbruecken (Germany); Berger, Kevin; Douine, Bruno [University of Lorraine, GREEN, 54506 Vandoeuvre-les-Nancy (France)

    2016-07-01

    Trapped field measurements were performed on bulk, polycrystalline MgB{sub 2} samples stemming from different sources with the emphasis to develop applications like superconducting permanent magnets ('supermagnets') and electric motors. We describe the setup for the trapped field measurements and the experimental procedure (field cooling, zero-field cooling, field sweep rates). The trapped field measurements were conducted using a cryocooling system to cool the bulk samples to the desired temperatures, and a low-loss cryostat equipped with a room-temperature bore and a maximum field of ±5 T was employed to provide the external magnetic field. The superconducting coil of this cryostat is operated using a bidirectional power supply. Various sweep rates of the external magnetic field ranging between 1 mT/s and 40 mT/s were used to generate the applied field. The measurements were performed with one sample and two samples stacked together. A maximum trapped field of 7 T was recorded. We discuss the results obtained and the problems arising due to flux jumping, which is often seen for the MgB{sub 2} samples cooled to temperatures below 10 K.

  8. Positron lifetimes and distributions in the infinite-layer compound SrCuO2 and related materials

    International Nuclear Information System (INIS)

    Ishibashi, Shoji; Terada, Norio; Hirabayashi, Masayuki; Ihara, Hideo

    1994-01-01

    We have calculated distributions and lifetimes of positrons in the infinite-layer compound SrCuO 2 and those trapped at possible point defects therein. In the delocalized state, positrons show their density maxima at interstitial sites in the Sr planes and have a significant overlap also with Cu and O atoms. The corresponding positron lifetime is 149 ps. It has been revealed that the Sr vacancy strongly localizes positrons with the binding energy of 2.8 eV and the lifetime of 238 ps, while the O vacancy does not trap positrons. Calculations are also performed on related materials Sr 2 Cu 4 O 6 and Sr 4 Cu 6 O 10 , which are characterized by one-dimensional networks of edge-sharing CuO 4 squares. Positrons are predominantly distributed between these networks in these materials and their corresponding lifetimes are 170-171 ps. (orig.)

  9. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    Science.gov (United States)

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  10. Effect of layer thickness on the thermal release from Be-D co-deposited layers

    Science.gov (United States)

    Baldwin, M. J.; Doerner, R. P.

    2014-08-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967-70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D2 release from co-deposited Be-(0.05)D layers produced at ˜323 K. Bake desorption of layers of thickness 0.2-0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be-D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction.

  11. Lift enhancement by trapped vortex

    Science.gov (United States)

    Rossow, Vernon J.

    1992-01-01

    The viewgraphs and discussion of lift enhancement by trapped vortex are provided. Efforts are continuously being made to find simple ways to convert wings of aircraft from an efficient cruise configuration to one that develops the high lift needed during landing and takeoff. The high-lift configurations studied here consist of conventional airfoils with a trapped vortex over the upper surface. The vortex is trapped by one or two vertical fences that serve as barriers to the oncoming stream and as reflection planes for the vortex and the sink that form a separation bubble on top of the airfoil. Since the full three-dimensional unsteady flow problem over the wing of an aircraft is so complicated that it is hard to get an understanding of the principles that govern the vortex trapping process, the analysis is restricted here to the flow field illustrated in the first slide. It is assumed that the flow field between the two end plates approximates a streamwise strip of the flow over a wing. The flow between the endplates and about the airfoil consists of a spanwise vortex located between the suction orifices in the endplates. The spanwise fence or spoiler located near the nose of the airfoil serves to form a separated flow region and a shear layer. The vorticity in the shear layer is concentrated into the vortex by withdrawal of fluid at the suction orifices. As the strength of the vortex increases with time, it eventually dominates the flow in the separated region so that a shear or vertical layer is no longer shed from the tip of the fence. At that point, the vortex strength is fixed and its location is such that all of the velocity contributions at its center sum to zero thereby making it an equilibrium point for the vortex. The results of a theoretical analysis of such an idealized flow field are described.

  12. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  13. Microfabricated Microwave-Integrated Surface Ion Trap

    Science.gov (United States)

    Revelle, Melissa C.; Blain, Matthew G.; Haltli, Raymond A.; Hollowell, Andrew E.; Nordquist, Christopher D.; Maunz, Peter

    2017-04-01

    Quantum information processing holds the key to solving computational problems that are intractable with classical computers. Trapped ions are a physical realization of a quantum information system in which qubits are encoded in hyperfine energy states. Coupling the qubit states to ion motion, as needed for two-qubit gates, is typically accomplished using Raman laser beams. Alternatively, this coupling can be achieved with strong microwave gradient fields. While microwave radiation is easier to control than a laser, it is challenging to precisely engineer the radiated microwave field. Taking advantage of Sandia's microfabrication techniques, we created a surface ion trap with integrated microwave electrodes with sub-wavelength dimensions. This multi-layered device permits co-location of the microwave antennae and the ion trap electrodes to create localized microwave gradient fields and necessary trapping fields. Here, we characterize the trap design and present simulated microwave performance with progress towards experimental results. This research was funded, in part, by the Office of the Director of National Intelligence (ODNI), Intelligence Advanced Research Projects Activity (IARPA).

  14. Study of the irreversible trapping of dihydrogen with the use of a MnO2/Ag2O mixture

    International Nuclear Information System (INIS)

    Galliez, K.

    2012-01-01

    The hydrogen risk generated by radiolysis of organic compounds during a nuclear waste transport is a major issue. The use of irreversible getters is considered to limit this risk. The aim of this work is to study one of these getters, MnO 2 /Ag 2 O, in order to better understand the trapping phenomenon and to ensure its reliability. Initially several parameters affecting the trapping kinetics were studied. It has been shown that among all MnO 2 allotropic phases, the nsutite has the best trapping kinetics. Moreover, specific surface area and defect amount in MnO 2 can enhance trapping performances. Ag 2 O weight percentage (acting as promotor) has been determined at 13% to have the best trapping kinetics. Chemisorption of H 2 , which is required for its application, has been shown by using several characterization techniques such as magnetometry, infrared spectroscopy and electronic energy loss spectroscopy. The trapping irreversibility and the regeneration of the getter have been demonstrated respectively under nitrogen or air at 150 C. Finally, proton insertion mechanism in MnO 2 has been elucidated by pairs distribution function analysis through the development of a model to simulate the complex structure of MnO 2 . It has been shown that Ag 2 O is turned into Ag 2 CO 3 during the preparation of the getter under water, which has never been demonstrated. (author) [fr

  15. Flow regime visualization and pressure drops of HFO-1234yf, R-134a and R-410A during downward two-phase flow in vertical return bends

    International Nuclear Information System (INIS)

    Padilla, Miguel; Revellin, Rémi; Wallet, Jérémy; Bonjour, Jocelyn

    2013-01-01

    Highlights: ► Visual observation of two-phase flow regimes during downward flow in a return bend. ► Bubble and vapor slug dynamical behaviors in downward slug flow are reported. ► Perturbation lengths up- and downstream of the return bend have been investigated. ► Measurement of 285 pressure drop data points for HFO-1234yf, R-134a and R-410A. -- Abstract: This paper provides a qualitative visual observation of the two-phase flow patterns for HFO-1234yf and R-134a during downward flow in a vertical 6.7 mm inner diameter glass return bend. The different flow regimes observed are: slug, intermittent and annular flows. Bubble and vapor slug dynamical behaviors in downward slug flow are reported for HFO-1234yf. In addition, to determine the perturbation lengths up- and downstream of the return bend, the total pressure drop has been measured at different pressure tap location up- and downstream of the singularity. Furthermore, 285 pressure drop data points measured for two-phase flow of HFO-1234yf, R-134a and R-410A in vertical downward flow return bends are presented. The flow behavior in the return bend, which is subjected to the complex combined actions of gravity and centrifugal force was expressed in terms of the vapor Froude number. This experimental pressure drop database, which is included in the appendix, is compared to four well-known prediction methods available in the literature

  16. Applicability of new spin trap agent, 2-diphenylphosphinoyl-2-methyl-3,4-dihydro-2H-pyrrole N-oxide, in biological system

    International Nuclear Information System (INIS)

    Karakawa, Tomohiro; Sato, Keizo; Muramoto, Yosuke; Mitani, Yoshihiro; Kitamado, Masataka; Iwanaga, Tatsuya; Nabeshima, Tetsuji; Maruyama, Kumiko; Nakagawa, Kazuko; Ishida, Kazuhiko; Sasamoto, Kazumi

    2008-01-01

    Electron spin resonance using spin-trapping is a useful technique for detecting direct reactive oxygen species, such as superoxide (O 2 .- ). However, the widely used spin trap 2,2-dimethyl-3,4-dihydro-2H-pyrrole N-oxide (DMPO) has several fundamental limitations in terms of half-life and stability. Recently, the new spin trap 2-diphenylphosphinoyl-2-methyl-3,4-dihydro-2H-pyrrole N-oxide (DPhPMPO) was developed by us. We evaluated the biological applicability of DPhPMPO to analyze O 2 .- in both cell-free and cellular systems. DPhPMPO had a larger rate constant for O 2 .- and formed more stable spin adducts for O 2 .- than DMPO in the xanthine/xanthine oxidase (X/XO) system. In the phorbol myristate acetate-activated neutrophil system, the detection potential of DPhPMPO for O 2 .- was significantly higher than that of DMPO (k DMPO = 13.95 M -1 s -1 , k DPhPMPO = 42.4 M -1 s -1 ). These results indicated that DPhPMPO is a potentially good candidate for trapping O 2 .- in a biological system

  17. Biosensor properties of SOI nanowire transistors with a PEALD Al{sub 2}O{sub 3} dielectric protective layer

    Energy Technology Data Exchange (ETDEWEB)

    Popov, V. P., E-mail: popov@isp.nsc.ru; Ilnitskii, M. A.; Zhanaev, E. D. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics, Siberian Branch (Russian Federation); Myakon’kich, A. V.; Rudenko, K. V. [Russian Academy of Sciences, Physical Technological Institute (Russian Federation); Glukhov, A. V. [Novosibirsk Semiconductor Device Plant and Design Bureau (Russian Federation)

    2016-05-15

    The properties of protective dielectric layers of aluminum oxide Al{sub 2}O{sub 3} applied to prefabricated silicon-nanowire transistor biochips by the plasma enhanced atomic layer deposition (PEALD) method before being housed are studied depending on the deposition and annealing modes. Coating the natural silicon oxide with a nanometer Al{sub 2}O{sub 3} layer insignificantly decreases the femtomole sensitivity of biosensors, but provides their stability in bioliquids. In deionized water, transistors with annealed aluminum oxide are closed due to the trapping of negative charges of <(1–10) × 10{sup 11} cm{sup −2} at surface states. The application of a positive potential to the substrate (V{sub sub} > 25 V) makes it possible to eliminate the negative charge and to perform multiple measurements in liquid at least for half a year.

  18. Flow regimes in a trapped vortex cell

    Science.gov (United States)

    Lasagna, D.; Iuso, G.

    2016-03-01

    This paper presents results of an experimental investigation on the flow in a trapped vortex cell, embedded into a flat plate, and interacting with a zero-pressure-gradient boundary layer. The objective of the work is to describe the flow features and elucidate some of the governing physical mechanisms, in the light of recent investigations on flow separation control using vortex cells. Hot-wire velocity measurements of the shear layer bounding the cell and of the boundary layers upstream and downstream are reported, together with spectral and correlation analyses of wall-pressure fluctuation measurements. Smoke flow visualisations provide qualitative insight into some relevant features of the internal flow, namely a large-scale flow unsteadiness and possible mechanisms driving the rotation of the vortex core. Results are presented for two very different regimes: a low-Reynolds-number case where the incoming boundary layer is laminar and its momentum thickness is small compared to the cell opening, and a moderately high-Reynolds-number case, where the incoming boundary layer is turbulent and the ratio between the momentum thickness and the opening length is significantly larger than in the first case. Implications of the present findings to flow control applications of trapped vortex cells are also discussed.

  19. Ab initio localized basis set study of structural parameters and elastic properties of HfO2 polymorphs

    International Nuclear Information System (INIS)

    Caravaca, M A; Casali, R A

    2005-01-01

    The SIESTA approach based on pseudopotentials and a localized basis set is used to calculate the electronic, elastic and equilibrium properties of P 2 1 /c, Pbca, Pnma, Fm3m, P4 2 nmc and Pa3 phases of HfO 2 . Using separable Troullier-Martins norm-conserving pseudopotentials which include partial core corrections for Hf, we tested important physical properties as a function of the basis set size, grid size and cut-off ratio of the pseudo-atomic orbitals (PAOs). We found that calculations in this oxide with the LDA approach and using a minimal basis set (simple zeta, SZ) improve calculated phase transition pressures with respect to the double-zeta basis set and LDA (DZ-LDA), and show similar accuracy to that determined with the PPPW and GGA approach. Still, the equilibrium volumes and structural properties calculated with SZ-LDA compare better with experiments than the GGA approach. The bandgaps and elastic and structural properties calculated with DZ-LDA are accurate in agreement with previous state of the art ab initio calculations and experimental evidence and cannot be improved with a polarized basis set. These calculated properties show low sensitivity to the PAO localization parameter range between 40 and 100 meV. However, this is not true for the relative energy, which improves upon decrease of the mentioned parameter. We found a non-linear behaviour in the lattice parameters with pressure in the P 2 1 /c phase, showing a discontinuity of the derivative of the a lattice parameter with respect to external pressure, as found in experiments. The common enthalpy values calculated with the minimal basis set give pressure transitions of 3.3 and 10.8?GPa for P2 1 /c → Pbca and Pbca → Pnma, respectively, in accordance with different high pressure experimental values

  20. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    Science.gov (United States)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  1. Nanoscale Trapping and Squeeze-Out of Confined Alkane Monolayers.

    Science.gov (United States)

    Gosvami, N N; O'Shea, S J

    2015-12-01

    We present combined force curve and conduction atomic force microscopy (AFM) data for the linear alkanes CnH2n+2 (n = 10, 12, 14, 16) confined between a gold-coated AFM tip and a graphite surface. Solvation layering is observed in the force curves for all liquids, and conduction AFM is used to study in detail the removal of the confined (mono)layer closest to the graphite surface. The squeeze-out behavior of the monolayer can be very different depending upon the temperature. Below the monolayer melting transition temperatures the molecules are in an ordered state on the graphite surface, and fast and complete removal of the confined molecules is observed. However, above the melting transition temperature the molecules are in a disordered state, and even at large applied pressure a few liquid molecules are trapped within the tip-sample contact zone. These findings are similar to a previous study for branched alkanes [ Gosvami Phys. Rev. Lett. 2008, 100, 076101 ], but the observation for the linear alkane homologue series demonstrates clearly the dependence of the squeeze-out and trapping on the state of the confined material.

  2. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  3. Binary Oxide p-n Heterojunction Piezoelectric Nanogenerators with an Electrochemically Deposited High p-Type Cu2O Layer.

    Science.gov (United States)

    Baek, Seung Ki; Kwak, Sung Soo; Kim, Joo Sung; Kim, Sang Woo; Cho, Hyung Koun

    2016-08-31

    The high performance of ZnO-based piezoelectric nanogenerators (NGs) has been limited due to the potential screening from intrinsic electron carriers in ZnO. We have demonstrated a novel approach to greatly improve piezoelectric power generation by electrodepositing a high-quality p-type Cu2O layer between the piezoelectric semiconducting film and the metal electrode. The p-n heterojunction using only oxides suppresses the screening effect by forming an intrinsic depletion region, and thus sufficiently enhances the piezoelectric potential, compared to the pristine ZnO piezoelectric NG. Interestingly, a Sb-doped Cu2O layer has high mobility and low surface trap states. Thus, this doped layer is an attractive p-type material to significantly improve piezoelectric performance. Our results revealed that p-n junction NGs consisting of Au/ZnO/Cu2O/indium tin oxide with a Cu2O:Sb (cuprous oxide with a small amount of antimony) layer of sufficient thickness (3 μm) exhibit an extraordinarily high piezoelectric potential of 0.9 V and a maximum output current density of 3.1 μA/cm(2).

  4. Effect of layer thickness on the thermal release from Be–D co-deposited layers

    International Nuclear Information System (INIS)

    Baldwin, M.J.; Doerner, R.P.

    2014-01-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967–70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D 2 release from co-deposited Be–(0.05)D layers produced at ∼323 K. Bake desorption of layers of thickness 0.2–0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be–D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction. (paper)

  5. Ab initio study of the elastic properties of single and polycrystal TiO2, ZrO2 and HfO2 in the cotunnite structure

    International Nuclear Information System (INIS)

    Caravaca, M A; Mino, J C; Perez, V J; Casali, R A; Ponce, C A

    2009-01-01

    In this work, we study theoretically the elastic properties of the orthorhombic (Pnma) high-pressure phase of IV-B group oxides: titania, zirconia and hafnia. By means of the self-consistent SIESTA code, pseudopotentials, density functional theory in the LDA and GGA approximations, the total energies, hydrostatic pressures and stress tensor components are calculated. From the stress-strain relationships, in the linear regime, the elastic constants C ij are determined. Derived elastic constants, such as bulk, Young's and shear modulus, Poisson coefficient and brittle/ductile behavior are estimated with the polycrystalline approach, using Voigt-Reuss-Hill theories. We have found that C 11 , C 22 and C 33 elastic constants of hafnia and zirconia show increased strength with respect to the experimental values of the normal phase, P 2 1 /c. A similar situation applies to titania if these constants are compared with its normal phase, rutile. However, shear elastic constants C 44 , C 55 and C 66 are similar to the values found in the normal phase. This fact increases the compound anisotropy as well as its ductile behavior. The dependence of unit-cell volumes under hydrostatic pressures is also analyzed. P-V data, fitted to third-order Birch-Murnaghan equations of state, provide the bulk modulus B 0 and its pressure derivatives B' 0 . In this case, LDA estimations show good agreement with respect to recent measured bulk moduli of ZrO 2 and HfO 2 . Thermo-acoustic properties, e.g. the propagation speed of transverse, longitudinal elastic waves together with associated Debye temperatures, are also estimated.

  6. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  7. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  8. Microstructured segmented Paul trap with tunable magnet field gradient; Mikrostrukturierte segmentierte Paul-Falle mit einstellbarem Magnetfeldgradienten

    Energy Technology Data Exchange (ETDEWEB)

    Kaufmann, Delia

    2012-02-03

    Strings of laser cooled ions stored in microstructured Paul traps (microtraps) have promising potential for quantum information science. They provide a system which can be screened from a decohering environment, accurately prepared, manipulated and state selectively detected with efficiency close to unity. Magnetic field gradients allow for addressing trapped ions in frequency space. Furthermore, coupling of the ions' motional and spin states and long range spin-spin coupling of the ions' internal states are induced by such a gradient. This method is called Magnetic Gradient Induced Coupling, MAGIC. In this thesis, the design, construction and first characterization of a novel microtrap with an integrated solenoid is reported. The solenoid is designed to create a high magnetic field gradient per dissipated heat. The microtrap consists of three layers stacked onto each other. The outer layers provide a trapping potential, while the inner layer creates the switchable magnetic field gradient. Another specialty of this trap is the 33 pairs of DC-electrodes, allowing to move the ions along the trap axis and to adjust the range and the strength of the ions' spin-spin interactions. The microtrap is fixed on top of a ceramic block that provides the necessary electrical connections via thick film printed wires, a technique adopted in the context of microtraps for the first time, and in addition acts as a vacuum interface. The volume of the vacuum chamber is quite small, allowing for pressures in the low 10{sup -11} mbar range. In this microtrap, {sup 172}Yb{sup +}-ions are trapped, cooled and shuttled over a distance of about 2 mm. Trapped ions are used as magnetic field gradient probes, with a relative magnetic field precision of {delta}B/B{sub 0}=7.10{sup -6}. The addressing of two ions with the MAGIC method in the solenoid's magnetic field gradient is demonstrated.

  9. Interface Engineering with MoS2 -Pd Nanoparticles Hybrid Structure for a Low Voltage Resistive Switching Memory.

    Science.gov (United States)

    Wang, Xue-Feng; Tian, He; Zhao, Hai-Ming; Zhang, Tian-Yu; Mao, Wei-Quan; Qiao, Yan-Cong; Pang, Yu; Li, Yu-Xing; Yang, Yi; Ren, Tian-Ling

    2018-01-01

    Metal oxide-based resistive random access memory (RRAM) has attracted a lot of attention for its scalability, temperature robustness, and potential to achieve machine learning. However, a thick oxide layer results in relatively high program voltage while a thin one causes large leakage current and a small window. Owing to these fundamental limitations, by optimizing the oxide layer itself a novel interface engineering idea is proposed to reduce the programming voltage, increase the uniformity and on/off ratio. According to this idea, a molybdenum disulfide (MoS 2 )-palladium nanoparticles hybrid structure is used to engineer the oxide/electrode interface of hafnium oxide (HfO x )-based RRAM. Through its interface engineering, the set voltage can be greatly lowered (from -3.5 to -0.8 V) with better uniformity under a relatively thick HfO x layer (≈15 nm), and a 30 times improvement of the memory window can be obtained. Moreover, due to the atomic thickness of MoS 2 film and high transmittance of ITO, the proposed RRAM exhibits high transparency in visible light. As the proposed interface-engineering RRAM exhibits good transparency, low SET voltage, and a large resistive switching window, it has huge potential in data storage in transparent circuits and wearable electronics with relatively low supply voltage. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Motion analysis of optically trapped particles and cells using 2D Fourier analysis

    DEFF Research Database (Denmark)

    Kristensen, Martin Verner; Ahrendt, Peter; Lindballe, Thue Bjerring

    2012-01-01

    Motion analysis of optically trapped objects is demonstrated using a simple 2D Fourier transform technique. The displacements of trapped objects are determined directly from the phase shift between the Fourier transform of subsequent images. Using end-and side-view imaging, the stiffness...... of the trap is determined in three dimensions. The Fourier transform method is simple to implement and applicable in cases where the trapped object changes shape or where the lighting conditions change. This is illustrated by tracking a fluorescent particle and a myoblast cell, with subsequent determination...

  11. Adverse Effects of Excess Residual PbI2 on Photovoltaic Performance, Charge Separation, and Trap-State Properties in Mesoporous Structured Perovskite Solar Cells.

    Science.gov (United States)

    Wang, Hao-Yi; Hao, Ming-Yang; Han, Jun; Yu, Man; Qin, Yujun; Zhang, Pu; Guo, Zhi-Xin; Ai, Xi-Cheng; Zhang, Jian-Ping

    2017-03-17

    Organic-inorganic halide perovskite solar cells have rapidly come to prominence in the photovoltaic field. In this context, CH 3 NH 3 PbI 3 , as the most widely adopted active layer, has been attracting great attention. Generally, in a CH 3 NH 3 PbI 3 layer, unreacted PbI 2 inevitably coexists with the perovskite crystals, especially following a two-step fabrication process. There appears to be a consensus that an appropriate amount of unreacted PbI 2 is beneficial to the overall photovoltaic performance of a device, the only disadvantageous aspect of excess residual PbI 2 being viewed as its insulating nature. However, the further development of such perovskite-based devices requires a deeper understanding of the role of residual PbI 2 . In this work, PbI 2 -enriched and PbI 2 -controlled perovskite films, as two extreme cases, have been prepared by modulating the crystallinity of a pre-deposited PbI 2 film. The effects of excess residual PbI 2 have been elucidated on the basis of spectroscopic and optoelectronic studies. The initial charge separation, the trap-state density, and the trap-state distribution have all been found to be adversely affected in PbI 2 -enriched devices, to the detriment of photovoltaic performance. This leads to a biphasic recombination process and accelerates the charge carrier recombination dynamics. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Morphology-Dependent Trap Formation in High Performance Polymer Bulk Heterojunction Solar Cells

    KAUST Repository

    Beiley, Zach M.

    2011-06-28

    Bulk heterojunction solar cells (BHJs) based on poly[N-9″-hepta- decanyl-2,7-carbazole- alt -5,5-(4′,7′-di-2-thienyl-2′, 1′,3′-benzothiadiazole)] (PCDTBT) can have internal quantum efficiencies approaching 100% but require active layers that are too thin to absorb more than ∼70% of the above band gap light. When the active layer thickness is increased so that the cell absorbs more light, the fi ll factor and open circuit voltage decrease rapidly, so that the overall power conversion efficiency decreases. We fi nd that hole-traps in the polymer, which we characterize using space-charge limited current measurements, play an important role in the performance of PCDTBT-based BHJs and may limit the active layer thickness. Recombination due to carrier trapping is not often considered in BHJs because it is not believed to be a dominant loss mechanism in the "fruit-fl y" P3HT system. Furthermore, we show that in contrast to P3HT, PCDTBT has only weak short-range molecular order, and that annealing at temperatures above the glass transition decreases the order in the π-π stacking. The decrease in structural order is matched by the movement of hole-traps deeper into the band gap, so that thermal annealing worsens hole transport in the polymer and reduces the efficiency of PCDTBTbased BHJs. These fi ndings suggest that P3HT is not prototypical of the new class of high efficiency polymers, and that further improvement of BHJ efficiencies will necessitate the study of high efficiency polymers with low structural order. © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Morphology-Dependent Trap Formation in High Performance Polymer Bulk Heterojunction Solar Cells

    KAUST Repository

    Beiley, Zach M.; Hoke, Eric T.; Noriega, Rodrigo; Dacuñ a, Javier; Burkhard, George F.; Bartelt, Jonathan A.; Salleo, Alberto; Toney, Michael F.; McGehee, Michael D.

    2011-01-01

    Bulk heterojunction solar cells (BHJs) based on poly[N-9″-hepta- decanyl-2,7-carbazole- alt -5,5-(4′,7′-di-2-thienyl-2′, 1′,3′-benzothiadiazole)] (PCDTBT) can have internal quantum efficiencies approaching 100% but require active layers that are too thin to absorb more than ∼70% of the above band gap light. When the active layer thickness is increased so that the cell absorbs more light, the fi ll factor and open circuit voltage decrease rapidly, so that the overall power conversion efficiency decreases. We fi nd that hole-traps in the polymer, which we characterize using space-charge limited current measurements, play an important role in the performance of PCDTBT-based BHJs and may limit the active layer thickness. Recombination due to carrier trapping is not often considered in BHJs because it is not believed to be a dominant loss mechanism in the "fruit-fl y" P3HT system. Furthermore, we show that in contrast to P3HT, PCDTBT has only weak short-range molecular order, and that annealing at temperatures above the glass transition decreases the order in the π-π stacking. The decrease in structural order is matched by the movement of hole-traps deeper into the band gap, so that thermal annealing worsens hole transport in the polymer and reduces the efficiency of PCDTBTbased BHJs. These fi ndings suggest that P3HT is not prototypical of the new class of high efficiency polymers, and that further improvement of BHJ efficiencies will necessitate the study of high efficiency polymers with low structural order. © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Light effect in photoionization of traps in GaN MESFETs

    Directory of Open Access Journals (Sweden)

    H. Arabshahi

    2009-09-01

    Full Text Available Trapping of hot electron behavior by trap centers located in buffer layer of a wurtzite phase GaN MESFET has been simulated using an ensemble Monte Carlo simulation. The results of the simulation show that the trap centers are responsible for current collapse in GaN MESFET at low temperatures. These electrical traps degrade the performance of the device at low temperature. On the opposite, a light-induced increase in the trap-limited drain current, results from the photoionization of trapped carriers and their return to the channel under the influence of the built in electric field associated with the trapped charge distribution. The simulated device geometries and doping are matched to the nominal parameters described for the experimental structures as closely as possible, and the predicted drain current and other electrical characteristics for the simulated device including trapping center effects show close agreement with the available experimental data.

  15. Control of magnetism by electrical charge doping or redox reactions in a surface-oxidized Co thin film with a solid-state capacitor structure

    Science.gov (United States)

    Hirai, T.; Koyama, T.; Chiba, D.

    2018-03-01

    We have investigated the electric field (EF) effect on magnetism in a Co thin film with a naturally oxidized surface. The EF was applied to the oxidized Co surface through a gate insulator layer made of HfO2, which was formed using atomic layer deposition (ALD). The efficiency of the EF effect on the magnetic anisotropy in the sample with the HfO2 layer deposited at the appropriate temperature for the ALD process was relatively large compared to the previously reported values with an unoxidized Co film. The coercivity promptly and reversibly followed the variation in gate voltage. The modulation of the channel resistance was at most ˜0.02%. In contrast, a dramatic change in the magnetic properties including the large change in the saturation magnetic moment and a much larger EF-induced modulation of the channel resistance (˜10%) were observed in the sample with a HfO2 layer deposited at a temperature far below the appropriate temperature range. The response of these properties to the gate voltage was very slow, suggesting that a redox reaction dominated the EF effect on the magnetism in this sample. The frequency response for the capacitive properties was examined to discuss the difference in the mechanism of the EF effect observed here.

  16. Wettability impact on supercritical CO2 capillary trapping: Pore-scale visualization and quantification

    Science.gov (United States)

    Hu, Ran; Wan, Jiamin; Kim, Yongman; Tokunaga, Tetsu K.

    2017-08-01

    How the wettability of pore surfaces affects supercritical (sc) CO2 capillary trapping in geologic carbon sequestration (GCS) is not well understood, and available evidence appears inconsistent. Using a high-pressure micromodel-microscopy system with image analysis, we studied the impact of wettability on scCO2 capillary trapping during short-term brine flooding (80 s, 8-667 pore volumes). Experiments on brine displacing scCO2 were conducted at 8.5 MPa and 45°C in water-wet (static contact angle θ = 20° ± 8°) and intermediate-wet (θ = 94° ± 13°) homogeneous micromodels under four different flow rates (capillary number Ca ranging from 9 × 10-6 to 8 × 10-4) with a total of eight conditions (four replicates for each). Brine invasion processes were recorded and statistical analysis was performed for over 2000 images of scCO2 saturations, and scCO2 cluster characteristics. The trapped scCO2 saturation under intermediate-wet conditions is 15% higher than under water-wet conditions under the slowest flow rate (Ca ˜ 9 × 10-6). Based on the visualization and scCO2 cluster analysis, we show that the scCO2 trapping process in our micromodels is governed by bypass trapping that is enhanced by the larger contact angle. Smaller contact angles enhance cooperative pore filling and widen brine fingers (or channels), leading to smaller volumes of scCO2 being bypassed. Increased flow rates suppress this wettability effect.

  17. Defect Generation for a Hydrated Layer and Thermal Stability Based on Ba0.7Sr0.3TiO3/SiO2 as H+ Sensitive Layer in Ion-Sensitive Field-Effect Transistor Devices

    Science.gov (United States)

    Chen, Chun-Yuan; Chou, Jung-Chuan; Chou, Hsueh-Tao

    2009-04-01

    In this paper, we present a novel sensitive ion-sensitive field-effect transistor (ISFET) membrane based on Ba0.7Sr0.3TiO3 (BST)/SiO2 fabricated by sputtering deposition. The proposed device exhibits a linear shift in acidic solutions in the pH range from 1 to 10. The device sensitivity was about 50-55 mV/pH for different deposition times. We also examined the trapping behavior of the surface hydrated layer using the metal-insulator-semiconductor (MIS) structure. Results show that the hydration layer gives rise to stress polarity dependence of electron injection when immersed in pH buffer solutions. Injection from the gate electrode produces larger positive charges and interface state densities in contrast to the substrate injection, which causes simultaneous positive and negative charge trapping. A physical model that quantitatively describes the asymmetry associated with the hydrated diffusion layer is presented, and the temperature effects of BST/SiO2 ISFET devices in the range from 25 to 65 °C were examined. We observed that pH sensitivity increases with increasing temperature. The temperature coefficient of sensitivity (TCS) can be divided into two different ranges: 0.08 mV/pH °C between 25 and 45 °C, and 0.57 mV/pH °C between 45 and 65 °C. A better thermal stability is produced in the 25 and 45 °C range in comparison with other sensitive layers.

  18. Levitated atoms in a CO2 laser trap: towards BEC with cesium

    International Nuclear Information System (INIS)

    Herbig, J.; Weber, T.; Naegerl, H.-C.; Grimm, R.

    2001-01-01

    Full text: Since the standard approach towards Bose-Einstein condensation has failed for cesium, we are exploring a novel concept employing an optical dipole trap formed by intense CO2 lasers. These provide a conservative and large-volume trapping potential. In order to compensate the gravitational force, a magnetic field gradient along the vertical axis is applied. This counterbalances gravitation for the absolute internal ground state of Cs (F=3, mF=3), effectively levitating those atoms. Other spin states are expelled from the trap, opening up a path for rf exploration. Our approach to trap the lowest spin state at low densities minimizes inelastic processes. The free choice of a magnetic bias field allows exploration of Feshbach resonances to tune scattering properties. (author)

  19. Responses of Cerambycidae and Other Insects to Traps Baited With Ethanol, 2,3-Hexanediol, and 3,2-Hydroxyketone Lures in North-Central Georgia.

    Science.gov (United States)

    Miller, D R; Crowe, C M; Mayo, P D; Silk, P J; Sweeney, J D

    2015-10-01

    In north-central Georgia, 13 species of woodboring beetles (Coleoptera: Cerambycidae: Cerambycinae) were attracted to multiple-funnel traps baited with ethanol and one of the following pheromones: (1) racemic 3-hydroxyhexan-2-one; (2) racemic 3-hydroxyoctan-2-one; and (3) syn-2,3-hexanediol. The following species were attracted to traps baited with ethanol and 3-hydroxyhexan-2-one: Anelaphus pumilus (Newman), Eburia quadrigeminata (Say), Euderces pini (Olivier), Knulliana cincta (Drury), Neoclytus mucronatus (F.), Neoclytus scutellaris (Olivier), and Xylotrechus colonus (F.). Clytus marginicollis Castelnau & Gory, and Anelaphus parallelus (Newman) were attracted to traps baited with ethanol and 3-hydroxyoctan-2-one, whereas traps baited with ethanol and syn-2,3-hexanediol were attractive to Anelaphus villosus (F.), A. parallelus, Neoclytus acuminatus (F.), Neoclytus jouteli jouteli Davis, and Megacyllene caryae (Gahan). Ethanol enhanced catches of seven cerambycid species in traps baited with syn-2,3-hexanediol and 3,2-hydroxyketones. Catches of bark and ambrosia beetles (Curculionidae: Scolytinae) in ethanol-baited traps were largely unaffected by the addition of syn-2,3-hexanediol and 3,2-hydroxyketone lures, except for two species. The mean catches of Hypothenemus rotundicollis Wood & Bright and Dryoxylon onoharaensum (Murayama) in ethanol-baited traps increased and decreased, respectively, with the addition of racemic 3-hydroxyoctan-2-one. Traps baited with ethanol and syn-2,3-hexanediol were attractive to Xylobiops basilaris (Say) (Bostrichidae) and Chariessa pilosa (Forster) (Cleridae), whereas Temnoscheila virescens (F.) (Trogossitidae) were attracted to traps baited with ethanol and 3-hydroxyhexan-2-one. The assassin bug, Apiomerus crassipes (F.) (Hemiptera: Reduviidae), was attracted to traps baited with ethanol and 3,2-hydroxyketones. Published by Oxford University Press on behalf of Entomological Society of America 2015. This work is written by US

  20. First-principles simulations of the leakage current in metal-oxide-semiconductor structures caused by oxygen vacancies in HfO2 high-K gate dielectric

    International Nuclear Information System (INIS)

    Mao, L.F.; Wang, Z.O.

    2008-01-01

    HfO 2 high-K gate dielectric has been used as a new gate dielectric in metal-oxide-semiconductor structures. First-principles simulations are used to study the effects of oxygen vacancies on the tunneling current through the oxide. A level which is nearly 1.25 eV from the bottom of the conduction band is introduced into the bandgap due to the oxygen vacancies. The tunneling current calculations show that the tunneling currents through the gate oxide with different defect density possess the typical characteristic of stress-induced leakage current. Further analysis shows that the location of oxygen vacancies will have a marked effect on the tunneling current. The largest increase in the tunneling current caused by oxygen vacancies comes about at the middle oxide field when defects are located at the middle of the oxide. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Vertically averaged approaches for CO 2 migration with solubility trapping

    KAUST Repository

    Gasda, S. E.

    2011-05-20

    The long-term storage security of injected carbon dioxide (CO2) is an essential component of geological carbon sequestration operations. In the postinjection phase, the mobile CO2 plume migrates in large part because of buoyancy forces, following the natural topography of the geological formation. The primary trapping mechanisms are capillary and solubility trapping, which evolve over hundreds to thousands of years and can immobilize a significant portion of the mobile CO2 plume. However, both the migration and trapping processes are inherently complex, spanning multiple spatial and temporal scales. Using an appropriate model that can capture both large- and small-scale effects is essential for understanding the role of these processes on the long-term storage security of CO2 sequestration operations. Traditional numerical models quickly become prohibitively expensive for the type of large-scale, long-term modeling that is necessary for characterizing the migration and immobilization of CO2 during the postinjection period. We present an alternative modeling option that combines vertically integrated governing equations with an upscaled representation of the dissolution-convection process. With this approach, we demonstrate the effect of different modeling choices for typical large-scale geological systems and show that practical calculations can be performed at the temporal and spatial scales of interest. Copyright 2011 by the American Geophysical Union.

  2. Radiation hardness of the Si-Si0/sub 2/ interface and carrier localisation in the inversion layer

    Energy Technology Data Exchange (ETDEWEB)

    Pepper, M [Cambridge Univ. (UK). Cavendish Lab.

    1977-08-28

    The results of low temperature measurements of inversion layer conductance suggest that there are positive and negative charges in the form of pairs close to the Si-Si0/sub 2/ interface. The negative centres trap holes created in the Si0/sub 2/ by the irradiation of MOS structures. The annealing treatments developed to 'harden' the interface, by minimising the hole trapping, are interpreted as resulting in a reduction in the total interfacial charge, which is not apparent from measurements of the net charge. It is suggested that the dependence of the localisation effects on the substrate bias may be useful as a diagnostic, pre-irradiation, screening test. By using various interface preparation treatments an exercise in interface engineering is now possible, in which the total interfacial charge, and the form of the random fluctuations in potential, can be altered in a controllable manner.

  3. Cost effective flat plate photovoltaic modules using light trapping

    Science.gov (United States)

    Bain, C. N.; Gordon, B. A.; Knasel, T. M.; Malinowski, R. L.

    1981-01-01

    Work in optical trapping in 'thick films' is described to form a design guide for photovoltaic engineers. A thick optical film can trap light by diffusive reflection and total internal reflection. Light can be propagated reasonably long distances compared with layer thicknesses by this technique. This makes it possible to conduct light from inter-cell and intra-cell areas now not used in photovoltaic modules onto active cell areas.

  4. Distribution of stable traps for thermoluminescent processes in the phosphor SrAl2O4: Eu2+, Dy3+

    International Nuclear Information System (INIS)

    Pedroza M, M.; Castaneda, B.; Arellano T, O.; Melendrez, R.; Barboza F, M.

    2007-01-01

    Full text: The phosphor of persistent luminescence (PLUM) SrAl 2 O 4 :Eu 2+ , Dy 3+ exhibits one thermoluminescence curve after exposing it to UV radiation. The curve is made up of a wide band with a maximum around 455 K. Starting from the experimental deconvolution method proposed by McKeever, it was solved the number of peaks in the TL curve and it was analyzed the position of each TL peak regarding to the cut temperature (T stop ). In this analysis five maximum TL peaks were observed (at the diagram T stop vs T max ) around the 319, 425, 457, 488 and 515 K. Also, its were also found two regions that correspond to an overlap of stable traps, the first one in the region of the 380 K at 415 K and the second of the 430 to 455 K. The existence of a distribution of stable traps can be evaluated from the curve T stop vs T max where this distribution of stable traps is presented as a monotonous lineal increase with the temperature, because the TL independent processes appear like horizontal lines exactly in the specific temperatures (319, 425, 457, 488 and 515 K) where its are liberated most of the trapped charges. Using the preheating method and initial increase for the peak in 455 K the trap depths are determined, being obtained the following values of the activation energy 0.28, 0.67, 1, 1.5 and 1.62 eV. An arrangement of stable traps plays a decisive role in the emission of the persistent luminescence. Likewise, it was determined that all the thermoluminescent processes were characterized by a re trapping of the charge, reason by which these processes followed a second order kinetics. The TL peak of low temperature 319 K is related with those electronic traps that the PLUM takes place in SrAl 2 O 4 : Eu 2+ and with the same recombination centers. The PLUM emissions and the TL are centered around 510 nm attributed to the electronic transition 4f 6 5d 1 →4f 7 corresponding to the Eu 2+ ion. In this work, it is explained the participation or contribution of the

  5. Characteristics of trapped electrons and electron traps in single crystals

    International Nuclear Information System (INIS)

    Budzinski, E.E.; Potter, W.R.; Potienko, G.; Box, H.C.

    1979-01-01

    Two additional carbohydrates are reported whose crystal structures trap electrons intermolecularly in single crystals x irradiated at low temperature, namely sucrose and rhamnose. Five carbohydrate and polyhydroxy compounds are now known which exhibit this phenomenon. The following characteristics of the phenomenon were investigated: (1) the hyperfine couplings of the electron with protons of the polarized hydroxy groups forming the trap; (2) the distances between these protons and the trapped electron; (3) the spin density of the electron at the protons and (4) the relative stabilities of the electron trapped in various crystal structures

  6. Stable Trapping of Multielectron Helium Bubbles in a Paul Trap

    Science.gov (United States)

    Joseph, E. M.; Vadakkumbatt, V.; Pal, A.; Ghosh, A.

    2017-06-01

    In a recent experiment, we have used a linear Paul trap to store and study multielectron bubbles (MEBs) in liquid helium. MEBs have a charge-to-mass ratio (between 10^{-4} and 10^{-2} C/kg) which is several orders of magnitude smaller than ions (between 10^6 and 10^8 C/kg) studied in traditional ion traps. In addition, MEBs experience significant drag force while moving through the liquid. As a result, the experimental parameters for stable trapping of MEBs, such as magnitude and frequency of the applied electric fields, are very different from those used in typical ion trap experiments. The purpose of this paper is to model the motion of MEBs inside a linear Paul trap in liquid helium, determine the range of working parameters of the trap, and compare the results with experiments.

  7. Depth profiling of oxide-trapped charges in 6H-SiC MOS structures by slant etching method

    Energy Technology Data Exchange (ETDEWEB)

    Saitoh, Kazunari; Takahashi, Yoshihiro; Ohnishi, Kazunori [Nihon Univ., Tokyo (Japan). Coll. of Science and Technology; Yoshikawa, Masahito; Ohshima, Takeshi; Itoh, Hisayoshi; Nashiyama, Isamu

    1997-03-01

    In this paper, we propose a method to evaluate the depth profile of trapped charges in an oxide layer on SiC. Using this method, 6H-SiC MOS structures with different oxide thickness were fabricated on the same substrate under the same oxidation condition, and the depth profile of oxide-trapped charges before and after {sup 60}Co-gamma ray irradiation were obtained. It is found, from the depth profiling, that the trapping mechanism of electrons and holes in the oxide strongly depends on the bias polarity during irradiation, and these charges are trapped near 6H-SiC/SiO{sub 2} interface. We believe that this method is very useful for estimation of the oxide-trapped charges in 6H-SiC MOS structures. (author)

  8. How much CO2 is trapped in carbonate minerals of a natural CO2 occurrence?

    Science.gov (United States)

    Király, Csilla; Szabó, Zsuzsanna; Szamosfalvi, Ágnes; Cseresznyés, Dóra; Király, Edit; Szabó, Csaba; Falus, György

    2017-04-01

    Carbon Capture and Storage (CCS) is a transitional technology to decrease CO2 emissions from human fossil fuel usage and, therefore, to mitigate climate change. The most important criteria of a CO2 geological storage reservoir is that it must hold the injected CO2 for geological time scales without its significant seepage. The injected CO2 undergoes physical and chemical reactions in the reservoir rocks such as structural-stratigraphic, residual, dissolution or mineral trapping mechanisms. Among these, the safest is the mineral trapping, when carbonate minerals such as calcite, ankerite, siderite, dolomite and dawsonite build the CO2 into their crystal structures. The study of natural CO2 occurrences may help to understand the processes in CO2 reservoirs on geological time scales. This is the reason why the selected, the Mihályi-Répcelak natural CO2 occurrence as our research area, which is able to provide particular and highly significant information for the future of CO2 storage. The area is one of the best known CO2 fields in Central Europe. The main aim of this study is to estimate the amount of CO2 trapped in the mineral phase at Mihályi-Répcelak CO2 reservoirs. For gaining the suitable data, we apply petrographic, major and trace element (microprobe and LA-ICP-MS) and stable isotope analysis (mass spectrometry) and thermodynamic and kinetic geochemical models coded in PHREEQC. Rock and pore water compositions of the same formation, representing the pre-CO2 flooding stages of the Mihályi-Répcelak natural CO2 reservoirs are used in the models. Kinetic rate parameters are derived from the USGS report of Palandri and Kharaka (2004). The results of petrographic analysis show that a significant amount of dawsonite (NaAlCO3(OH)2, max. 16 m/m%) precipitated in the rock due to its reactions with CO2 which flooded the reservoir. This carbonate mineral alone traps about 10-30 kg/m3 of the reservoir rock from the CO2 at Mihályi-Répcelak area, which is an

  9. Comparison of ethanolamine and potassium hydroxide as quantitative trapping agents for radiolabeled CO2 in metabolism studies

    International Nuclear Information System (INIS)

    Medinsky, M.A.

    1986-01-01

    The efficiency of ethanolamine and potassium hydroxide (KOH) as trapping agents for CO 2 was determined using a flow of 500 mL/min through CO 2 absorption towers containing trapping solution. Radiolabeled 14 CO 2 was produced by acidification of suspensions containing Ba 14 CO 3 . Both 100% ethanolamine and 5M ethanolamine in 2-methoxyethanol were evaluated. With 200 mL of either solution, trapping efficiency of 14 CO 2 decreased when only 5% or less of the amount of ethanolamine available had reacted (22 mmoles of CO 2 ) trapped). In contrast, use of 200 mL of 1M or 5M KOH was effective in retaining 88 mmoles of CO 2 . This is equivalent to the amount of CO 2 produced by a rat over an 8-hr period. In summary, with flow rates commonly used in in vivo metabolism studies, the trapping efficiency of ethanolamine was far less than the theoretical efficiency. In these types of studies KOH would be a more suitable trapping agent or, if used, ethanolamine solutions must be changed frequently

  10. Studies on shallow traps in Li2B4O7:Eu,Mn

    International Nuclear Information System (INIS)

    Drozdowski, Winicjusz; Brylew, Kamil; Kaczmarek, Sławomir M.; Piwowarska, Danuta; Nakai, Yosuke; Tsuboi, Taiju; Huang, Wei

    2014-01-01

    Li 2 B 4 O 7 (LTB) single crystals doped with 0.5 mol% Mn and 0.005 mol% Eu have been grown by the Czochralski method. The presence of Eu 3+ has been confirmed by photoluminescence spectra of non-irradiated crystals, whereas the presence of Mn 2+ by absorption spectra of gamma-irradiated ones, as well as by EPR measurements. Unlike in most thermoluminescence studies on pure and doped LTB, performed usually above 300 K, glow curves have been recorded between 10 and 300 K in order to focus the attention on shallow traps. A broad, intense glow peak is observed around 80 K, with three weaker peaks at 205, 255, and 280 K. Based on supplementary T max  − T stop experiments, the trap parameters have been derived assuming that the glow curve is in fact formed by a superposition of a double Gaussian band related to a quasi-continuous distribution of trapping levels, and several glow peaks produced by discrete traps. The nature of the traps is also discussed. - Highlights: • Radioluminescence spectra of LTB:Eu,Mn have been measured at various temperatures. • Glow curves of LTB:Eu,Mn have been recorded between 10 and 300 K. • Thermoluminescence studies have been extended with the T max  − T stop method. • Trap parameters related to particular glow peaks have been derived. • Besides discrete traps a quasi-continuous distribution has been found

  11. Trapped particles at a magnetic discontinuity

    Science.gov (United States)

    Stern, D. P.

    1972-01-01

    At a tangential discontinuity between two constant magnetic fields a layer of trapped particles can exist, this work examines the conditions under which the current carried by such particles tends to maintain the discontinuity. Three cases are examined. If the discontinuity separates aligned vacuum fields, the only requirement is that they be antiparallel. With arbitrary relative orientations, the field must have equal intensities on both sides. Finally, with a guiding center plasma on both sides, the condition reduces to a relation which is also derivable from hydromagnetic theory. Arguments are presented for the occurrence of such trapped modes in the magnetopause and for the non-existence of specular particle reflection.

  12. Advanced methods for light trapping in optically thin silicon solar cells

    Science.gov (United States)

    Nagel, James Richard

    2011-12-01

    The field of light trapping is the study of how best to absorb light in a thin film of material when most light either reflects away at the surface or transmits straight through to the other side. This has tremendous application to the field of photovoltaics where thin silicon films can be manufactured cheaply, but also fail to capture all of the available photons in the solar spectrum. Advancements in light trapping therefore bring us closer to the day when photovoltaic devices may reach grid parity with traditional fossil fuels on the electrical energy market. This dissertation advances our understanding of light trapping by first modeling the effects of loss in planar dielectric waveguides. The mathematical framework developed here can be used to model any arbitrary three-layer structure with mixed gain or loss and then extract the total field solution for the guided modes. It is found that lossy waveguides possess a greater number of eigenmodes than their lossless counterparts, and that these "loss guided" modes attenuate much more rapidly than conventional modes. Another contribution from this dissertation is the exploration of light trapping through the use of dielectric nanospheres embedded directly within the active layer of a thin silicon film. The primary benefit to this approach is that the device can utilize a surface nitride layer serving as an antireflective coating while still retaining the benefits of light trapping within the film. The end result is that light trapping and light injection are effectively decoupled from each other and may be independently optimized within a single photovoltaic device. The final contribution from this work is a direct numerical comparison between multiple light trapping schemes. This allows us to quantify the relative performances of various design techniques against one another and objectively determine which ideas tend to capture the most light. Using numerical simulation, this work directly compares the absorption

  13. Light trapping architecture for photovoltaic and photodector applications

    Energy Technology Data Exchange (ETDEWEB)

    Forrest, Stephen R.; Lunt, Richard R.; Slootsky, Michael

    2016-08-09

    There is disclosed photovoltaic device structures which trap admitted light and recycle it through the contained photosensitive materials to maximize photoabsorption. For example, there is disclosed a photosensitive optoelectronic device comprising: a first reflective layer comprising a thermoplastic resin; a second reflective layer substantially parallel to the first reflective layer; a first transparent electrode layer on at least one of the first and second reflective layer; and a photosensitive region adjacent to the first electrode, wherein the first transparent electrode layer is substantially parallel to the first reflective layer and adjacent to the photosensitive region, and wherein the device has an exterior face transverse to the planes of the reflective layers where the exterior face has an aperture for admission of incident radiation to the interior of the device.

  14. Sawteeth stabilization by energetic trapped ions

    International Nuclear Information System (INIS)

    Samain, A.; Edery, D.; Garbet, X.; Roubin, J.P.

    1991-01-01

    The analysis of a possible stabilization of sawteeth by a population of energetic ions is performed by using the Lagrangian of the electromagnetic perturbation. It is shown that the trapped component of such a population has a small influence compared to that of the passing component. The stabilization threshold is calculated assuming a non linear regime in the q=1 resonant layer. The energetic population must create a stable tearing structure if the average curvature effect on thermal particles in the layer is small. However, this effect decreases the actual threshold

  15. Continuous Arsine Detection Using a Peltier-Effect Cryogenic Trap To Selectively Trap Methylated Arsines.

    Science.gov (United States)

    Chen, Guoying; Lai, Bunhong; Mao, Xuefei; Chen, Tuanwei; Chen, Miaomiao

    2017-09-05

    Hydride generation (HG) is an effective technique that eliminates interfering matrix species and enables hydride separation. Arsenic speciation analysis can be fulfilled by cryogenic trapping (CT) based on boiling points of resulting arsines using liquid nitrogen (LN 2 ) as a coolant. In this work, LN 2 was replaced by the thermoelectric effect using a cryogenic trap that consisted of a polytetrafluoroethylene (PTFE) body sandwiched by two Peltier modules. After the trap was precooled, the arsines flew along a zigzag channel in the body and reached a sorbent bed of 0.2 g of 15% OV-3 on Chromosorb W-AW-DMCS imbedded near the exit of the trap. CH 3 AsH 2 and (CH 3 ) 2 AsH were trapped, while AsH 3 , that passed the trap unaffected, was detected by atomic fluorescence spectrometry. Continuous operation led to enhanced throughput. For inorganic As, the limit of detection (LOD) was 1.1 ng/g and recovery was 101.0 ± 1.1%. Monomethylarsonic acid and dimethylarsinic acid did not interfere with 0.2 ± 1.2% and -0.3 ± 0.5% recoveries, respectively.

  16. Soft-type trap-induced degradation of MoS2 field effect transistors

    Science.gov (United States)

    Cho, Young-Hoon; Ryu, Min-Yeul; Lee, Kook Jin; Park, So Jeong; Choi, Jun Hee; Lee, Byung-Chul; Kim, Wungyeon; Kim, Gyu-Tae

    2018-06-01

    The practical applicability of electronic devices is largely determined by the reliability of field effect transistors (FETs), necessitating constant searches for new and better-performing semiconductors. We investigated the stress-induced degradation of MoS2 multilayer FETs, revealing a steady decrease of drain current by 56% from the initial value after 30 min. The drain current recovers to the initial state when the transistor is completely turned off, indicating the roles of soft-traps in the apparent degradation. The noise current power spectrum follows the model of carrier number fluctuation–correlated mobility fluctuation (CNF–CMF) regardless of stress time. However, the reduction of the drain current was well fitted to the increase of the trap density based on the CNF–CMF model, attributing the presence of the soft-type traps of dielectric oxides to the degradation of the MoS2 FETs.

  17. An optical trap for relativistic plasma

    International Nuclear Information System (INIS)

    Zhang Ping; Saleh, Ned; Chen Shouyuan; Sheng Zhengming; Umstadter, Donald

    2003-01-01

    The first optical trap capable of confining relativistic electrons, with kinetic energy ≤350 keV was created by the interference of spatially and temporally overlapping terawatt power, 400 fs duration laser pulses (≤2.4x10 18 W/cm 2 ) in plasma. Analysis and computer simulation predicted that the plasma density was greatly modulated, reaching a peak density up to 10 times the background density (n e /n 0 ∼10) at the interference minima. Associated with this charge displacement, a direct-current electrostatic field of strength of ∼2x10 11 eV/m was excited. These predictions were confirmed experimentally by Thomson and Raman scattering diagnostics. Also confirmed were predictions that the electron density grating acted as a multi-layer mirror to transfer energy between the crossed laser beams, resulting in the power of the weaker laser beam being nearly 50% increased. Furthermore, it was predicted that the optical trap acted to heat electrons, increasing their temperature by two orders of magnitude. The experimental results showed that the number of high energy electrons accelerated along the direction of one of the laser beams was enhanced by a factor of 3 and electron temperature was increased ∼100 keV as compared with single-beam illumination

  18. Modeling of radiation-induced charge trapping in MOS devices under ionizing irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Petukhov, M. A., E-mail: m.a.petukhov@gmail.com; Ryazanov, A. I. [National Research Center Kurchatov Institute (Russian Federation)

    2016-12-15

    The numerical model of the radiation-induced charge trapping process in the oxide layer of a MOS device under ionizing irradiation is developed; the model includes carrier transport, hole capture by traps in different states, recombination of free electrons and trapped holes, kinetics of hydrogen ions which can be accumulated in the material during transistor manufacture, and accumulation and charging of interface states. Modeling of n-channel MOSFET behavior under 1 MeV photon irradiation is performed. The obtained dose dependences of the threshold voltage shift and its contributions from trapped holes and interface states are in good agreement with experimental data.

  19. Extended follow-up following a phase 2b randomized trial of the candidate malaria vaccines FP9 ME-TRAP and MVA ME-TRAP among children in Kenya.

    Directory of Open Access Journals (Sweden)

    Philip Bejon

    2007-08-01

    Full Text Available "FFM ME-TRAP" is sequential immunisation with two attenuated poxvirus vectors (FP9 and modified vaccinia virus Ankara delivering the pre-erythrocytic malaria antigen ME-TRAP. Over nine months follow-up in our original study, there was no evidence that FFM ME-TRAP provided protection against malaria. The incidence of malaria was slightly higher in children who received FFM ME-TRAP, but this was not statistically significant (hazard ratio 1.5, 95% CI 1.0-2.3. Although the study was unblinded, another nine months follow-up was planned to monitor the incidence of malaria and other serious adverse events.405 children aged 1-6 yrs were initially randomized to vaccination with either FFM ME-TRAP or control (rabies vaccine. 380 children were still available for follow-up after the first nine months. Children were seen weekly and whenever they were unwell for nine months monitoring. The axillary temperature was measured, and blood films taken when febrile. The primary analysis was time to parasitaemia >2,500/microl. During the second nine months monitoring, 49 events met the primary endpoint (febrile malaria with parasites >2,500/microl in the Intention To Treat (ITT group. 23 events occurred among the 189 children in the FFM ME-TRAP group, and 26 among the 194 children in the control group. In the full 18 months of monitoring, there were 63 events in the FFM ME-TRAP group and 60 in the control group (HR = 1.2, CI 0.84-1.73, p = 0.35. There was no evidence that the HR changed over the 18 months (test for interaction between time and vaccination p = 0.11.Vaccination with FFM ME-TRAP was not protective against malaria in this study. Malaria incidence during 18 months of surveillance was similar in both vaccine groups.Controlled-Trials.com ISRCTN88335123.

  20. Comparison of ethanolamine and potassium hydroxide as quantitative trapping agents for radiolabeled CO2 in metabolism studies

    International Nuclear Information System (INIS)

    Medinsky, M.A.

    1984-01-01

    The efficiency of ethanolamine and potassium hydroxide as trapping agents for CO 2 was tested using a flow of 500 ml/min through CO 2 absorption towers containing trapping solutions. Radiolabeled CO 2 was produced by acidification of suspensions containing Ba 14 CO 3 . With 200 ml of ethanolamine, trapping efficiency of 14 CO 2 decreased when only 5% or less of the amount of ethanolamine available had reacted (22 mmoles of CO 2 trapped). In contrast, use of 200 ml of 1 M or 5 M KOH was effective in retaining 88 mmoles of CO 2 . This is equivalent to the amount of CO 2 produced by a rat over an 8-hour period

  1. Shellac Films as a Natural Dielectric Layer for Enhanced Electron Transport in Polymer Field-Effect Transistors.

    Science.gov (United States)

    Baek, Seung Woon; Ha, Jong-Woon; Yoon, Minho; Hwang, Do-Hoon; Lee, Jiyoul

    2018-06-06

    Shellac, a natural polymer resin obtained from the secretions of lac bugs, was evaluated as a dielectric layer in organic field-effect transistors (OFETs) on the basis of donor (D)-acceptor (A)-type conjugated semiconducting copolymers. The measured dielectric constant and breakdown field of the shellac layer were ∼3.4 and 3.0 MV/cm, respectively, comparable with those of a poly(4-vinylphenol) (PVP) film, a commonly used dielectric material. Bottom-gate/top-contact OFETs were fabricated with shellac or PVP as the dielectric layer and one of three different D-A-type semiconducting copolymers as the active layer: poly(cyclopentadithiophene- alt-benzothiadiazole) with p-type characteristics, poly(naphthalene-bis(dicarboximide)- alt-bithiophene) [P(NDI2OD-T2)] with n-type characteristics, and poly(dithienyl-diketopyrrolopyrrole- alt-thienothiophene) [P(DPP2T-TT)] with ambipolar characteristics. The electrical characteristics of the fabricated OFETs were then measured. For all active layers, OFETs with a shellac film as the dielectric layer exhibited a better mobility than those with PVP. For example, the mobility of the OFET with a shellac dielectric and n-type P(NDI2OD-T2) active layer was approximately 2 orders of magnitude greater than that of the corresponding OFET with a PVP insulating layer. When P(DPP2T-TT) served as the active layer, the OFET with shellac as the dielectric exhibited ambipolar characteristics, whereas the corresponding OFET with the PVP dielectric operated only in hole-accumulation mode. The total density of states was analyzed using technology computer-aided design simulations. The results revealed that compared with the OFETs with PVP as the dielectric, the OFETs with shellac as the dielectric had a lower trap-site density at the polymer semiconductor/dielectric interface and much fewer acceptor-like trap sites acting as electron traps. These results demonstrate that shellac is a suitable dielectric material for D-A-type semiconducting

  2. Structural and electronic properties of the transition layer at the SiO2/4H-SiC interface

    Directory of Open Access Journals (Sweden)

    Wenbo Li

    2015-01-01

    Full Text Available Using first-principles methods, we generate an amorphous SiO2/4H-SiC interface with a transition layer. Based this interface model, we investigate the structural and electronic properties of the interfacial transition layer. The calculated Si 2p core-level shifts for this interface are comparable to the experimental data, indicating that various SiCxOy species should be present in this interface transition layer. The analysis of the electronic structures reveals that the tetrahedral SiCxOy structures cannot introduce any of the defect states at the interface. Interestingly, our transition layer also includes a C-C=C trimer and SiO5 configurations, which lead to the generation of interface states. The accurate positions of Kohn-Sham energy levels associated with these defects are further calculated within the hybrid functional scheme. The Kohn-Sham energy levels of the carbon trimer and SiO5 configurations are located near the conduction and valence band of bulk 4H-SiC, respectively. The result indicates that the carbon trimer occurred in the transition layer may be a possible origin of near interface traps. These findings provide novel insight into the structural and electronic properties of the realistic SiO2/SiC interface.

  3. Effect of trapped electrons on the transient current density and luminance of organic light-emitting diode

    Science.gov (United States)

    Lee, Jiun-Haw; Chen, Chia-Hsun; Lin, Bo-Yen; Shih, Yen-Chen; Lin, King-Fu; Wang, Leeyih; Chiu, Tien-Lung; Lin, Chi-Feng

    2018-04-01

    Transient current density and luminance from an organic light-emitting diode (OLED) driven by voltage pulses were investigated. Waveforms with different repetition rate, duty cycle, off-period, and on-period were used to study the injection and transport characteristics of electron and holes in an OLED under pulse operation. It was found that trapped electrons inside the emitting layer (EML) and the electron transporting layer (ETL) material, tris(8-hydroxyquinolate)aluminum (Alq3) helped for attracting the holes into the EML/ETL and reducing the driving voltage, which was further confirmed from the analysis of capacitance-voltage and displacement current measurement. The relaxation time and trapped filling time of the trapped electrons in Alq3 layer were ~200 µs and ~600 µs with 6 V pulse operation, respectively.

  4. Case Study: Trap Crop with Pheromone Traps for Suppressing Euschistus servus (Heteroptera: Pentatomidae in Cotton

    Directory of Open Access Journals (Sweden)

    P. G. Tillman

    2012-01-01

    Full Text Available The brown stink bug, Euschistus servus (Say, can disperse from source habitats, including corn, Zea mays L., and peanut, Arachis hypogaea L., into cotton, Gossypium hirsutum L. Therefore, a 2-year on-farm experiment was conducted to determine the effectiveness of a sorghum (Sorghum bicolor (L. Moench spp. bicolor trap crop, with or without Euschistus spp. pheromone traps, to suppress dispersal of this pest to cotton. In 2004, density of E. servus was lower in cotton fields with sorghum trap crops (with or without pheromone traps compared to control cotton fields. Similarly, in 2006, density of E. servus was lower in cotton fields with sorghum trap crops and pheromone traps compared to control cotton fields. Thus, the combination of the sorghum trap crop and pheromone traps effectively suppressed dispersal of E. servus into cotton. Inclusion of pheromone traps with trap crops potentially offers additional benefits, including: (1 reducing the density of E. servus adults in a trap crop, especially females, to possibly decrease the local population over time and reduce the overwintering population, (2 reducing dispersal of E. servus adults from the trap crop into cotton, and (3 potentially attracting more dispersing E. servus adults into a trap crop during a period of time when preferred food is not prevalent in the landscape.

  5. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  6. Residual and Solubility trapping during Geological CO2 storage : Numerical and Experimental studies

    OpenAIRE

    Rasmusson, Maria

    2018-01-01

    Geological storage of carbon dioxide (CO2) in deep saline aquifers mitigates atmospheric release of greenhouse gases. To estimate storage capacity and evaluate storage safety, knowledge of the trapping mechanisms that retain CO2 within geological formations, and the factors affecting these is fundamental. The objective of this thesis is to study residual and solubility trapping mechanisms (the latter enhanced by density-driven convective mixing), specifically in regard to their dependency on ...

  7. Comparative studies of AlGaN/GaN MOS-HEMTs with stacked gate dielectrics by the mixed thin film growth method

    International Nuclear Information System (INIS)

    Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Ho, Chiu-Sheng; Lee, Ching-Sung

    2013-01-01

    This paper reports Al 0.27 Ga 0.73 N/GaN metal–oxide–semiconductor high electron mobility transistors (MOS-HEMTs) with stacked Al 2 O 3 /HfO 2 gate dielectrics by using hydrogen peroxideoxidation/sputtering techniques. The Al 2 O 3 employed as a gate dielectric and surface passivation layer effectively suppresses the gate leakage current, improves RF drain current collapse and exhibits good thermal stability. Moreover, by stacking the good insulating high-k HfO 2 dielectric further suppresses the gate leakage, enhances the dielectric breakdown field and power-added efficiency, and decreases the equivalent oxide thickness. The present MOS-HEMT design has demonstrated superior improvements of 10.1% (16.4%) in the maximum drain–source current (I DS,max ), 11.4% (22.5%) in the gate voltage swing and 12.5%/14.4% (21.9%/22.3%) in the two-terminal gate–drain breakdown/turn-on voltages (BV GD /V ON ), and the present design also demonstrates the lowest gate leakage current and best thermal stability characteristics as compared to two reference MOS-HEMTs with a single Al 2 O 3 /(HfO 2 ) dielectric layer of the same physical thickness. (invited paper)

  8. [Trapping techniques for Solenopsis invicta].

    Science.gov (United States)

    Liang, Xiao-song; Zhang, Qiang; Zhuang, Yiong-lin; Li, Gui-wen; Ji, Lin-peng; Wang, Jian-guo; Dai, Hua-guo

    2007-06-01

    A field study was made to investigate the trapping effects of different attractants, traps, and wind directions on Solenopsis invicta. The results showed that among the test attractants, TB1 (50 g fishmeal, 40 g peptone, 10 ml 10% sucrose water solution and 20 ml soybean oil) had the best effect, followed by TB2 (ham), TB6 (100 g cornmeal and 20 ml soybean oil) and TB4 (10 ml 10% sucrose water solution, 100 g sugarcane powder and 20 ml soybean oil), with a mean capture efficiency being 77.6, 58.7, 29 and 7.7 individuals per trap, respectively. No S. invicta was trapped with TB3 (10 ml 10% sucrose water solution, 100 g cornmeal and 20 ml soybean oil) and TB5 (honey). Tube trap was superior to dish trap, with a trapping efficiency of 75.2 and 35 individuals per trap, respectively. The attractants had better effects in leeward than in windward.

  9. Layer Dependence and Light Tuning Surface Potential of 2D MoS2 on Various Substrates.

    Science.gov (United States)

    Li, Feng; Qi, Junjie; Xu, Minxuan; Xiao, Jiankun; Xu, Yuliang; Zhang, Xiankun; Liu, Shuo; Zhang, Yue

    2017-04-01

    Here surface potential of chemical vapor deposition (CVD) grown 2D MoS 2 with various layers is reported, and the effect of adherent substrate and light illumination on surface potential of monolayer MoS 2 are investigated. The surface potential of MoS 2 on Si/SiO 2 substrate decreases from 4.93 to 4.84 eV with the increase in the number of layer from 1 to 4 or more. Especially, the surface potentials of monolayer MoS 2 are strongly dependent on its adherent substrate, which are determined to be 4.55, 4.88, 4.93, 5.10, and 5.50 eV on Ag, graphene, Si/SiO 2 , Au, and Pt substrates, respectively. Light irradiation is introduced to tuning the surface potential of monolayer MoS 2 , with the increase in light intensity, the surface potential of MoS 2 on Si/SiO 2 substrate decreases from 4.93 to 4.74 eV, while increases from 5.50 to 5.56 eV on Pt substrate. The I-V curves on vertical of monolayer MoS 2 /Pt heterojunction show the decrease in current with the increase of light intensity, and Schottky barrier height at MoS 2 /Pt junctions increases from 0.302 to 0.342 eV. The changed surface potential can be explained by trapped charges on surface, photoinduced carriers, charge transfer, and local electric field. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Ultrafast state detection and 2D ion crystals in a Paul trap

    Science.gov (United States)

    Ip, Michael; Ransford, Anthony; Campbell, Wesley

    2016-05-01

    Projective readout of quantum information stored in atomic qubits typically uses state-dependent CW laser-induced fluorescence. This method requires an often sophisticated imaging system to spatially filter out the background CW laser light. We present an alternative approach that instead uses simple pulse sequences from a mode-locked laser to affect the same state-dependent excitations in less than 1 ns. The resulting atomic fluorescence occurs in the dark, allowing the placement of non-imaging detectors right next to the atom to improve the qubit state detection efficiency and speed. We also study 2D Coulomb crystals of atomic ions in an oblate Paul trap. We find that crystals with hundreds of ions can be held in the trap, potentially offering an alternative to the use of Penning traps for the quantum simulation of 2D lattice spin models. We discuss the classical physics of these crystals and the metastable states that are supported in 2D. This work is supported by the US Army Research Office.

  11. Identification of electron and hole traps in KH2PO4 crystals

    International Nuclear Information System (INIS)

    Garces, N. Y.; Stevens, K. T.; Halliburton, L. E.; Demos, S. G.; Radousky, H. B.; Zaitseva, N. P.

    2001-01-01

    Electron paramagnetic resonance (EPR) has been used to characterize a hole trap and several electron traps in single crystals of potassium dihydrogen phosphate (KH 2 PO 4 or KDP). The paramagnetic charge states of these centers are produced by ionizing radiation (e.g., x rays or a 266 nm beam from a pulsed Nd:YAG laser) and are stable for days and even weeks at room temperature. One center consists of a hole trapped on an oxygen ion adjacent to a silicon impurity located on a phosphorus site. This defect has a small, but easily observed, hyperfine interaction with the adjacent substitutional proton. The other centers are formed when an electron is trapped at an oxygen vacancy. These latter defects are best described as (PO 3 ) 2- molecular ions, where the primary phosphorus nucleus is responsible for a large hyperfine splitting (500--800 G in magnitude). Five EPR spectra representing variations of these oxygen vacancy centers are observed, with the differences being attributed to the relative position of a nearby cation vacancy, either a missing proton or potassium. An angular study of the EPR spectra, conducted at room temperature, provided principal values and principal directions for the g matrices and hyperfine matrices for the hole center and two of the electron centers

  12. Graphene-quantum-dot nonvolatile charge-trap flash memories

    International Nuclear Information System (INIS)

    Sin Joo, Soong; Kim, Jungkil; Seok Kang, Soo; Kim, Sung; Choi, Suk-Ho; Won Hwang, Sung

    2014-01-01

    Nonvolatile flash-memory capacitors containing graphene quantum dots (GQDs) of 6, 12, and 27 nm average sizes (d) between SiO 2 layers for use as charge traps have been prepared by sequential processes: ion-beam sputtering deposition (IBSD) of 10 nm SiO 2 on a p-type wafer, spin-coating of GQDs on the SiO 2 layer, and IBSD of 20 nm SiO 2 on the GQD layer. The presence of almost a single array of GQDs at a distance of ∼13 nm from the SiO 2 /Si wafer interface is confirmed by transmission electron microscopy and photoluminescence. The memory window estimated by capacitance–voltage curves is proportional to d for sweep voltages wider than  ± 3 V, and for d = 27 nm the GQD memories show a maximum memory window of 8 V at a sweep voltage of  ± 10 V. The program and erase speeds are largest at d = 12 and 27 nm, respectively, and the endurance and data-retention properties are the best at d = 27 nm. These memory behaviors can be attributed to combined effects of edge state and quantum confinement. (papers)

  13. Resistive switching and synaptic properties of fully atomic layer deposition grown TiN/HfO{sub 2}/TiN devices

    Energy Technology Data Exchange (ETDEWEB)

    Matveyev, Yu.; Zenkevich, A. [Moscow Institute of Physics and Technology, 141700 Moscow Region (Russian Federation); NRNU “Moscow Engineering Physics Institute”, 115409 Moscow (Russian Federation); Egorov, K.; Markeev, A. [Moscow Institute of Physics and Technology, 141700 Moscow Region (Russian Federation)

    2015-01-28

    Recently proposed novel neural network hardware designs imply the use of memristors as electronic synapses in 3D cross-bar architecture. Atomic layer deposition (ALD) is the most feasible technique to fabricate such arrays. In this work, we present the results of the detailed investigation of the gradual resistive switching (memristive) effect in nanometer thick fully ALD grown TiN/HfO{sub 2}/TiN stacks. The modelling of the I-V curves confirms interface limited trap-assisted-tunneling mechanism along the oxygen vacancies in HfO{sub 2} in all conduction states. The resistivity of the stack is found to critically depend upon the distance from the interface to the first trap in HfO{sub 2}. The memristive properties of ALD grown TiN/HfO{sub 2}/TiN devices are correlated with the demonstrated neuromorphic functionalities, such as long-term potentiation/depression and spike-timing dependent plasticity, thus indicating their potential as electronic synapses in neuromorphic hardware.

  14. Interaction of Multiple Particles with a Solidification Front: From Compacted Particle Layer to Particle Trapping.

    Science.gov (United States)

    Saint-Michel, Brice; Georgelin, Marc; Deville, Sylvain; Pocheau, Alain

    2017-06-13

    The interaction of solidification fronts with objects such as particles, droplets, cells, or bubbles is a phenomenon with many natural and technological occurrences. For an object facing the front, it may yield various fates, from trapping to rejection, with large implications regarding the solidification pattern. However, whereas most situations involve multiple particles interacting with each other and the front, attention has focused almost exclusively on the interaction of a single, isolated object with the front. Here we address experimentally the interaction of multiple particles with a solidification front by performing solidification experiments of a monodisperse particle suspension in a Hele-Shaw cell with precise control of growth conditions and real-time visualization. We evidence the growth of a particle layer ahead of the front at a close-packing volume fraction, and we document its steady-state value at various solidification velocities. We then extend single-particle models to the situation of multiple particles by taking into account the additional force induced on an entering particle by viscous friction in the compacted particle layer. By a force balance model this provides an indirect measure of the repelling mean thermomolecular pressure over a particle entering the front. The presence of multiple particles is found to increase it following a reduction of the thickness of the thin liquid film that separates particles and front. We anticipate the findings reported here to provide a relevant basis to understand many complex solidification situations in geophysics, engineering, biology, or food engineering, where multiple objects interact with the front and control the resulting solidification patterns.

  15. Layer-by-layer cell membrane assembly

    Science.gov (United States)

    Matosevic, Sandro; Paegel, Brian M.

    2013-11-01

    Eukaryotic subcellular membrane systems, such as the nuclear envelope or endoplasmic reticulum, present a rich array of architecturally and compositionally complex supramolecular targets that are as yet inaccessible. Here we describe layer-by-layer phospholipid membrane assembly on microfluidic droplets, a route to structures with defined compositional asymmetry and lamellarity. Starting with phospholipid-stabilized water-in-oil droplets trapped in a static droplet array, lipid monolayer deposition proceeds as oil/water-phase boundaries pass over the droplets. Unilamellar vesicles assembled layer-by-layer support functional insertion both of purified and of in situ expressed membrane proteins. Synthesis and chemical probing of asymmetric unilamellar and double-bilayer vesicles demonstrate the programmability of both membrane lamellarity and lipid-leaflet composition during assembly. The immobilized vesicle arrays are a pragmatic experimental platform for biophysical studies of membranes and their associated proteins, particularly complexes that assemble and function in multilamellar contexts in vivo.

  16. Trap-mediated electronic transport properties of gate-tunable pentacene/MoS2 p-n heterojunction diodes.

    Science.gov (United States)

    Kim, Jae-Keun; Cho, Kyungjune; Kim, Tae-Young; Pak, Jinsu; Jang, Jingon; Song, Younggul; Kim, Youngrok; Choi, Barbara Yuri; Chung, Seungjun; Hong, Woong-Ki; Lee, Takhee

    2016-11-10

    We investigated the trap-mediated electronic transport properties of pentacene/molybdenum disulphide (MoS 2 ) p-n heterojunction devices. We observed that the hybrid p-n heterojunctions were gate-tunable and were strongly affected by trap-assisted tunnelling through the van der Waals gap at the heterojunction interfaces between MoS 2 and pentacene. The pentacene/MoS 2 p-n heterojunction diodes had gate-tunable high ideality factor, which resulted from trap-mediated conduction nature of devices. From the temperature-variable current-voltage measurement, a space-charge-limited conduction and a variable range hopping conduction at a low temperature were suggested as the gate-tunable charge transport characteristics of these hybrid p-n heterojunctions. Our study provides a better understanding of the trap-mediated electronic transport properties in organic/2-dimensional material hybrid heterojunction devices.

  17. Kinetic model of the bichromatic dark trap for atoms

    Science.gov (United States)

    Krasnov, I. V.

    2017-08-01

    A kinetic model of atom confinement in a bichromatic dark trap (BDT) is developed with the goal of describing its dissipative properties. The operating principle of the deep BDT is based on using the combination of multiple bichromatic cosine-Gaussian optical beams (CGBs) for creating high-potential barriers, which is described in our previous work (Krasnov 2016 Laser Phys. 26 105501). In the indicated work, particle motion in the BDT is described in terms of classical trajectories. In the present study, particle motion is analyzed by means of the Wigner function (phase-space distribution function (DF)), which allows one to properly take into account the quantum fluctuations of optical forces. Besides, we consider an improved scheme of the BDT, where CGBs create, apart from plane potential barriers, a narrow cooling layer. We find an asymptotic solution of the Fokker-Planck equation for the DF and show that the DF of particles deeply trapped in a BDT with a cooling layer is the Tsallis distribution with the effective temperature, which can be considerably lower than in a BDT without a cooling layer. Moreover, it can be adjusted by slightly changing the CGBs’ radii. We also study the effect of particle escape from the trap due to the scattering of resonant photons and show that the particle lifetime in a BDT can exceed several tens of hours when it is limited by photon scattering.

  18. Rational design of a tripartite-layered TiO2 photoelectrode: a candidate for enhanced power conversion efficiency in dye sensitized solar cells.

    Science.gov (United States)

    Khan, Javid; Gu, Jiuwang; He, Shiman; Li, Xiaohui; Ahmed, Gulzar; Liu, Zhongwu; Akhtar, Muhammad Nadeem; Mai, Wenjie; Wu, Mingmei

    2017-07-20

    A tri-layered photoelectrode for dye-sensitized solar cells (DSSCs) is assembled using single crystal hollow TiO 2 nanoparticles (HTNPs), sub-micro hollow TiO 2 mesospheres (SHTMSs) and hierarchical TiO 2 microspheres (HTMSs). The bottom layer composed of single crystal hollow TiO 2 nanoparticles serves to absorb dye molecules, harvest light due to its hollow structure and keep a better mechanical contact with FTO conducting glass; the middle layer consisting of sub-micro hollow mesospheres works as a multifunctional layer due to its high dye adsorption ability, strong light trapping and scattering ability and slow recombination rates; and the top layer consisting of hierarchical microspheres enhances light scattering. The DSSCs made of photoanodes with a tripartite-layer structure (Film 4) show a superior photoconversion efficiency (PCE) of 9.24%, which is 7.4% higher than a single layered photoanode composed of HTNPs (Film 1: 8.90%), 4.6% higher than a double layer-based electrode consisting of HTNPs and SHTMSs (Film 2: 9.03%) and 2.6% higher than a double layer-based electrode made of HTNPs and HTMSs (Film 3: 9.11%). The significant improvements in the PCE for tri-layered TiO 2 photoanodes are mainly because of the combined effects of their higher light scattering ability, long electron lifetime, fast electron transport rate, efficient charge collection and a considerable surface area with high dye-loading capability. This study confirms that the facile tri-layered photoanode is an interesting structure for high-efficiency DSSCs.

  19. Spatial identification of traps in AlGaN/GaN heterostructures by the combination of lateral and vertical electrical stress measurements

    International Nuclear Information System (INIS)

    Hu, Anqi; Yang, Xuelin; Cheng, Jianpeng; Guo, Lei; Zhang, Jie; Ge, Weikun; Xu, Fujun; Tang, Ning; Qin, Zhixin; Wang, Maojun; Wang, Xinqiang; Shen, Bo

    2016-01-01

    We present a methodology and the corresponding experimental results to identify the exact location of the traps that induce hot electron trapping in AlGaN/GaN heterostructures grown on Si substrates. The methodology is based on a combination of lateral and vertical electrical stress measurements employing three ohmic terminals on the test sample structure with different GaN buffer designs. By monitoring the evolution of the lateral current during lateral as well as vertical stress application, we investigate the trapping/detrapping behaviors of the hot electrons and identify that the traps correlated with current degradation are in fact located in the GaN buffer layers. The trap activation energies (0.38–0.39 eV and 0.57–0.59 eV) extracted from either lateral or vertical stress measurements are in good agreement with each other, also confirming the identification. By further comparing the trapping behaviors in two samples with different growth conditions of an unintentionally doped GaN layer, we conclude that the traps are most likely in the unintentionally doped GaN layer but of different origins. It is suggested that the 0.38–0.39 eV trap is related to residual carbon incorporation while the 0.57–0.59 eV trap is correlated with native defects or complexes

  20. Voltage linearity modulation and polarity dependent conduction in metal-insulator-metal capacitors with atomic-layer-deposited Al{sub 2}O{sub 3}/ZrO{sub 2}/SiO{sub 2} nano-stacks

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Bao; Liu, Wen-Jun; Wei, Lei; Zhang, David Wei; Jiang, Anquan; Ding, Shi-Jin, E-mail: sjding@fudan.edu.cn [State Key Laboratory of ASIC and System, School of Microelectronics, Fudan University, Shanghai 200433 (China)

    2015-07-07

    Excellent voltage linearity of metal-insulator-metal (MIM) capacitors is highly required for next generation radio frequency integration circuits. In this work, employing atomic layer deposition technique, we demonstrated how the voltage linearity of MIM capacitors was modulated by adding different thickness of SiO{sub 2} layer to the nano-stack of Al{sub 2}O{sub 3}/ZrO{sub 2}. It was found that the quadratic voltage coefficient of capacitance (α) can be effectively reduced from 1279 to −75 ppm/V{sup 2} with increasing the thickness of SiO{sub 2} from zero to 4 nm, which is more powerful than increasing the thickness of ZrO{sub 2} in the Al{sub 2}O{sub 3}/ZrO{sub 2} stack. This is attributed to counteraction between the positive α for Al{sub 2}O{sub 3}/ZrO{sub 2} and the negative one for SiO{sub 2} in the MIM capacitors with Al{sub 2}O{sub 3}/ZrO{sub 2}/SiO{sub 2} stacks. Interestingly, voltage-polarity dependent conduction behaviors in the MIM capacitors were observed. For electron bottom-injection, the addition of SiO{sub 2} obviously suppressed the leakage current; however, it abnormally increased the leakage current for electron top-injection. These are ascribed to the co-existence of shallow and deep traps in ZrO{sub 2}, and the former is in favor of the field-assisted tunnelling conduction and the latter contributes to the trap-assisted tunnelling process. The above findings will be beneficial to device design and process optimization for high performance MIM capacitors.

  1. Transparent nanoscale floating gate memory using self-assembled bismuth nanocrystals in Bi(2) Mg(2/3) Nb(4/3) O(7) (BMN) pyrochlore thin films grown at room temperature.

    Science.gov (United States)

    Jung, Hyun-June; Yoon, Soon-Gil; Hong, Soon-Ku; Lee, Jeong-Yong

    2012-07-03

    Bismuth nanocrystals for a nanoscale floating gate memory device are self-assembled in Bi(2) Mg(2/3) Nb(4/3) O(7) (BMN) dielectric films grown at room temperature by radio-frequency sputtering. The TEM cross-sectional image shows the "real" structure grown on a Si (001) substrate. The image magnified from the dotted box (red color) in the the cross-sectional image clearly shows bismuth nanoparticles at the interface between the Al(2) O(3) and HfO(2) layer (right image). Nanoparticles approximately 3 nm in size are regularly distributed at the interface. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Radiation induced leakage due to stochastic charge trapping in isolation layers of nanoscale MOSFETs

    Science.gov (United States)

    Zebrev, G. I.; Gorbunov, M. S.; Pershenkov, V. S.

    2008-03-01

    The sensitivity of sub-100 nm devices to microdose effects, which can be considered as intermediate case between cumulative total dose and single event errors, is investigated. A detailed study of radiation-induced leakage due to stochastic charge trapping in irradiated planar and nonplanar devices is developed. The influence of High-K insulators on nanoscale ICs reliability is discussed. Low critical values of trapped charge demonstrate a high sensitivity to single event effect.

  3. A circularly polarized optical dipole trap and other developments in laser trapping of atoms

    Science.gov (United States)

    Corwin, Kristan Lee

    Several innovations in laser trapping and cooling of alkali atoms are described. These topics share a common motivation to develop techniques for efficiently manipulating cold atoms. Such advances facilitate sensitive precision measurements such as parity non- conservation and 8-decay asymmetry in large trapped samples, even when only small quantities of the desired species are available. First, a cold, bright beam of Rb atoms is extracted from a magneto-optical trap (MOT) using a very simple technique. This beam has a flux of 5 × 109 atoms/s and a velocity of 14 m/s, and up to 70% of the atoms in the MOT were transferred to the atomic beam. Next, a highly efficient MOT for radioactive atoms is described, in which more than 50% of 221Fr atoms contained in a vapor cell are loaded into a MOT. Measurements were also made of the 221Fr 7 2P1/2 and 7 2P3/2 energies and hyperfine constants. To perform these experiments, two schemes for stabilizing the frequency of the light from a diode laser were developed and are described in detail. Finally, a new type of trap is described and a powerful cooling technique is demonstrated. The circularly polarized optical dipole trap provides large samples of highly spin-polarized atoms, suitable for many applications. Physical processes that govern the transfer of large numbers of atoms into the trap are described, and spin-polarization is measured to be 98(1)%. In addition, the trap breaks the degeneracy of the atomic spin states much like a magnetic trap does. This allows for RF and microwave cooling via both forced evaporation and a Sisyphus mechanism. Preliminary application of these techniques to the atoms in the circularly polarized dipole trap has successfully decreased the temperature by a factor of 4 while simultaneously increasing phase space density.

  4. Improved charge trapping properties by embedded graphene oxide quantum-dots for flash memory application

    Science.gov (United States)

    Jia, Xinlei; Yan, Xiaobing; Wang, Hong; Yang, Tao; Zhou, Zhenyu; Zhao, Jianhui

    2018-06-01

    In this work, we have investigated two kinds of charge trapping memory devices with Pd/Al2O3/ZnO/SiO2/p-Si and Pd/Al2O3/ZnO/graphene oxide quantum-dots (GOQDs)/ZnO/SiO2/p-Si structure. Compared with the single ZnO sample, the memory window of the ZnO-GOQDs-ZnO sample reaches a larger value (more than doubled) of 2.7 V under the sweeping gate voltage ± 7 V, indicating a better charge storage capability and the significant charge trapping effects by embedding the GOQDs trapping layer. The ZnO-GOQDs-ZnO devices have better date retention properties with the high and low capacitances loss of ˜ 1.1 and ˜ 6.9%, respectively, as well as planar density of the trapped charges of 1.48 × 1012 cm- 2. It is proposed that the GOQDs play an important role in the outstanding memory characteristics due to the deep quantum potential wells and the discrete distribution of the GOQDs. The long date retention time might have resulted from the high potential barrier which suppressed both the back tunneling and the leakage current. Intercalating GOQDs in the memory device is a promising method to realize large memory window, low-power consumption and excellent retention properties.

  5. A trapped field of >3 T in bulk MgB2 fabricated by uniaxial hot pressing

    International Nuclear Information System (INIS)

    Durrell, J H; Dennis, A; Shi, Y; Xu, Z; Campbell, A M; Babu, N Hari; Cardwell, D A; Dancer, C E J; Todd, R I; Grovenor, C R M

    2012-01-01

    A trapped field of over 3 T has been measured at 17.5 K in a magnetized stack of two disc-shaped bulk MgB 2 superconductors of diameter 25 mm and thickness 5.4 mm. The bulk MgB 2 samples were fabricated by uniaxial hot pressing, which is a readily scalable, industrial technique, to 91% of their maximum theoretical density. The macroscopic critical current density derived from the trapped field data using the Biot–Savart law is consistent with the measured local critical current density. From this we conclude that critical current density, and therefore trapped field performance, is limited by the flux pinning available in MgB 2 , rather than by lack of connectivity. This suggests strongly that both increasing sample size and enhancing pinning through doping will allow further increases in trapped field performance of bulk MgB 2 . (rapid communication)

  6. Dielectric relaxation dependent memory elements in pentacene/[6,6]-phenyl-C61-butyric acid methyl ester bi-layer field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Park, Byoungnam

    2015-03-02

    We fabricate a pentacene/[6,6]-phenyl-C{sub 61}-butyric acid methyl ester (PCBM) bi-layer field effect transistor (FET) featuring large hysteresis that can be used as memory elements. Intentional introduction of excess electron traps in a PCBM layer by exposure to air caused large hysteresis in the FET. The memory window, characterized by the threshold voltage difference, increased upon exposure to air and this is attributed to an increase in the number of electron trapping centers and (or) an increase in the dielectric relaxation time in the underlying PCBM layer. Decrease in the electron conduction in the PCBM close to the SiO{sub 2} gate dielectric upon exposure to air is consistent with the increase in the dielectric relaxation time, ensuring that the presence of large hysteresis in the FET originates from electron trapping at the PCBM not at the pentacene. - Highlights: • Charge trapping-induced memory effect was clarified using transistors. • The memory window can be enhanced by controlling charge trapping mechanism. • Memory transistors can be optimized by controlling dielectric relaxation time.

  7. Charge-trapping effect of doped fluorescent dye on the electroluminescent processes and its performance in polymer light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Ye Tengling; Chen Zhenyu; Chen Jiangshan [State Key Laboratory of Polymer Physics and Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Graduate School of Chinese Academy of Sciences, Changchun 130022 (China); Ma Dongge, E-mail: mdg1014@ciac.jl.cn [State Key Laboratory of Polymer Physics and Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Graduate School of Chinese Academy of Sciences, Changchun 130022 (China)

    2010-11-15

    We have measured the temperature dependence of the steady-state current-voltage (I-V) characteristics and the transient electroluminescent (EL) characteristics in 4-(dicyanomethylene)-2-t-propyl-6-(1,1,7, 7-tetramethyljulolidyl-9-enyl)-4H-pyran (DCJTB) doped polyfluorene devices to study the charge-trapping effect of DCJTB fluorescent dye on luminescence processes and on device performance. Physical and chemical analyses prove that DCJTB molecules serve both as electron and hole traps, and the charge-trapping effect is more sensitive against the electrons than the holes at the low dopant concentration. This intrinsic characteristic causes the electron to be injected into the emitting layer first and then trapped in the bulk, producing a strong effect on device performance.

  8. CysLT2 receptor activation is involved in LTC4-induced lung air-trapping in guinea pigs.

    Science.gov (United States)

    Sekioka, Tomohiko; Kadode, Michiaki; Yonetomi, Yasuo; Kamiya, Akihiro; Fujita, Manabu; Nabe, Takeshi; Kawabata, Kazuhito

    2017-01-05

    CysLT 1 receptors are known to be involved in the pathogenesis of asthma. However, the functional roles of CysLT 2 receptors in this condition have not been determined. The purpose of this study is to develop an experimental model of CysLT 2 receptor-mediated LTC 4 -induced lung air-trapping in guinea pigs and use this model to clarify the mechanism underlying response to such trapping. Because LTC 4 is rapidly converted to LTD 4 by γ-glutamyltranspeptidase (γ-GTP) under physiological conditions, S-hexyl GSH was used as a γ-GTP inhibitor. In anesthetized artificially ventilated guinea pigs with no S-hexyl GSH treatment, i.v. LTC 4 -induced bronchoconstriction was almost completely inhibited by montelukast, a CysLT 1 receptor antagonist, but not by BayCysLT 2 RA, a CysLT 2 receptor antagonist. The inhibitory effect of montelukast was diminished by treatment with S-hexyl GSH, whereas the effect of BayCysLT 2 RA was enhanced with increasing dose of S-hexyl GSH. Macroscopic and histological examination of lung tissue isolated from LTC 4 -/S-hexyl-GSH-treated guinea pigs revealed air-trapping expansion, particularly at the alveolar site. Inhaled LTC 4 in conscious guinea pigs treated with S-hexyl GSH increased both airway resistance and airway hyperinflation. On the other hand, LTC 4 -induced air-trapping was only partially suppressed by treatment with the bronchodilator salmeterol. Although montelukast inhibition of LTC 4 -induced air-trapping was weak, treatment with BayCysLT 2 RA resulted in complete suppression of this air-trapping. Furthermore, BayCysLT 2 RA completely suppressed LTC 4 -induced airway vascular hyperpermeability. In conclusion, we found in this study that CysLT 2 receptors mediate LTC 4 -induced bronchoconstriction and air-trapping in S-hexyl GSH-treated guinea pigs. It is therefore believed that CysLT 2 receptors contribute to asthmatic response involving air-trapping. Copyright © 2016 Elsevier B.V. All rights reserved.

  9. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  10. Accurate identification of layer number for few-layer WS2 and WSe2 via spectroscopic study.

    Science.gov (United States)

    Li, Yuanzheng; Li, Xinshu; Yu, Tong; Yang, Guochun; Chen, Heyu; Zhang, Cen; Feng, Qiushi; Ma, Jiangang; Liu, Weizhen; Xu, Haiyang; Liu, Yichun; Liu, Xinfeng

    2018-03-23

    Transition metal dichalcogenides (TMDs) with a typical layered structure are highly sensitive to their layer number in optical and electronic properties. Seeking a simple and effective method for layer number identification is very important to low-dimensional TMD samples. Herein, a rapid and accurate layer number identification of few-layer WS 2 and WSe 2 is proposed via locking their photoluminescence (PL) peak-positions. As the layer number of WS 2 /WSe 2 increases, it is found that indirect transition emission is more thickness-sensitive than direct transition emission, and the PL peak-position differences between the indirect and direct transitions can be regarded as fingerprints to identify their layer number. Theoretical calculation confirms that the notable thickness-sensitivity of indirect transition derives from the variations of electron density of states of W atom d-orbitals and chalcogen atom p-orbitals. Besides, the PL peak-position differences between the indirect and direct transitions are almost independent of different insulating substrates. This work not only proposes a new method for layer number identification via PL studies, but also provides a valuable insight into the thickness-dependent optical and electronic properties of W-based TMDs.

  11. Wavelength dependent loading of traps in the persistent phosphor SrAl{sub 2}O{sub 4}:Eu{sup 2+}, Dy{sup 3+}

    Energy Technology Data Exchange (ETDEWEB)

    Hagemann, H.; Lovy, D. [Department of Physical Chemistry, University of Geneva, Quai E. Ansermet 30, CH-1211 Geneva 4 (Switzerland); Yoon, S.; Pokrant, S. [Laboratory Materials for Energy Conversion, Empa-Swiss Federal Laboratories for Materials Science and Technology, Ueberlandstrasse 129, CH-8600, Dübendorf (Switzerland); Gartmann, N.; Walfort, B. [LumiNova AG, Speicherstrasse 60a, CH-9053, Teufen (Switzerland); Bierwagen, J., E-mail: Jakob.Bierwagen@unige.ch [Department of Physical Chemistry, University of Geneva, Quai E. Ansermet 30, CH-1211 Geneva 4 (Switzerland)

    2016-02-15

    The persistent phosphorescence and thermoluminescence of SrAl{sub 2}O{sub 4}:Eu{sup 2+}:Dy{sup 3+} is reported for a variety of different excitation wavelengths and excitation temperatures, to provide new insights in the mechanism of the trapping and detrapping. These measurements reveal that the trapping is strongly dependent on the wavelength and temperature. First, with increasing loading temperature, the thermoluminescence peak shifts to lower temperatures which corresponds to a change of trap population. Secondly, the integrated thermoluminescent intensity increases with increasing loading temperature. All wavelength and temperature dependent experiments indicate that the loading of the traps is a thermally activated processes. Utilizing different wavelengths for loading, this effect can be enhanced or reduced. Furthermore excitation with UV-B-light reveals a tendency for detrapping the phosphor, reducing the resulting thermoluminescent intensity and changing the population of the traps.

  12. Rapid localized crystallization of lysozyme by laser trapping.

    Science.gov (United States)

    Yuyama, Ken-Ichi; Chang, Kai-Di; Tu, Jing-Ru; Masuhara, Hiroshi; Sugiyama, Teruki

    2018-02-28

    Confining protein crystallization to a millimetre size was achieved within 0.5 h after stopping 1 h intense trapping laser irradiation, which shows excellent performance in spatial and temporal controllability compared to spontaneous nucleation. A continuous-wave near-infrared laser beam is tightly focused into a glass/solution interfacial layer of a supersaturated buffer solution of hen egg-white lysozyme (HEWL). The crystallization is not observed during laser trapping, but initiated by stopping the laser irradiation. The generated crystals are localized densely in a circular area with a diameter of a few millimetres around the focal spot and show specific directions of the optical axes of the HEWL crystals. To interpret this unique crystallization, we propose a mechanism that nucleation and the subsequent growth take place in a highly concentrated domain consisting of HEWL liquid-like clusters after turning off laser trapping.

  13. Analysis of carrier transport and carrier trapping in organic diodes with polyimide-6,13-Bis(triisopropylsilylethynyl)pentacene double-layer by charge modulation spectroscopy and optical second harmonic generation measurement

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Eunju, E-mail: elim@dankook.ac.kr, E-mail: taguchi.d.aa@m.titech.ac.jp, E-mail: iwamoto@pe.titech.ac.jp [Department of Applied Physics, Institute of Nanosensor and Biotechnology, Dankook University, Jukjeon-dong, Gyeonggi-do 448-701 (Korea, Republic of); Taguchi, Dai, E-mail: elim@dankook.ac.kr, E-mail: taguchi.d.aa@m.titech.ac.jp, E-mail: iwamoto@pe.titech.ac.jp; Iwamoto, Mitsumasa, E-mail: elim@dankook.ac.kr, E-mail: taguchi.d.aa@m.titech.ac.jp, E-mail: iwamoto@pe.titech.ac.jp [Department of Physical Electronics, Tokyo Institute of Technology 2-12-1, O-okayama, Meguro-ku, Tokyo 152-8552 (Japan)

    2014-08-18

    We studied the carrier transport and carrier trapping in indium tin oxide/polyimide (PI)/6,13-Bis(triisopropylsilylethynyl)pentacene (TIPS-pentacene)/Au diodes by using charge modulation spectroscopy (CMS) and time-resolved electric field induced optical second harmonic generation (TR-EFISHG) measurements. TR-EFISHG directly probes the spatial carrier behaviors in the diodes, and CMS is useful in explaining the carrier motion with respect to energy. The results clearly indicate that the injected carriers move across TIPS-pentacene thorough the molecular energy states of TIPS-pentacene and accumulate at the PI/TIPS-pentacene interface. However, some carriers are trapped in the PI layers. These findings take into account the capacitance-voltage and current-voltage characteristics of the diodes.

  14. A microfluidic chip for direct and rapid trapping of white blood cells from whole blood

    Science.gov (United States)

    Chen, Jingdong; Chen, Di; Yuan, Tao; Xie, Yao; Chen, Xiang

    2013-01-01

    Blood analysis plays a major role in medical and science applications and white blood cells (WBCs) are an important target of analysis. We proposed an integrated microfluidic chip for direct and rapid trapping WBCs from whole blood. The microfluidic chip consists of two basic functional units: a winding channel to mix and arrays of two-layer trapping structures to trap WBCs. Red blood cells (RBCs) were eliminated through moving the winding channel and then WBCs were trapped by the arrays of trapping structures. We fabricated the PDMS (polydimethylsiloxane) chip using soft lithography and determined the critical flow velocities of tartrazine and brilliant blue water mixing and whole blood and red blood cell lysis buffer mixing in the winding channel. They are 0.25 μl/min and 0.05 μl/min, respectively. The critical flow velocity of the whole blood and red blood cell lysis buffer is lower due to larger volume of the RBCs and higher kinematic viscosity of the whole blood. The time taken for complete lysis of whole blood was about 85 s under the flow velocity 0.05 μl/min. The RBCs were lysed completely by mixing and the WBCs were trapped by the trapping structures. The chip trapped about 2.0 × 103 from 3.3 × 103 WBCs. PMID:24404026

  15. Fabrication and electrical characterization of a MOS memory device containing self-assembled metallic nanoparticles

    Science.gov (United States)

    Sargentis, Ch.; Giannakopoulos, K.; Travlos, A.; Tsamakis, D.

    2007-04-01

    Floating gate devices with nanoparticles embedded in dielectrics have recently attracted much attention due to the fact that these devices operate as non-volatile memories with high speed, high density and low power consumption. In this paper, memory devices containing gold (Au) nanoparticles have been fabricated using e-gun evaporation. The Au nanoparticles are deposited on a very thin SiO 2 layer and are then fully covered by a HfO 2 layer. The HfO 2 is a high- k dielectric and gives good scalability to the fabricated devices. We studied the effect of the deposition parameters to the size and the shape of the Au nanoparticles using capacitance-voltage and conductance-voltage measurements, we demonstrated that the fabricated device can indeed operate as a low-voltage memory device.

  16. Simulation of electron transmittance and tunnel current in n{sup +} Poly-Si/HfSiO{sub x}N/Trap/SiO{sub 2}/Si(100) capacitors using analytical and numerical approaches

    Energy Technology Data Exchange (ETDEWEB)

    Noor, Fatimah A., E-mail: fatimah@fi.itb.ac.id; Iskandar, Ferry; Abdullah, Mikrajuddin; Khairurrijal [Physics of Electronic Materials Research Division Faculty of Mathematics and Natural Sciences, Institut Teknologi Bandung Jalan Ganesa 10, Bandung 40132 (Indonesia)

    2015-04-16

    In this paper, we discuss the electron transmittance and tunneling current in high-k-based-MOS capacitors with trapping charge by including the off-diagonal effective-mass tensor elements and the effect of coupling between transverse and longitudinal energies represented by an electron velocity in the gate. The HfSiO{sub x}N/SiO{sub 2} dual ultrathin layer is used as the gate oxide in an n{sup +} poly- Si/oxide/Si capacitor to replace SiO{sub 2}. The main problem of using HfSiO{sub x}N is the charge trapping formed at the HfSiO{sub x}N/SiO{sub 2} interface that can influence the performance of the device. Therefore, it is important to develop a model taking into account the presence of electron traps at the HfSiO{sub x}N/SiO{sub 2} interface in the electron transmittance and tunneling current. The transmittance and tunneling current in n{sup +} poly- Si/HfSiO{sub x}N/trap/SiO2/Si(100) capacitors are calculated by using Airy wavefunctions and a transfer matrix method (TMM) as analytical and numerical approaches, respectively. The transmittance and tunneling current obtained from the Airy wavefunction are compared to those computed by the TMM. The effects of the electron velocity on the transmittance and tunneling current are also discussed.

  17. Seismic trapped modes in the oroville and san andreas fault zones.

    Science.gov (United States)

    Li, Y G; Leary, P; Aki, K; Malin, P

    1990-08-17

    Three-component borehole seismic profiling of the recently active Oroville, California, normal fault and microearthquake event recording with a near-fault three-component borehole seismometer on the San Andreas fault at Parkfield, California, have shown numerous instances of pronounced dispersive wave trains following the shear wave arrivals. These wave trains are interpreted as fault zone-trapped seismic modes. Parkfield earthquakes exciting trapped modes have been located as deep as 10 kilometers, as shallow as 4 kilometers, and extend 12 kilometers along the fault on either side of the recording station. Selected Oroville and Parkfield wave forms are modeled as the fundamental and first higher trapped SH modes of a narrow low-velocity layer at the fault. Modeling results suggest that the Oroville fault zone is 18 meters wide at depth and has a shear wave velocity of 1 kilometer per second, whereas at Parkfield, the fault gouge is 100 to 150 meters wide and has a shear wave velocity of 1.1 to 1.8 kilometers per second. These low-velocity layers are probably the rupture planes on which earthquakes occur.

  18. Trapping for invasive crayfish: comparisons of efficacy and selectivity of baited traps versus novel artificial refuge traps

    Directory of Open Access Journals (Sweden)

    Green Nicky

    2018-01-01

    Full Text Available Non-native crayfish can dominate the invertebrate biomass of invaded freshwaters, with their high ecological impacts resulting in their populations being controlled by numerous methods, especially trapping. Although baited funnel traps (BTs are commonly used, they tend to be selective in mainly catching large-bodied males. Here, the efficacy and selectivity of BTs were tested against an alternative trapping method based on artificial refuges (ARTs that comprised of a metal base with several tubes (refuges attached. The target species was signal crayfish Pacifastacus leniusculus in an upland river in southwest England. Trapping was completed in April to October over two consecutive years. In total, 5897 crayfish were captured, with 87% captured in ARTs. Comparison of the catch per unit effort (CPUE between the trapping methods in the same 24 hour periods revealed significantly higher CPUE in ARTs than of BTs. ARTs fished for 6 consecutive days had higher catches than both methods over 24 hours. Whilst catches in BTs were significantly dominated by males (1.49M:1F, the sex ratio of catches in ARTs was 0.99M:1F. The mean carapace length of crayfish was also significantly larger in BTs (43.2 ± 0.6 mm than in ARTs (33.6 ± 0.2 mm. Thus, ARTs had higher CPUE over 24 hour and 6 day periods versus BTs and also captured a greater proportion of smaller and female individuals. These results indicate that when trapping methods are deployed for managing invasions, the use of ARTs removes substantial numbers of crayfish of both sexes and of varying body sizes.

  19. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  20. Channel mobility degradation and charge trapping in high-k/metal gate NMOSFETs

    International Nuclear Information System (INIS)

    Mathew, Shajan; Bera, L.K.; Balasubramanian, N.; Joo, M.S.; Cho, B.J.

    2004-01-01

    NMOSFETs with Metalo-Organic Chemical Vapor Deposited (MOCVD) HfAlO gate dielectric and TiN metal gate have been fabricated. Channel electron mobility was measured using the split-CV method and compared with SiO 2 devices. All high-k devices showed lower mobility compared with SiO 2 reference devices. High-k MOSFETs exhibited significant charge trapping and threshold instability. Threshold voltage recovery with time was studied on devices with oxide/nitride interfacial layer between high-k film and silicon substrate

  1. Proximity effect in normal-superconductor hybrids for quasiparticle traps

    Energy Technology Data Exchange (ETDEWEB)

    Hosseinkhani, Amin [Peter Grunberg Institute (PGI-2), Forschungszentrum Julich, D-52425 Julich (Germany); JARA-Institute for Quantum Information, RWTH Aachen University, D-52056 Aachen (Germany)

    2016-07-01

    Coherent transport of charges in the form of Cooper pairs is the main feature of Josephson junctions which plays a central role in superconducting qubits. However, the presence of quasiparticles in superconducting devices may lead to incoherent charge transfer and limit the coherence time of superconducting qubits. A way around this so-called ''quasiparticle poisoning'' might be using a normal-metal island to trap quasiparticles; this has motivated us to revisit the proximity effect in normal-superconductor hybrids. Using the semiclassical Usadel equations, we study the density of states (DoS) both within and away from the trap. We find that in the superconducting layer the DoS quickly approaches the BCS form; this indicates that normal-metal traps should be effective at localizing quasiparticles.

  2. Ion Trap Quantum Computing

    Science.gov (United States)

    2011-12-01

    variations of ion traps, including (1) the cylindrically symmetric 3D ring trap; (2) the linear trap with a combination of cavity QED; (#) the symmetric...concepts of quantum information. The major demonstration has been the test of a Bell inequality as demonstrated by Rowe et al. [50] and a decoherence...famous physics experiment [62]. Wolfgang Paul demonstrated a similar apparatus during his Nobel Prize speech [63]. This device is hyperbolic- parabolic

  3. Absorption spectra of trapped holes in anatase TiO2

    DEFF Research Database (Denmark)

    Zawadzki, Pawel

    2013-01-01

    absorption spectroscopy (TAS), but the understanding of the optical absorption due to trapped carriers in TiO2 is incomplete. On the basis of the generalized Δ self-consistent field density functional theory (Δ-SCF DFT) calculations, we attribute the experimentally observed absorption band at 430-550 nm...

  4. Effect of tunneling layers on the performances of floating-gate based organic thin-film transistor nonvolatile memories

    Science.gov (United States)

    Wang, Wei; Han, Jinhua; Ying, Jun; Xiang, Lanyi; Xie, Wenfa

    2014-09-01

    Two types of floating-gate based organic thin-film transistor nonvolatile memories (FG-OTFT-NVMs) were demonstrated, with poly(methyl methacrylate co glycidyl methacrylate) (P(MMA-GMA)) and tetratetracontane (TTC) as the tunneling layer, respectively. Their device performances were measured and compared. In the memory with a P(MMA-GMA) tunneling layer, typical unipolar hole transport was obtained with a relatively small mobility of 0.16 cm2/V s. The unidirectional shift of turn-on voltage (Von) due to only holes trapped/detrapped in/from the floating gate resulted in a small memory window of 12.5 V at programming/erasing voltages (VP/VE) of ±100 V and a nonzero reading voltage. Benefited from the well-ordered molecule orientation and the trap-free surface of TTC layer, a considerably high hole mobility of 1.7 cm2/V s and a visible feature of electrons accumulated in channel and trapped in floating-gate were achieved in the memory with a TTC tunneling layer. High hole mobility resulted in a high on current and a large memory on/off ratio of 600 at the VP/VE of ±100 V. Both holes and electrons were injected into floating-gate and overwritten each other, which resulted in a bidirectional Von shift. As a result, an enlarged memory window of 28.6 V at the VP/VE of ±100 V and a zero reading voltage were achieved. Based on our results, a strategy is proposed to optimize FG-OTFT-NVMs by choosing a right tunneling layer to improve the majority carrier mobility and realize ambipolar carriers injecting and trapping in the floating-gate.

  5. Pore scale study of multiphase multicomponent reactive transport during CO2 dissolution trapping

    Science.gov (United States)

    Chen, Li; Wang, Mengyi; Kang, Qinjun; Tao, Wenquan

    2018-06-01

    Solubility trapping is crucial for permanent CO2 sequestration in deep saline aquifers. For the first time, a pore-scale numerical method is developed to investigate coupled scCO2-water two-phase flow, multicomponent (CO2(aq), H+, HCO3-, CO32- and OH-) mass transport, heterogeneous interfacial dissolution reaction, and homogeneous dissociation reactions. Pore-scale details of evolutions of multiphase distributions and concentration fields are presented and discussed. Time evolutions of several variables including averaged CO2(aq) concentration, scCO2 saturation, and pH value are analyzed. Specific interfacial length, an important variable which cannot be determined but is required by continuum models, is investigated in detail. Mass transport coefficient or efficient dissolution rate is also evaluated. The pore-scale results show strong non-equilibrium characteristics during solubility trapping due to non-uniform distributions of multiphase as well as slow mass transport process. Complicated coupling mechanisms between multiphase flow, mass transport and chemical reactions are also revealed. Finally, effects of wettability are also studied. The pore-scale studies provide deep understanding of non-linear non-equilibrium multiple physicochemical processes during CO2 solubility trapping processes, and also allow to quantitatively predict some important empirical relationships, such as saturation-interfacial surface area, for continuum models.

  6. Investigation on H-containing shallow trap of hydrogenated TiO2 with in situ Fourier transform infrared diffuse reflection spectroscopy.

    Science.gov (United States)

    Han, Bing; Hang Hu, Yun

    2017-07-28

    A novel technique, high temperature high pressure in situ Fourier transform infrared diffuse reflection spectroscopy, was successfully used to investigate the formation and stability of shallow trap states in P25 TiO 2 nanoparticles. Two types of shallow traps (with and without H atoms) were identified. The H-containing shallow trap can be easily generated by heating in H 2 atmosphere. However, the trap is unstable in vacuum at 600 °C. In contrast, the H-free shallow trap, which can be formed by heating in vacuum, is stable even at 600 °C. The energy gaps between shallow trap states and the conduction band are 0.09 eV for H-containing shallow trap and 0.13 eV for H-free shallow trap, indicating that the H-containing shallow trap state is closer to the conduction band than that without H.

  7. Quantitative analysis of charge trapping and classification of sub-gap states in MoS2 TFT by pulse I-V method

    Science.gov (United States)

    Park, Junghak; Hur, Ji-Hyun; Jeon, Sanghun

    2018-04-01

    The threshold voltage instabilities and huge hysteresis of MoS2 thin film transistors (TFTs) have raised concerns about their practical applicability in next-generation switching devices. These behaviors are associated with charge trapping, which stems from tunneling to the adjacent trap site, interfacial redox reaction and interface and/or bulk trap states. In this report, we present quantitative analysis on the electron charge trapping mechanism of MoS2 TFT by fast pulse I-V method and the space charge limited current (SCLC) measurement. By adopting the fast pulse I-V method, we were able to obtain effective mobility. In addition, the origin of the trap states was identified by disassembling the sub-gap states into interface trap and bulk trap states by simple extraction analysis. These measurement methods and analyses enable not only quantitative extraction of various traps but also an understanding of the charge transport mechanism in MoS2 TFTs. The fast I-V data and SCLC data obtained under various measurement temperatures and ambient show that electron transport to neighboring trap sites by tunneling is the main charge trapping mechanism in thin-MoS2 TFTs. This implies that interfacial traps account for most of the total sub-gap states while the bulk trap contribution is negligible, at approximately 0.40% and 0.26% in air and vacuum ambient, respectively. Thus, control of the interface trap states is crucial to further improve the performance of devices with thin channels.

  8. Highly efficient white organic light-emitting devices consisting of undoped ultrathin yellow phosphorescent layer

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Shengqiang [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China); Yu, Junsheng, E-mail: jsyu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China); Ma, Zhu; Zhao, Juan [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)

    2013-02-15

    High-efficiency white organic light-emitting devices (WOLEDs) based on an undoped ultrathin yellow light-emitting layer and a doped blue light-emitting layer were demonstrated. While the thickness of blue light-emitting layer, formed by doping a charge-trapping phosphor, iridium(III) bis(4 Prime ,6 Prime -difluorophenylpyridinato)tetrakis(1-pyrazolyl)borate (FIr6) in a wide bandgap host, was kept constant, the thickness of neat yellow emissive layer of novel phosphorescent material, bis[2-(4-tertbutylphenyl)benzothiazolato-N,C{sup 2 Prime }]iridium (acetylacetonate) [(t-bt){sub 2}Ir(acac)] was varied to optimize the device performance. The optimized device exhibited maximum luminance, current efficiency and power efficiency of 24,000 cd/m{sup 2} (at 15.2 V), 79.0 cd/A (at 1550 cd/m{sup 2}) and 40.5 lm/W (at 1000 cd/m{sup 2}), respectively. Besides, the white-light emission covered a wide range of visible spectrum, and the Commission Internationale de l'Eclairage coordinates were (0.32, 0.38) with a color temperature of 5800 K at 8 V. Moreover, high external quantum efficiency was also obtained in the high-efficiency WOLEDs. The performance enhancement was attributed to the proper thickness of (t-bt){sub 2}Ir(acac) layer that enabled adequate current density and enough phosphorescent dye to trap electrons. - Highlights: Black-Right-Pointing-Pointer Highly efficient WOLEDs based on two complementary layers were fabricated. Black-Right-Pointing-Pointer The yellow emissive layer was formed by utilizing undoping system. Black-Right-Pointing-Pointer The blue emissive layer was made by host-guest doping system. Black-Right-Pointing-Pointer The thickness of the yellow emissive layer was varied to make device optimization. Black-Right-Pointing-Pointer The optimized device achieved high power efficiency of 40.5 lm/W.

  9. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  10. Advanced passivation techniques for Si solar cells with high-κ dielectric materials

    International Nuclear Information System (INIS)

    Geng, Huijuan; Lin, Tingjui; Letha, Ayra Jagadhamma; Hwang, Huey-Liang; Kyznetsov, Fedor A.; Smirnova, Tamara P.; Saraev, Andrey A.; Kaichev, Vasily V.

    2014-01-01

    Electronic recombination losses at the wafer surface significantly reduce the efficiency of Si solar cells. Surface passivation using a suitable thin dielectric layer can minimize the recombination losses. Herein, advanced passivation using simple materials (Al 2 O 3 , HfO 2 ) and their compounds H (Hf) A (Al) O deposited by atomic layer deposition (ALD) was investigated. The chemical composition of Hf and Al oxide films were determined by X-ray photoelectron spectroscopy (XPS). The XPS depth profiles exhibit continuous uniform dense layers. The ALD-Al 2 O 3 film has been found to provide negative fixed charge (−6.4 × 10 11  cm −2 ), whereas HfO 2 film provides positive fixed charge (3.2 × 10 12  cm −2 ). The effective lifetimes can be improved after oxygen gas annealing for 1 min. I-V characteristics of Si solar cells with high-κ dielectric materials as passivation layers indicate that the performance is significantly improved, and ALD-HfO 2 film would provide better passivation properties than that of the ALD-Al 2 O 3 film in this research work.

  11. H2O on Pt(111): structure and stability of the first wetting layer

    International Nuclear Information System (INIS)

    Standop, Sebastian; Michely, Thomas; Busse, Carsten; Morgenstern, Markus

    2012-01-01

    We study the structure and stability of the first water layer on Pt(111) by variable-temperature scanning tunneling microscopy. We find that a high Pt step edge density considerably increases the long-range order of the equilibrium √(37)×√(37)R25.3°- and √(39)×√(39)R16.1°-superstructures, presumably due to the capability of step edges to trap residual adsorbates from the surface. Passivating the step edges with CO or preparing a flat metal surface leads to the formation of disordered structures, which still show the same structural elements as the ordered ones. Coadsorption of Xe and CO proves that the water layer covers the metal surface completely. Moreover, we determine the two-dimensional crystal structure of Xe on top of the chemisorbed water layer which exhibits an Xe-Xe distance close to the one in bulk Xe and a rotation angle of 90° between the close-packed directions of Xe and the close-packed directions of the underlying water layer. CO is shown to replace H 2 O on the Pt(111) surface as has been deduced previously. In addition, we demonstrate that tunneling of electrons into the antibonding state or from the bonding state of H 2 O leads to dissociation of the molecules and a corresponding reordering of the adlayer into a √3×√3R30°-structure. Finally, a so far not understood restructuring of the adlayer by an increased tunneling current has been observed. (paper)

  12. Photonic crystals for light trapping in solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Gjessing, Jo

    2012-07-25

    Solar energy is an abundant and non-polluting source of energy. Nevertheless, the installation of solar cells for energy production is still dependent on subsidies in most parts of the world. One way of reducing the costs of solar cells is to decrease their thickness. This will reduce material consumption and, at the same time, unlock the possibility of using cheaper lower quality solar cell material. However, a thinner solar cell will have a higher optical loss due to insufficient absorption of long wavelength light. Therefore, light-trapping must be improved in order to make thin solar cells economically viable. In this thesis I investigate the potential for light-trapping in thin silicon solar cells by the use of various photonic crystal back-side structures. The first structure I study consists of a periodic array of cylinders in a configuration with a layer of silicon oxide separating the periodic structure from the rear metal reflector. This configuration reduces unwanted parasitic absorption in the reflector and the thickness of the oxide layer provides a new degree of freedom for improving light trapping from the structure. I use a large-period and a small-period approximation to analyze the cylinder structure and to identify criteria that contributes to successful light-trapping. I explore the light-trapping potential of various periodic structures including dimples, inverted pyramids, and cones. The structures are compared in an optical model using a 20 m thick Si slab. I find that the light trapping potential differs between the structures, that the unit cell dimensions for the given structure is more important for light trapping than the type of structure, and that the optimum lattice period does not differ significantly between the different structures. The light-trapping effect of the structures is investigated as a function on incidence angle. The structures provide good light trapping also under angles of incidence up to 60 degrees. The behavior

  13. Photonic crystals for light trapping in solar cells

    International Nuclear Information System (INIS)

    Gjessing, Jo

    2012-01-01

    Solar energy is an abundant and non-polluting source of energy. Nevertheless, the installation of solar cells for energy production is still dependent on subsidies in most parts of the world. One way of reducing the costs of solar cells is to decrease their thickness. This will reduce material consumption and, at the same time, unlock the possibility of using cheaper lower quality solar cell material. However, a thinner solar cell will have a higher optical loss due to insufficient absorption of long wavelength light. Therefore, light-trapping must be improved in order to make thin solar cells economically viable. In this thesis I investigate the potential for light-trapping in thin silicon solar cells by the use of various photonic crystal back-side structures. The first structure I study consists of a periodic array of cylinders in a configuration with a layer of silicon oxide separating the periodic structure from the rear metal reflector. This configuration reduces unwanted parasitic absorption in the reflector and the thickness of the oxide layer provides a new degree of freedom for improving light trapping from the structure. I use a large-period and a small-period approximation to analyze the cylinder structure and to identify criteria that contributes to successful light-trapping. I explore the light-trapping potential of various periodic structures including dimples, inverted pyramids, and cones. The structures are compared in an optical model using a 20 m thick Si slab. I find that the light trapping potential differs between the structures, that the unit cell dimensions for the given structure is more important for light trapping than the type of structure, and that the optimum lattice period does not differ significantly between the different structures. The light-trapping effect of the structures is investigated as a function on incidence angle. The structures provide good light trapping also under angles of incidence up to 60 degrees. The behavior

  14. Interface passivation and trap reduction via hydrogen fluoride for molybdenum disulfide on silicon oxide back-gate transistors

    Science.gov (United States)

    Hu, Yaoqiao; San Yip, Pak; Tang, Chak Wah; Lau, Kei May; Li, Qiang

    2018-04-01

    Layered semiconductor molybdenum disulfide (MoS2) has recently emerged as a promising material for flexible electronic and optoelectronic devices because of its finite bandgap and high degree of gate control. Here, we report a hydrogen fluoride (HF) passivation technique for improving the carrier mobility and interface quality of chemical vapor deposited monolayer MoS2 on a SiO2/Si substrate. After passivation, the fabricated MoS2 back-gate transistors demonstrate a more than double improvement in average electron mobility, a reduced gate hysteresis gap of 3 V, and a low interface trapped charge density of ˜5.8 × 1011 cm-2. The improvements are attributed to the satisfied interface dangling bonds, thus a reduction of interface trap states and trapped charges. Surface x-ray photoelectron spectroscopy analysis and first-principles simulation were performed to verify the HF passivation effect. The results here highlight the necessity of a MoS2/dielectric passivation strategy and provides a viable route for enhancing the performance of MoS2 nano-electronic devices.

  15. Effects of antimony (Sb) on electron trapping near SiO{sub 2}/4H-SiC interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Mooney, P. M.; Jiang, Zenan; Basile, A. F. [Physics Department, Simon Fraser University, Burnaby, British Columbia V5A 1S6 (Canada); Zheng, Yongju; Dhar, Sarit [Physics Department, Auburn University, Auburn, Alabama 36849 (United States)

    2016-07-21

    To investigate the mechanism by which Sb at the SiO{sub 2}/SiC interface improves the channel mobility of 4H-SiC MOSFETs, 1 MHz capacitance measurements and constant capacitance deep level transient spectroscopy (CCDLTS) measurements were performed on Sb-implanted 4H-SiC MOS capacitors. The measurements reveal a significant concentration of Sb donors near the SiO{sub 2}/SiC interface. Two Sb donor related CCDLTS peaks corresponding to shallow energy levels in SiC were observed close to the SiO{sub 2}/SiC interface. Furthermore, CCDLTS measurements show that the same type of near-interface traps found in conventional dry oxide or NO-annealed capacitors are present in the Sb implanted samples. These are O1 traps, suggested to be carbon dimers substituted for O dimers in SiO{sub 2}, and O2 traps, suggested to be interstitial Si in SiO{sub 2}. However, electron trapping is reduced by a factor of ∼2 in Sb-implanted samples compared with samples with no Sb, primarily at energy levels within 0.2 eV of the SiC conduction band edge. This trap passivation effect is relatively small compared with the Sb-induced counter-doping effect on the MOSFET channel surface, which results in improved channel transport.

  16. Trapping time of excitons in Si nanocrystals embedded in a SiO2 matrix

    Science.gov (United States)

    de Jong, E. M. L. D.; de Boer, W. D. A. M.; Yassievich, I. N.; Gregorkiewicz, T.

    2017-05-01

    Silicon (Si) nanocrystals (NCs) are of great interest for many applications, ranging from photovoltaics to optoelectonics. The photoluminescence quantum yield of Si NCs dispersed in SiO2 is limited, suggesting the existence of very efficient processes of nonradiative recombination, among which the formation of a self-trapped exciton state on the surface of the NC. In order to improve the external quantum efficiency of these systems, the carrier relaxation and recombination need to be understood more thoroughly. For that purpose, we perform transient-induced absorption spectroscopy on Si NCs embedded in a SiO2 matrix over a broad probe range for NCs of average sizes from 2.5 to 5.5 nm. The self-trapping of free excitons on surface-related states is experimentally and theoretically discussed and found to be dependent on the NC size. These results offer more insight into the self-trapped exciton state and are important to increase the optical performance of Si NCs.

  17. Optical properties of single-layer, double-layer, and bulk MoS2

    Energy Technology Data Exchange (ETDEWEB)

    Molina-Sanchez, Alejandro; Wirtz, Ludger [University of Luxembourg (Luxembourg); Hummer, Kerstin [University of Vienna, Vienna (Austria)

    2013-07-01

    The rise of graphene has brought attention also to other layered materials that can complement graphene or that can be an alternative in applications as transistors. Single-layer MoS{sub 2} has shown interesting electronic and optical properties such as as high electron mobility at room temperature and an optical bandgap of 1.8 eV. This makes the material suitable for transistors or optoelectronic devices. We present a theoretical study of the optical absorption and photoluminescence spectra of single-layer, double-layer and bulk MoS{sub 2}. The excitonic states have been calculated in the framework of the Bethe-Salpeter equation, taking into account the electron-hole interaction via the screened Coulomb potential. In addition to the step-function like behaviour that is typical for the joint-density of states of 2D materials with parabolic band dispersion, we find a bound excitonic peak that is dominating the luminescence spectra. The peak is split due to spin-orbit coupling for the single-layer and split due to layer-layer interaction for few-layer and bulk MoS{sub 2}. We discuss the changes of the optical bandgap and of the exciton binding energy with the number of layers, comparing our results with the reported experimental data.

  18. Effects of a trapped vortex cell on a thick wing airfoil

    Energy Technology Data Exchange (ETDEWEB)

    Lasagna, Davide; Iuso, Gaetano [Politecnico di Torino, Dipartimento di Ingegneria Aeronautica e Spaziale, Torino (Italy); Donelli, Raffaele; De Gregorio, Fabrizio [Centro Italiano di Ricerca Aerospaziale (C.I.R.A), Capua (Italy)

    2011-11-15

    The effects of a trapped vortex cell (TVC) on the aerodynamic performance of a NACA0024 wing model were investigated experimentally at Re = 10{sup 6} and 6.67 x 10{sup 5}. The static pressure distributions around the model and the wake velocity profiles were measured to obtain lift and drag coefficients, for both the clean airfoil and the controlled configurations. Suction was applied in the cavity region to stabilize the trapped vortex. For comparison, a classical boundary layer suction configuration was also tested. The drag coefficient curve of the TVC-controlled airfoil showed sharp discontinuities and bifurcative behavior, generating two drag modes. A strong influence of the angle of attack, the suction rate and the Reynolds number on the drag coefficient was observed. With respect to the clean airfoil, the control led to a drag reduction only if the suction was high enough. Compared to the classical boundary layer suction configuration, the drag reduction was higher for the same amount of suction only in a specific range of incidence, i.e., {alpha} = -2 to {alpha} = 6 and only for the higher Reynolds number. For all the other conditions, the classical boundary layer suction configuration gave better drag performances. Moderate increments of lift were observed for the TVC-controlled airfoil at low incidence, while a 20% lift enhancement was observed in the stall region with respect to the baseline. However, the same lift increments were also observed for the classical boundary layer suction configuration. Pressure fluctuation measurements in the cavity region suggested a very complex interaction of several flow features. The two drag modes were characterized by typical unsteady phenomena observed in rectangular cavity flows, namely the shear layer mode and the wake mode. (orig.)

  19. Transparent and Highly Responsive Phototransistors Based on a Solution-Processed, Nanometers-Thick Active Layer, Embedding a High-Mobility Electron-Transporting Polymer and a Hole-Trapping Molecule.

    Science.gov (United States)

    Caranzi, Lorenzo; Pace, Giuseppina; Sassi, Mauro; Beverina, Luca; Caironi, Mario

    2017-08-30

    Organic materials are suitable for light sensing devices showing unique features such as low cost, large area, and flexibility. Moreover, transparent photodetectors are interesting for smart interfaces, windows, and display-integrated electronics. The ease of depositing ultrathin organic films with simple techniques enables low light absorbing active layers, resulting in the realization of transparent devices. Here, we demonstrate a strategy to obtain high efficiency organic photodetectors and phototransistors based on transparent active layers with a visible transmittance higher than 90%. The photoactive layer is composed of two phases, each a few nanometers thick. First, an acceptor polymer, which is a good electron-transporting material, on top of which a small molecule donor material is deposited, forming noncontinuous domains. The small molecule phase acts as a trap for holes, thus inducing a high photoconductive gain, resulting in a high photoresponsivity. The organic transparent detectors proposed here can reach very high external quantum efficiency and responsivity values, which in the case of the phototransistors can be as high as ∼74000% and 340 A W -1 at 570 nm respectively, despite an absorber total thickness below 10 nm. Moreover, frequency dependent 2D photocurrent mapping allows discrimination between the contribution of a fast but inefficient and highly spatially localized photoinduced injection mechanism at the electrodes, and the onset of a slower and spatially extended photoconductive process, leading to high responsivity.

  20. Sensor-based atomic layer deposition for rapid process learning and enhanced manufacturability

    Science.gov (United States)

    Lei, Wei

    In the search for sensor based atomic layer deposition (ALD) process to accelerate process learning and enhance manufacturability, we have explored new reactor designs and applied in-situ process sensing to W and HfO 2 ALD processes. A novel wafer scale ALD reactor, which features fast gas switching, good process sensing compatibility and significant similarity to the real manufacturing environment, is constructed. The reactor has a unique movable reactor cap design that allows two possible operation modes: (1) steady-state flow with alternating gas species; or (2) fill-and-pump-out cycling of each gas, accelerating the pump-out by lifting the cap to employ the large chamber volume as ballast. Downstream quadrupole mass spectrometry (QMS) sampling is applied for in-situ process sensing of tungsten ALD process. The QMS reveals essential surface reaction dynamics through real-time signals associated with byproduct generation as well as precursor introduction and depletion for each ALD half cycle, which are then used for process learning and optimization. More subtle interactions such as imperfect surface saturation and reactant dose interaction are also directly observed by QMS, indicating that ALD process is more complicated than the suggested layer-by-layer growth. By integrating in real-time the byproduct QMS signals over each exposure and plotting it against process cycle number, the deposition kinetics on the wafer is directly measured. For continuous ALD runs, the total integrated byproduct QMS signal in each ALD run is also linear to ALD film thickness, and therefore can be used for ALD film thickness metrology. The in-situ process sensing is also applied to HfO2 ALD process that is carried out in a furnace type ALD reactor. Precursor dose end-point control is applied to precisely control the precursor dose in each half cycle. Multiple process sensors, including quartz crystal microbalance (QCM) and QMS are used to provide real time process information. The

  1. Layer-by-layer thinning of MoSe_2 by soft and reactive plasma etching

    International Nuclear Information System (INIS)

    Sha, Yunfei; Xiao, Shaoqing; Zhang, Xiumei; Qin, Fang; Gu, Xiaofeng

    2017-01-01

    Highlights: • Soft plasma etching technique using SF_6 + N_2 as precursors for layer-by-layer thinning of MoSe_2 was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe_2 were also demonstrated. • Equal numbers of MoSe_2 layers can be removed uniformly without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe_2) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe_2 can be changed from the indirect band gap to the direct band gap when MoSe_2 changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe_2 layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe_2 nanaosheets down to monolayer by using SF_6 + N_2 plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe_2 layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. By adjusting the etching rates we can achieve complete MoSe_2 removal and any disired number of MoSe_2 layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  2. MOS Capacitance—Voltage Characteristics III. Trapping Capacitance from 2-Charge-State Impurities

    International Nuclear Information System (INIS)

    Jie Binbin; Sah Chihtang

    2011-01-01

    Low-frequency and high-frequency capacitance—voltage curves of Metal—Oxide—Semiconductor Capacitors are presented to illustrate giant electron and hole trapping capacitances at many simultaneously present two-charge-state and one-trapped-carrier, or one-energy-level impurity species. Models described include a donor electron trap and an acceptor hole trap, both donors, both acceptors, both shallow energy levels, both deep, one shallow and one deep, and the identical donor and acceptor. Device and material parameters are selected to simulate chemically and physically realizable capacitors for fundamental trapping parameter characterizations and for electrical and optical signal processing applications. (invited papers)

  3. Resonant tunneling with high peak to valley current ratio in SiO2/nc-Si/SiO2 multi-layers at room temperature

    International Nuclear Information System (INIS)

    Chen, D. Y.; Sun, Y.; He, Y. J.; Xu, L.; Xu, J.

    2014-01-01

    We have investigated carrier transport in SiO 2 /nc-Si/SiO 2 multi-layers by room temperature current-voltage measurements. Resonant tunneling signatures accompanied by current peaks are observed. Carrier transport in the multi-layers were analyzed by plots of ln(I/V 2 ) as a function of 1/V and ln(I) as a function of V 1/2 . Results suggest that besides films quality, nc-Si and barrier sub-layer thicknesses are important parameters that restrict carrier transport. When thicknesses are both small, direct tunneling dominates carrier transport, resonant tunneling occurs only at certain voltages and multi-resonant tunneling related current peaks can be observed but with peak to valley current ratio (PVCR) values smaller than 1.5. When barrier thickness is increased, trap-related and even high field related tunneling is excited, causing that multi-current peaks cannot be observed clearly, only one current peak with higher PVCR value of 7.7 can be observed. While if the thickness of nc-Si is large enough, quantum confinement is not so strong, a broad current peak with PVCR value as high as 60 can be measured, which may be due to small energy difference between the splitting energy levels in the quantum dots of nc-Si. Size distribution in a wide range may cause un-controllability of the peak voltages

  4. Improved light trapping in polymer solar cells by light diffusion ink

    International Nuclear Information System (INIS)

    Chao, Yu-Chiang; Lin, Yun-Hsuan; Lin, Ching-Yi; Li, Husan-De; Zhan, Fu-Min; Huang, Yu-Zhang

    2014-01-01

    Light trapping is an important issue for solar cells to increase optical path length and optical absorption. In this work, a light trapping structure was realized for polymer solar cells by utilizing light diffusion ink which is conventionally used in display backlighting. The light scattering particles in the ink cause the deflection of light, and the number of these particles coated on a glass substrate determines the light transmission and scattering characteristics. It was observed that the short-circuit current density did not decrease with decreasing transmittance, but it increased to a highest value at an optimized transmittance. This behaviour is attributed to the trapping of scattered light in the photoactive layer. (paper)

  5. Nano-Photonic Structures for Light Trapping in Ultra-Thin Crystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Prathap Pathi

    2017-01-01

    Full Text Available Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a dense mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm and is slightly lower (by ~5% at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm silicon and just 1%–2% for thicker (>100 μm cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. This architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.

  6. Optimal thickness of hole transport layer in doped OLEDs

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Y.C.; Zhou, J.; Zhao, J.M.; Zhang, S.T.; Zhan, Y.Q.; Wang, X.Z.; Wu, Y.; Ding, X.M.; Hou, X.Y. [Fudan University, Surface Physics Laboratory (National Key Laboratory), Shanghai (China)

    2006-06-15

    Current-voltage (I-V) and electroluminescence (EL) characteristics of organic light-emitting devices with N,N'-Di-[(1-naphthalenyl)-N,N'-diphenyl]-(1,1'-biphenyl)-4,4'-diamine (NPB) of various thicknesses as the hole transport layer, and tris(8-hydroxyquinoline)aluminum (Alq{sub 3}) selectively doped with 4-(dicyanomethylene)-2-methyl-6-(p-dimethylaminostyryl)-4H-pyran (DCM) as the electron transport layer, have been investigated. A trapped charge induced band bend model is proposed to explain the I-V characteristics. It is suggested that space charge changes the injection barrier and therefore influences the electron injection process in addition to the carrier transport process. Enhanced external quantum efficiency of the devices due to the electron blocking effect of an inserted NPB layer is observed. The optimal thickness of the NPB layer is experimentally determined to be 12{+-}3 nm in doped devices, a value different from that for undoped devices, which is attributed to the electron trap effect of DCM molecules. This is consistent with the result that the proportion of Alq{sub 3} luminescence in the total electroluminescence (EL) spectra increases with NPB thickness up to 12 nm under a fixed bias. (orig.)

  7. Thermoluminescent and dosimetric properties of anion-defective a-Al2O3 single crystals with filled deep traps

    International Nuclear Information System (INIS)

    Kortov, V.S.; Milman, I.I.; Nikiforov, S.V.

    2002-01-01

    Some new experimental results illustrating the effect of deep traps on luminescent and dosimetric properties of anion-defective single crystals of a-Al 2 O 3 have been described. It was found that deep traps had an electronic origin. They were filled thanks to the photoionisation of F-centres and their filling was accompanied by the conversion of FF+ centres. The experiments revealed an interactive interaction of deep trapping centres. A model taking into account the thermal ionisation of excited states of F-centres was proposed. This model describes the trap filling process and mechanisms of the radio-, photo- and thermoluminescence, TSC and TSEE of the crystals under study. The sensitivity of TLD-500 detectors based on anion-defective a-Al 2 O 3 equalised when deep trapping centres were filled. (author)

  8. Charge and excitation dynamics in semiconducting polymer layers doped with emitters and charge carrier traps; Ladungstraeger- und Anregungsdynamik in halbleitenden Polymerschichten mit eingemischten Emittern und Ladungstraegerfallen

    Energy Technology Data Exchange (ETDEWEB)

    Jaiser, F

    2006-06-15

    Light-emitting diodes generate light from the recombination of injected charge carriers. This can be obtained in inorganic materials. Here, it is necessary to produce highly ordered crystalline structures that determine the properties of the device. Another possibility is the utilization of organic molecules and polymers. Based on the versatile organic chemistry, it is possible to tune the properties of the semiconducting polymers already during synthesis. In addition, semiconducting polymers are mechanically flexible. Thus, it is possible to construct flexible, large-area light sources and displays. The first light-emitting diode using a polymer emitter was presented in 1990. Since then, this field of research has grown rapidly up to the point where first products are commercially available. It has become clear that the properties of polymer light-emitting diodes such as color and efficiency can be improved by incorporating multiple components inside the active layer. At the same time, this gives rise to new interactions between these components. While components are often added either to improve the charge transport or to change the emission, it has to made sure that other processes are not influenced in a negative manner. This work investigates some of these interactions and describes them with simple physical models. First, blue light-emitting diodes based on polyfluorene are analyzed. This polymer is an efficient emitter, but it is susceptible to the formation of chemical defects that can not be suppressed completely. These defects form electron traps, but their effect can be compensated by the addition of hole traps. The underlying process, namely the changed charge carrier balance, is explained. In the following, blend systems with dendronized emitters that form electron traps are investigated. The different influence of the insulating shell on the charge and energy transfer between polymer host and the emissive core of the dendrimers is examined. In the

  9. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    Science.gov (United States)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  10. Transistor memory devices with large memory windows, using multi-stacking of densely packed, hydrophobic charge trapping metal nanoparticle array

    International Nuclear Information System (INIS)

    Cho, Ikjun; Cho, Jinhan; Kim, Beom Joon; Cho, Jeong Ho; Ryu, Sook Won

    2014-01-01

    Organic field-effect transistor (OFET) memories have rapidly evolved from low-cost and flexible electronics with relatively low-memory capacities to memory devices that require high-capacity memory such as smart memory cards or solid-state hard drives. Here, we report the high-capacity OFET memories based on the multilayer stacking of densely packed hydrophobic metal NP layers in place of the traditional transistor memory systems based on a single charge trapping layer. We demonstrated that the memory performances of devices could be significantly enhanced by controlling the adsorption isotherm behavior, multilayer stacking structure and hydrophobicity of the metal NPs. For this study, tetraoctylammonium (TOA)-stabilized Au nanoparticles (TOA-Au NPs ) were consecutively layer-by-layer (LbL) assembled with an amine-functionalized poly(amidoamine) dendrimer (PAD). The formed (PAD/TOA-Au NP ) n films were used as a multilayer stacked charge trapping layer at the interface between the tunneling dielectric layer and the SiO 2 gate dielectric layer. For a single Au NP layer (i.e. PAD/TOA-Au NP ) 1 ) with a number density of 1.82 × 10 12 cm −2 , the memory window of the OFET memory device was measured to be approximately 97 V. The multilayer stacked OFET memory devices prepared with four Au NP layers exhibited excellent programmable memory properties (i.e. a large memory window (ΔV th ) exceeding 145 V, a fast switching speed (1 μs), a high program/erase (P/E) current ratio (greater than 10 6 ) and good electrical reliability) during writing and erasing over a relatively short time scale under an operation voltage of 100 V applied at the gate. (paper)

  11. Correlation of interface states/border traps and threshold voltage shift on AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Tian-Li, E-mail: Tian-Li.Wu@imec.be; Groeseneken, Guido [imec, Kapeldreef 75, 3001 Leuven (Belgium); Department of Electrical Engineering, KU Leuven, Leuven (Belgium); Marcon, Denis; De Jaeger, Brice; Lin, H. C.; Franco, Jacopo; Stoffels, Steve; Van Hove, Marleen; Decoutere, Stefaan [imec, Kapeldreef 75, 3001 Leuven (Belgium); Bakeroot, Benoit [imec, Kapeldreef 75, 3001 Leuven (Belgium); Centre for Microsystems Technology, Ghent University, 9052 Gent (Belgium); Roelofs, Robin [ASM, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-08-31

    In this paper, three electrical techniques (frequency dependent conductance analysis, AC transconductance (AC-g{sub m}), and positive gate bias stress) were used to evaluate three different gate dielectrics (Plasma-Enhanced Atomic Layer Deposition Si{sub 3}N{sub 4}, Rapid Thermal Chemical Vapor Deposition Si{sub 3}N{sub 4}, and Atomic Layer Deposition (ALD) Al{sub 2}O{sub 3}) for AlGaN/GaN Metal-Insulator-Semiconductor High-Electron-Mobility Transistors. From these measurements, the interface state density (D{sub it}), the amount of border traps, and the threshold voltage (V{sub TH}) shift during a positive gate bias stress can be obtained. The results show that the V{sub TH} shift during a positive gate bias stress is highly correlated to not only interface states but also border traps in the dielectric. A physical model is proposed describing that electrons can be trapped by both interface states and border traps. Therefore, in order to minimize the V{sub TH} shift during a positive gate bias stress, the gate dielectric needs to have a lower interface state density and less border traps. However, the results also show that the commonly used frequency dependent conductance analysis technique to extract D{sub it} needs to be cautiously used since the resulting value might be influenced by the border traps and, vice versa, i.e., the g{sub m} dispersion commonly attributed to border traps might be influenced by interface states.

  12. ATRAP - Progress Towards Trapped Antihydrogen

    International Nuclear Information System (INIS)

    Grzonka, D.; Goldenbaum, F.; Oelert, W.; Sefzick, T.; Zhang, Z.; Comeau, D.; Hessels, E.A.; Storry, C.H.; Gabrielse, G.; Larochelle, P.; Lesage, D.; Levitt, B.; Speck, A.; Haensch, T.W.; Pittner, H.; Walz, J.

    2005-01-01

    The ATRAP experiment at the CERN antiproton decelerator AD aims for a test of the CPT invariance by a high precision comparison of the 1s-2s transition in the hydrogen and the antihydrogen atom.Antihydrogen production is routinely operated at ATRAP and detailed studies have been performed in order to optimize the production efficiency of useful antihydrogen.For high precision measurements of atomic transitions cold antihydrogen in the ground state is required which must be trapped due to the low number of available antihydrogen atoms compared to the cold hydrogen beam used for hydrogen spectroscopy. To ensure a reasonable antihydrogen trapping efficiency a magnetic trap has to be superposed the nested Penning trap. First trapping tests of charged particles within a combined magnetic/Penning trap have started at ATRAP

  13. ATRAP Progress Towards Trapped Antihydrogen

    CERN Document Server

    Grzonka, D; Gabrielse, G; Goldenbaum, F; Hänsch, T W; Hessels, E A; Larochelle, P; Le Sage, D; Levitt, B; Oelert, W; Pittner, H; Sefzick, T; Speck, A; Storry, C H; Walz, J; Zhang, Z

    2005-01-01

    The ATRAP experiment at the CERN antiproton decelerator AD aims for a test of the CPT invariance by a high precision comparison of the 1s‐2s transition in the hydrogen and the antihydrogen atom. Antihydrogen production is routinely operated at ATRAP and detailed studies have been performed in order to optimize the production efficiency of useful antihydrogen. For high precision measurements of atomic transitions cold antihydrogen in the ground state is required which must be trapped due to the low number of available antihydrogen atoms compared to the cold hydrogen beam used for hydrogen spectroscopy. To ensure a reasonable antihydrogen trapping efficiency a magnetic trap has to be superposed the nested Penning trap. First trapping tests of charged particles within a combined magnetic/Penning trap have started at ATRAP.

  14. Dielectric nanostructures for broadband light trapping in organic solar cells

    KAUST Repository

    Raman, Aaswath

    2011-09-15

    Organic bulk heterojunction solar cells are a promising candidate for low-cost next-generation photovoltaic systems. However, carrier extraction limitations necessitate thin active layers that sacrifice absorption for internal quantum efficiency or vice versa. Motivated by recent theoretical developments, we show that dielectric wavelength-scale grating structures can produce significant absorption resonances in a realistic organic cell architecture. We numerically demonstrate that 1D, 2D and multi-level ITO-air gratings lying on top of the organic solar cell stack produce a 8-15% increase in photocurrent for a model organic solar cell where PCDTBT:PC71BM is the organic semiconductor. Specific to this approach, the active layer itself remains untouched yet receives the benefit of light trapping by nanostructuring the top surface below which it lies. The techniques developed here are broadly applicable to organic semiconductors in general, and enable partial decoupling between active layer thickness and photocurrent generation. © 2011 Optical Society of America.

  15. Light trapping with plasmonic back contacts in thin-film silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Paetzold, Ulrich Wilhelm

    2013-02-08

    Trapping light in silicon solar cells is essential as it allows an increase in the absorption of incident sunlight in optically thin silicon absorber layers. This way, the costs of the solar cells can be reduced by lowering the material consumption and decreasing the physical constraints on the material quality. In this work, plasmonic light trapping with Ag back contacts in thin-film silicon solar cells is studied. Solar cell prototypes with plasmonic back contacts are presented along with optical simulations of these devices and general design considerations of plasmonic back contacts. Based on three-dimensional electromagnetic simulations, the conceptual design of plasmonic nanostructures on Ag back contacts in thin-film silicon solar cells is studied in this work. Optimizations of the nanostructures regarding their ability to scatter incident light at low optical losses into large angles in the silicon absorber layers of the thin-film silicon solar cells are presented. Geometrical parameters as well as the embedding dielectric layer stack of the nanostructures on Ag layers are varied. Periodic as well as isolated hemispherical Ag nanostructures of dimensions above 200 nm are found to scatter incident light at high efficiencies and low optical losses. Hence, these nanostructures are of interest for light trapping in solar cells. In contrast, small Ag nanostructures of dimension below 100 nm are found to induce optical losses. At the surface of randomly textured Ag back contacts small Ag nanostructures exist which induce optical losses. In this work, the relevance of these localized plasmon induced optical losses as well as optical losses caused by propagating plasmons are investigated with regard to the reflectance of the textured back contacts. In state-of-the-art solar cells, the plasmon-induced optical losses are shifted out of the relevant wavelength range by incorporating a ZnO:Al interlayer of low refractive index at the back contact. The additional but

  16. The Layer 1 / Layer 2 readout upgrade for the ATLAS Pixel Detector

    CERN Document Server

    Mullier, Geoffrey; The ATLAS collaboration

    2016-01-01

    The Pixel Detector of the ATLAS experiment has shown excellent performance during the whole Run-1 of the Large Hadron Collider (LHC). The increase of instantaneous luminosity foreseen during the LHC Run 2, will lead to an increased detector occupancy that is expected to saturate the readout links of the outermost layers of the pixel detector: Layers 1 and 2. To ensure a smooth data taking under such conditions, the read out system of the recently installed fourth innermost pixel layer, the Insertable B-Layer, was modified to accomodate the needs of the older detector. The Layer 2 upgrade installation took place during the 2015 winter shutdown, with the Layer 1 installation scheduled for 2016. A report of the successful installation, together with the design of novel dedicated optical to electrical converters and the software and firmware updates will be presented.

  17. Integrated Visible Photonics for Trapped-Ion Quantum Computing

    Science.gov (United States)

    2017-06-10

    etch to provide a smooth oxide facet, and clearance for fiber positioning for edge input coupling. Integrated Visible Photonics for Trapped-Ion...capability to optically address individual ions at several wavelengths. We demonstrate a dual-layered silicon nitride photonic platform for integration...coherence times, strong coulomb interactions, and optical addressability, hold great promise for implementation of practical quantum information

  18. Electronic states at Si-SiO2 interface introduced by implantation of Si in thermal SiO2

    International Nuclear Information System (INIS)

    Kalnitsky, A.; Poindexter, E.H.; Caplan, P.J.

    1990-01-01

    Interface traps due to excess Si introduced into the Si-SiO 2 system by ion implantation are investigated. Implanted oxides are shown to have interface traps at or slightly above the Si conduction band edge with densities proportional to the density of off-stoichiometric Si at the Si-SiO 2 interface. Diluted oxygen annealing is shown to result in physical separation of interface traps and equilibrium substrate electrons, demonstrating that ''interface'' states are located within a 0.5 nm thick layer of SiO 2 . Possible charge trapping mechanisms are discussed and the effect of these traps on MOS transistor characteristics is described using a sheet charge model. (author)

  19. High-efficiency green phosphorescent organic light-emitting diodes with double-emission layer and thick N-doped electron transport layer

    Energy Technology Data Exchange (ETDEWEB)

    Nobuki, Shunichiro, E-mail: shunichiro.nobuki.nb@hitachi.com [Hitachi Research Laboratory, Hitachi Ltd., 7-1-1 Omika-cho, Hitachi-city, Ibaraki 319-1292 (Japan); Wakana, Hironori; Ishihara, Shingo [Hitachi Research Laboratory, Hitachi Ltd., 7-1-1 Omika-cho, Hitachi-city, Ibaraki 319-1292 (Japan); Mikami, Akiyoshi [Dept. of Electrical Engineering, Kanazawa Institute of Technology, 7-1 Ohgigaoka, Nonoichimachi, Ishikawa 921-8501 (Japan)

    2014-03-03

    We have developed green phosphorescent organic light-emitting diodes (OLEDs) with high external quantum efficiency of 59.7% and power efficiency of 243 lm/W at 2.73 V at 0.053 mA/cm{sup 2}. A double emission layer and a thick n-doped electron transport layer were adopted to improve the exciton recombination factor. A high refractive index hemispherical lens was attached to a high refractive index substrate for extracting light trapped inside the substrate and the multiple-layers of OLEDs to air. Additionally, we analyzed an energy loss mechanism to clarify room for the improvement of our OLEDs including the charge balance factor. - Highlights: • We developed high efficiency green phosphorescent organic light-emitting diode (OLED). • Our OLED had external quantum efficiency of 59.7% and power efficiency of 243 lm/W. • A double emission layer and thick n-doped electron transport layer were adopted. • High refractive index media (hemispherical lens and substrate) were also used. • We analyzed an energy loss mechanism to clarify the charge balance factor of our OLED.

  20. Biexciton emission from single isoelectronic traps formed by nitrogen-nitrogen pairs in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Takamiya, Kengo; Fukushima, Toshiyuki; Yagi, Shuhei; Hijikata, Yasuto; Yaguchi, Hiroyuki [Graduate School of Science and Engineering, Saitama University, 255 Shimo-Okubo, Sakura-ku , Saitama 338-8570 (Japan); Mochizuki, Toshimitsu; Yoshita, Masahiro; Akiyama, Hidefumi [Institute for Solid State Physics, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Kuboya, Shigeyuki; Onabe, Kentaro [Department of Advanced Materials Science, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Katayama, Ryuji [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2013-12-04

    We have studied photoluminescence (PL) from individual isoelectronic traps formed by nitrogen-nitrogen (NN) pairs in GaAs. Sharp emission lines due to exciton and biexciton were observed from individual isoelectronic traps in nitrogen atomic-layer doped (ALD) GaAs. The binding energy of biexciton bound to individual isoelectronic traps was approximately 8 meV. Both the exciton and biexciton luminescence lines show completely random polarization and no fine-structure splitting. These results are desirable to the application to the quantum cryptography used in the field of quantum information technology.